KR20150126637A - 티타늄 나이트라이드를 선택적으로 에칭하기 위한 조성물 및 방법 - Google Patents

티타늄 나이트라이드를 선택적으로 에칭하기 위한 조성물 및 방법 Download PDF

Info

Publication number
KR20150126637A
KR20150126637A KR1020157026906A KR20157026906A KR20150126637A KR 20150126637 A KR20150126637 A KR 20150126637A KR 1020157026906 A KR1020157026906 A KR 1020157026906A KR 20157026906 A KR20157026906 A KR 20157026906A KR 20150126637 A KR20150126637 A KR 20150126637A
Authority
KR
South Korea
Prior art keywords
ammonium
ether
composition
chloride
glycol
Prior art date
Application number
KR1020157026906A
Other languages
English (en)
Other versions
KR102294726B1 (ko
Inventor
리-민 첸
엠마누엘 아이 쿠퍼
스티븐 리피
링얀 송
시아-정 수
쉥-헝 투
치에 주 왕
Original Assignee
어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드
에이티엠아이 타이완 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드, 에이티엠아이 타이완 컴퍼니 리미티드 filed Critical 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드
Publication of KR20150126637A publication Critical patent/KR20150126637A/ko
Application granted granted Critical
Publication of KR102294726B1 publication Critical patent/KR102294726B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/10Etching, surface-brightening or pickling compositions containing an inorganic acid containing a boron compound
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/26Acidic compositions for etching refractory metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Weting (AREA)

Abstract

본 발명은, 상부에 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을 갖는 마이크로전자 장치의 표면으로부터, 전도성 금속(예컨대, 텅스텐 및 구리) 및 절연 물질에 비해 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을 선택적으로 제거하는데 유용한 반-수성(smi-aqueous) 조성물에 관한 것이다. 상기 반-수성 조성물은 하나 이상의 산화제, 하나 이상의 에칭제 및 하나 이상의 유기 용매를 포함하고, 선택도를 보장하기 위해 다양한 부식 억제제를 포함할 수 있다.

Description

티타늄 나이트라이드를 선택적으로 에칭하기 위한 조성물 및 방법{COMPOSITIONS AND METHODS FOR SELECTIVELY ETCHING TITANIUM NITRIDE}
본 발명은, 금속 전도체 및 절연 물질(즉, 저-k 유전체)의 존재 하에 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을 선택적으로 에칭하기 위한 조성물 및 방법, 더욱 구체적으로, 구리, 텅스텐 및 저-k 유전체 물질의 노출 층 또는 하부 층의 에칭 속도 및 선택도보다 더 높은 에칭 속도 및 선택도로 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을 효과적으로 및 효율적으로 에칭하는 방법에 관한 것이다.
포토레지스트 마스크는 반도체 또는 유전체와 같은 물질을 패턴화하기 위해서 반도체 산업에 통상적으로 사용된다. 하나의 용도에서, 포토레지스트 마스크는 마이크로전자 장치의 백엔드(back-end) 금속화에서 상호연결부를 형성하기 위한 이중 다마센 공정에 사용된다. 상기 이중 다마센 공정은, 금속 전도체 층(예컨대, 구리층) 위에 놓이는 저-k 유전 층 상에 포토레지스트 마스크를 형성시킴을 포함한다. 이어서, 상기 저-k 유전 층을 상기 포토레지스트 마스크를 따라 에칭시켜, 상기 금속 전도체 층을 노출시키는 비아 및 트렌치를 형성시킨다. 상기 비아 및 트렌치(이중 다마센 구조로서 통상적으로 공지되어 있다)는 대개 2개의 리소그래피 단계를 사용하여 한정된다. 이어서, 상기 포토레지스트 마스크를 상기 저-k 유전 층으로부터 제거한 후에, 전도성 물질을 상기 비아 및/또는 트렌치 내에 침착시켜 상호연결부를 형성시킨다.
마이크로전자 장치의 크기가 감소함에 따라, 비아 및 트렌치의 임계 치수를 달성하기가 더욱 더 어려워지고 있다. 따라서, 비아 및 트렌치의 보다 양호한 프로파일 조절을 제공하기 위해서 금속 하드 마스크가 사용된다. 상기 금속 하드 마스크는 티타늄 또는 티타늄 나이트라이드로 제조될 수 있으며, 상기 이중 다마센 구조의 비아 및/또는 트렌치를 형성시킨 후에 습식 에칭 공정에 의해 제거된다. 상기 습식 에칭 공정은 하부의 금속 전도체 층 및 저-k 유전체 물질에 영향을 미치지 않으면서 상기 금속 하드 마스크 및/또는 포토레지스트 에칭 잔사를 유효하게 제거하는 제거 화학을 이용하는 것이 필수적이다. 즉, 상기 제거 화학은 상기 금속 전도체 층 및 저-k 유전 층에 고도로 선택성일 필요가 있다.
따라서, 본 발명의 목적은 상기 하드 마스크의 에칭 속도를 손상시키지 않으면서, 존재하는 금속 전도체 층 및 저-k 유전 층에 대한 하드 마스크 물질의 선택적인 제거를 위한 개선된 조성물을 제공하는 것이다.
본 발명은, 존재하는 금속 전도체 층 및 저-k 유전 층에 비해 하드 마스크층 및/또는 포토레지스트 에칭 잔사를 선택적으로 에칭하기 위한 조성물 및 방법에 관한 것이다. 보다 구체적으로, 본 발명은 구리, 텅스텐, 및 저-k 유전 층에 비해 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사를 선택적으로 에칭하기 위한 조성물 및 방법에 관한 것이다.
하나의 양태에서, 상부에 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을 갖는 마이크로전자 장치의 표면으로부터 상기 물질을 선택적으로 제거하기 위한 조성물이 기술되며, 상기 조성물은 하나 이상의 산화제, 하나 이상의 에칭제, 하나 이상의 부식 억제제, 적어도 실리카 공급원, 물 및 하나 이상의 유기 용매를 포함하고, 상기 조성물은 과산화 수소를 실질적으로 함유하지 않는다.
또다른 양태에서, 상부에 티타늄 나이트라이드 물질을 갖는 마이크로전자 장치의 표면으로부터 상기 물질을 에칭하는 방법이 기술되며, 상기 방법은, 상기 표면을, 하나 이상의 산화제, 하나 이상의 에칭제, 하나 이상의 부식 억제제, 적어도 실리카 공급원, 물 및 하나 이상의 유기 용매를 포함하는 조성물과 접촉시키는 단계를 포함하고, 이때 상기 조성물은 과산화 수소를 실질적으로 함유하지 않고, 상기 조성물은 금속 및 절연 물질에 비해 티타늄 나이트라이드 물질을 상기 표면으로부터 선택적으로 제거한다.
본 발명의 다른 양태, 특징 및 실시양태들은 하기 명세서 및 첨부된 특허청구범위로부터 보다 충분히 명백해질 것이다.
일반적으로, 본 발명은, 존재하는 금속 전도체 층 및 저-k 유전 층에 비해 하드 마스크층 및/또는 포토레지스트 에칭 잔사를 선택적으로 에칭하기 위한 조성물 및 방법에 관한 것이다. 보다 구체적으로, 본 발명은, 구리, 텅스텐, 및 저-k 유전 층에 비해 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사를 선택적으로 에칭하기 위한 조성물 및 방법에 관한 것이다. 상기 마이크로전자 장치 상에 존재할 수도 있는 다른 물질들은 상기 조성물에 의해 실질적으로 제거되거나 부식되지 않아야 한다.
참조하기 편하도록, "마이크로전자 장치"는, 마이크로전자공학, 집적 회로, 에너지 수집 또는 컴퓨터칩 용도에 사용하기 위해 제작된, 반도체 기판, 평면 디스플레이, 상변환 메모리 소자, 태양 전지판, 및 태양전지 장치, 광전지 및 마이크로전자기계 시스템(MEMS)을 비롯한 다른 제품들에 상응한다. "마이크로전자 장치", "마이크로전자 기판" 및 "마이크로전자 장치 구조"라는 용어들은, 어떠한 방식으로도 제한됨을 의미하지 않으며, 최종적으로 마이크로전자 장치 또는 마이크로전자 조립체가 되는 임의의 기판 또는 구조를 포함하는 것으로 이해해야 한다. 상기 마이크로전자 장치는, 패턴화되고 블랑켓화된 제어 및/또는 시험 장치일 수 있다.
본원에서 "하드마스크 캡핑 층" 또는 "하드마스크"는, 플라스마 에칭 단계 동안 유전체 물질을 보호하기 위해서 상기 물질 위에 침착된 물질에 상응한다. 하드마스크 캡핑 층은 전통적으로 규소 나이트라이드, 규소 옥시나이트라이드, 타타늄 나이트라이드, 티타늄 옥시나이트라이드, 티타늄 및 다른 유사한 화합물이다.
본원에서 "티타늄 나이트라이드" 및 "TiNx"는, 순수한 티타늄 나이트라이드뿐만 아니라 다양한 화학량론 및 산소 함량을 포함하는 불순한 티타늄 나이트라이드(TiOxNy)에 상응한다.
본원에서 "약"은, 언급된 값의 ±5%에 상응하는 것으로 의도된다.
본원에 정의된 바와 같이, "저-k 유전체 물질"은, 층상화된 마이크로전자 장치에서 유전체 물질로서 사용되는 임의의 물질에 상응하며, 이때 상기 물질은 약 3.5 미만의 유전 상수를 갖는다. 바람직하게, 상기 저-k 유전체 물질은 저-극성 물질, 예를 들어 규소-함유 유기 중합체, 규소-함유 하이브리드 유기/무기 물질, 유기실리케이트 유리(OSG), TEOS, 불화된 실리케이트 유리(FSG), 이산화 규소, 및 탄소-도핑된 옥사이드(CDO) 유리를 포함한다. 상기 저-k 유전체 물질이 다양한 밀도 및 다양한 다공도를 가질 수 있음을 이해해야 한다.
본원에 정의된 바와 같이, "금속 전도체 층"은, 구리, 텅스텐, 코발트, 몰리브덴, 알루미늄, 루테늄, 이들을 포함하는 합금, 및 이들의 조합을 포함한다.
본원에 정의된 바와 같이, "아민" 화학종은, 하나 이상의 1급, 2급 및 3급 아민을 포함하되, 단, (i) 카복실산기 및 아민기를 모두 포함하는 화학종, (ii) 아민기를 포함하는 계면활성제, 및 (iii) 아민기가 치환기인(예를 들어, 아릴 또는 헤테로사이클릭 부분에 결합된) 화학종들은 상기 정의에 따른 "아민"으로 간주되지 않는다. 상기 아민 구조식은 NR1R2R3으로 나타낼 수 있으며, 이때 R1, R2 및 R3은 서로 동일하거나 상이할 수 있고 수소, 직쇄 또는 분지쇄 C1-C6 알킬(예컨대, 메틸, 에틸, 프로필, 부틸, 펜틸, 헥실), C6-C10 아릴(예컨대, 벤질), 직쇄 또는 분지쇄 C1-C6 알칸올(예컨대, 메탄올, 에탄올, 프로판올, 부탄올, 펜탄올, 헥산올), 및 이들의 조합으로 이루어진 그룹 중에서 선택되되, 단, R1, R2 및 R3이 모두 수소일 수는 없다.
본원에 정의된 바와 같이, "포토레지스트 에칭 잔사"는, 당업자가 쉽게 이해하는 바와 같이, 포토레지스트 물질, 또는 에칭 또는 애싱(ashing) 단계에 따른 포토레지스트의 부산물인 물질을 포함하는 임의의 잔사에 상응한다.
본원에서 "실질적으로 함유하지 않는"은, 2 중량% 미만, 바람직하게는 1 중량% 미만, 더욱 바람직하게는 0.5 중량% 미만, 더더욱 바람직하게는 0.1 중량% 미만, 가장 바람직하게는 0 중량%로서 정의된다.
본원에서 "플루오라이드" 화학종은, 이온성 플루오라이드(F-) 또는 공유 결합된 불소를 포함하는 화학종에 상응한다. 상기 플루오라이드 화학종이 플루오라이드 종으로서 포함되거나 또는 동일 반응계에서 생성될 수도 있음을 이해해야 한다.
본원에서 "클로라이드" 화학종은, 이온성 클로라이드(Cl-)를 포함하는 종들에 상응하되, 단, 클로라이드 음이온을 포함하는 계면활성제는 상기 정의에 따른 "클로라이드"로 간주되지 않는다.
본원에서 "반-수성"이라는 용어는, 물과 유기 용매 성분들의 혼합물을 지칭한다. 반-수성 반-수성 조성물은, 하드 마스크 층 및/또는 포토레지스트 에칭 잔사 물질은 제거하면서, 존재하는 금속 전도체 층 및 저-k 유전 층에는 실질적으로 손상을 주지 않아야 한다.
본원에 정의된 바와 같이, 강염기는 11 초과의 하나 이상의 pKa를 갖는 임의의 염기이고, 약염기는 11 미만의 하나 이상의 pKa를 갖는 임의의 염기이다.
본 발명의 조성물은, 이후에 보다 충분히 개시하는 바와 같이, 각종 다양한 특정 조성물들로 구현될 수 있다.
이러한 모든 조성물에서, 상기 조성물의 특정 성분들은, 0의 하한을 포함하는 중량 백분율의 범위에 대해 논의되며, 이러한 성분들이 상기 조성물의 다양한 특정 실시양태들 중에 존재하거나 존재하지 않을 수 있고, 이러한 성분들이 존재하는 경우, 이들 성분은, 이들이 사용되는 조성물의 총 중량을 기준으로 0.001 중량% 정도로 낮은 농도로 존재할 수 있음을 이해할 것이다.
본 발명의 실시양태는 하드 마스크 및/또는 포토레지스트 에칭 잔사를 제거하기 위한 화학을 포함한다. 하나의 실시양태에서, 상기 반-수성 조성물은, 유전 층 상의 금속 하드 마스크 및/또는 포토레지스트 에칭 잔사를 제거하고 상기 유전 층 바로 아래의 금속 전도체 층 및 상기 유전 층 자체에 대단히 선택적인 습식-에칭 용액이다. 다른 특정 실시양태에서, 상기 반-수성 조성물은 구리, 텅스텐 및 저-k 유전체 물질 중 하나 이상에 비해 고도로 선택적인 티타늄 나이트라이드층 및/또는 포토레지스트 에칭 잔사를 제거하는 습식-에칭 용액이다.
따라서, 하나의 양태에서, 상부에 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을 갖는 마이크로전자 장치의 표면으로부터 상기 물질을 선택적으로 제거하기 위한 반-수성 조성물이 기술되며, 상기 조성물은 하나 이상의 산화제 및 하나 이상의 에칭제를 포함하고, 상기 조성물은 과산화 수소를 실질적으로 함유하지 않는다. 하나의 실시양태에서, 상부에 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을 갖는 마이크로전자 장치로부터 상기 물질을 제거하기 위한 반-수성 조성물은 하나 이상의 산화제, 하나 이상의 에칭제, 물 및 하나 이상의 유기 용매를 포함하거나, 이들로 이루어지거나, 또는 이들로 본질적으로 이루어지며, 상기 조성물은 과산화 수소를 실질적으로 함유하지 않는다. 또다른 실시양태에서, 상부에 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을 갖는 마이크로전자 장치로부터 상기 물질을 제거하기 위한 반-수성 조성물은 하나 이상의 산화제, 하나 이상의 에칭제, 물 및 하나 이상의 부식 억제제를 포함하거나, 이들로 이루어지거나, 또는 이들로 본질적으로 이루어지며, 상기 조성물은 과산화 수소를 실질적으로 함유하지 않는다. 또다른 실시양태에서, 상부에 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을 갖는 마이크로전자 장치로부터 상기 물질을 제거하기 위한 반-수성 조성물은 하나 이상의 산화제, 하나 이상의 에칭제, 물, 하나 이상의 실리카 공급원 및 하나 이상의 부식 억제제를 포함하거나, 이들로 이루어지거나, 또는 이들로 본질적으로 이루어지며, 상기 조성물은 과산화 수소를 실질적으로 함유하지 않는다. 또다른 실시양태에서, 상부에 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을 갖는 마이크로전자 장치로부터 상기 물질을 제거하기 위한 반-수성 조성물은 하나 이상의 산화제, 하나 이상의 에칭제, 하나 이상의 부식 억제제, 물 및 하나 이상의 유기 용매를 포함하거나, 이들로 이루어지거나, 또는 이들로 본질적으로 이루어지며, 상기 조성물은 과산화 수소를 실질적으로 함유하지 않는다. 또다른 실시양태에서, 상부에 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을 갖는 마이크로전자 장치로부터 상기 물질을 제거하기 위한 반-수성 조성물은 하나 이상의 산화제, 하나 이상의 에칭제, 하나 이상의 실리카 공급원, 물 및 하나 이상의 유기 용매를 포함하거나, 이들로 이루어지거나, 또는 이들로 본질적으로 이루어지며, 상기 조성물은 과산화 수소를 실질적으로 함유하지 않는다. 또다른 실시양태에서, 상부에 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을 갖는 마이크로전자 장치로부터 상기 물질을 제거하기 위한 반-수성 조성물은 하나 이상의 산화제, 하나 이상의 에칭제, 하나 이상의 부식 억제제, 적어도 실리카 공급원, 물 및 하나 이상의 유기 용매를 포함하거나, 이들로 이루어지거나, 또는 이들로 본질적으로 이루어지며, 상기 조성물은 과산화 수소를 실질적으로 함유하지 않는다. 본원에 기술된 반-수성 조성물에 포함되는 것으로 고려되는 다른 성분은, 비제한적으로 하나 이상의 저-k 부동태화제, 하나 이상의 계면활성제, 하나 이상의 요오드 소거제, 및 이들의 조합을 포함한다. 유리하게는, 상기 조성물이 약 45℃ 내지 약 60℃ 범위의 온도에서 40 초과:1의 TiN 대 텅스텐 선택도 및 약 1 Åmin-1 미만의 텅스텐 제거 속도, 더더욱 바람직하게는 50 초과:1의 TiN 대 텅스텐 선택도 및 약 1 Åmin-1 미만의 텅스텐 제거 속도를 가진다. 또한, 상기 조성물은 약 45℃ 내지 약 60℃ 범위의 온도에서 20 초과:1의 TiN 대 구리 선택도 및 약 2 Åmin-1 미만의 구리 제거 속도, 더더욱 바람직하게는 30 초과:1의 TiN 대 구리 선택도 및 약 2 Åmin-1 미만의 구리 제거 속도를 가진다. 상기 조성물은, 본원에 정의된 바와 같이, 아민, 화학적 기계적 연마용 연마재, 금속 할라이드, 및 이들의 조합을 실질적으로 함유하지 않는다. 상기 반-수성 조성물은 0 내지 4 범위의 pH 값 및 30 mN/m 내지 50 mN/m 범위, 바람직하게는 약 30 mN/m 내지 약 40 mN/m 범위의 표면 장력 값을 가진다.
에칭제는 티타늄 나이트라이드의 에칭 속도를 증가시키기 위해 첨가된다. 고려되는 에칭제는, 비제한적으로 HF, 암모늄 플루오라이드, 테트라플루오로붕산, 헥사플루오로규산, B-F 또는 Si-F 결합을 포함하는 다른 화합물, 테트라부틸암모늄 테트라플루오로보레이트(TBA-BF4), 테트라알킬암모늄 플루오라이드(NR1R2R3R4F), 강염기, 예컨대 테트라알킬암모늄 하이드록사이드(NR1R2R3R4OH), 약염기, 및 이들의 조합을 포함하며, 이때 R1, R2, R3, R4는 서로 동일하거나 상이할 수 있으며, 수소, 직쇄 또는 분지쇄 C1-C6 알킬 기(예컨대, 메틸, 에틸, 프로필, 부틸, 펜틸, 헥실), C1-C6 알콕시 기(예컨대, 하이드록시에틸, 하이드록시프로필), 및 치환되거나 비치환된 아릴 기(예컨대, 벤질)로 이루어진 군으로부터 선택된다. 바람직하게는, 상기 플루오라이드 공급원은 테트라플루오로붕산, 헥사플루오로규산, H2ZrF6, H2TiF6, HPF6, 암모늄 플루오라이드, 암모늄 바이플루오라이드, 테트라메틸암모늄 플루오라이드, 테트라메틸암모늄 하이드록사이드, 암모늄 헥사플루오로실리케이트, 암모늄 헥사플루오로티타네이트, 또는 암모늄 플루오라이드와 테트라메틸암모늄 플루오라이드의 조합을 포함한다. 다르게는, 또는 플루오라이드 공급원에 더하여, 상기 에칭제는 강염기, 예컨대 테트라메틸암모늄 하이드록사이드(TMAH), 테트라에틸암모늄 하이드록사이드(TEAH), 테트라프로필암모늄 하이드록사이드(TPAH), 테트라부틸암모늄 하이드록사이드(TBAH), 벤질트라이메틸암모늄 하이드록사이드(BTMAH), 수산화 칼륨, 암모늄 하이드록사이드, 벤질트라이에틸암모늄 하이드록사이드(BTEAH), 테트라부틸포스포늄 하이드록사이드(TBPH), (2-하이드록시에틸) 트라이메틸암모늄 하이드록사이드, (2-하이드록시에틸) 트라이에틸암모늄 하이드록사이드, (2-하이드록시에틸) 트라이프로필암모늄 하이드록사이드, (1-하이드록시프로필) 트라이메틸암모늄 하이드록사이드, 에틸트라이메틸암모늄 하이드록사이드, 다이에틸다이메틸암모늄 하이드록사이드(DEDMAH), 1,1,3,3-테트라메틸구아니딘(TMG), 구아니딘 카보네이트, 아르기닌, 및 이들의 조합을 포함할 수 있다. 가장 바람직하게는, 상기 에칭제는 헥사플루오로규산, 테트라플루오로붕산, 또는 이들의 조합을 포함한다.
TiNx에서 Ti3 +를 산화시키기 위해 산화제가 포함된다. 본원에서 고려되는 산화제는, 비제한적으로 과산화 수소(H2O2), FeCl3, FeF3, Fe(NO3)3, Sr(NO3)2, CoF3, MnF3, 옥손(2KHSO5·KHSO4·K2SO4), 과요오드산, 요오드산, 바나듐(V) 옥사이드, 바나듐(IV,V) 옥사이드(V6O13), 암모늄 바나데이트, 암모늄 다원자 염(예컨대, 암모늄 퍼옥소모노설페이트, 암모늄 클로라이트(NH4ClO2), 암모늄 클로레이트(NH4ClO3), 암모늄 요오데이트(NH4IO3), 암모늄 나이트레이트(NH4NO3), 암모늄 퍼보레이트(NH4BO3), 암모늄 퍼클로레이트(NH4ClO4), 암모늄 퍼요오데이트(NH4IO4), 암모늄 퍼설페이트((NH4)2S2O8), 암모늄 하이포클로라이트(NH4ClO)), 암모늄 텅스테이트((NH4)10H2(W2O7)), 나트륨 다원자 염(예컨대, 나트륨 퍼설페이트(Na2S2O8), 나트륨 하이포클로라이트(NaClO), 나트륨 퍼보레이트), 칼륨 다원자 염(예컨대, 칼륨 요오데이트(KIO3), 칼륨 퍼망가네이트(KMnO4), 칼륨 퍼설페이트, 질산(HNO3), 칼륨 퍼설페이트(K2S2O8), 칼륨 하이포클로라이트(KClO)), 테트라메틸암모늄 다원자 염(예컨대, 테트라메틸암모늄 클로라이트((N(CH3)4)ClO2), 테트라메틸암모늄 클로레이트((N(CH3)4)ClO3), 테트라메틸암모늄 요오데이트((N(CH3)4)IO3), 테트라메틸암모늄 퍼보레이트((N(CH3)4)BO3), 테트라메틸암모늄 퍼클로레이트((N(CH3)4)ClO4), 테트라메틸암모늄 퍼요오데이트((N(CH3)4)IO4), 테트라메틸암모늄 퍼설페이트((N(CH3)4)S2O8)), 테트라부틸암모늄 다원자 염(예컨대, 테트라부틸암모늄 퍼옥소모노설페이트), 퍼옥소일황산, 질산 제 2 철(Fe(NO3)3), 우레아 과산화 수소((CO(NH2)2)H2O2), 과산화아세트산(CH3(CO)OOH), 1,4-벤조퀴논, 톨루퀴논, 다이메틸-1,4-벤조퀴논, 클로라닐, 알록산, N-메틸모폴린 N-옥사이드, 트라이메틸아민 N-옥사이드, 및 이들의 조합을 포함한다. 상기 산화제가 염인 경우, 이는 수화된 것이거나 무수물일 수 있다. 상기 산화제는 제조시에, 상기 조성물을 소자 웨이퍼에 도입하기 이전에, 또는 다르게는, 소자 웨이퍼에, 즉, 동일 반응계에서 도입될 수 있다. 바람직하게는, 제 2 양태의 조성물을 위한 산화제는 과산화 수소를 포함한다. 바람직하게는, 상기 반-수성 조성물을 위한 산화제는 바나듐 옥사이드, 암모늄 바나데이트, 암모늄 요오데이트, 암모늄 퍼요오데이트, 요오드산, 과요오드산, 1,4-벤조퀴논, 또는 이들의 조합을 포함한다.
상기 반-수성 조성물은 상기 에칭제 공급원의 활성을 감소시키기 위해 하나 이상의 실리카 공급원을 포함한다. 하나의 실시양태에서, 상기 하나 이상의 실리카 공급원은 알콕시실란을 포함한다. 고려되는 알콕시실란은 일반 구조식 SiR1R2R3R4를 가지며, 이때 R1, R2, R3 및 R4는 서로 동일하거나 상이하고, 직쇄 C1-C6 알킬 기(예컨대, 메틸, 에틸, 프로필, 부틸, 펜틸, 헥실), 분지쇄 C1-C6 알킬 기, C1-C6 알콕시 기(예컨대, 메톡시, 에톡시, 프로폭시, 부톡시, 펜톡시, 헥속시), 페닐 기 및 이들의 조합으로 이루어진 군으로부터 선택된다. 당업자는, 알콕시실란으로 간주되기 위해서는, R1, R2, R3 및 R4 중 하나 이상이 C1-C6 알콕시 기여야 함을 이해해야 한다. 고려되는 알콕시실란은 메틸트라이메톡시실란, 다이메틸다이메톡시실란, 페닐트라이메톡시실란, 테트라에톡시실란(TEOS), N-프로필트라이메톡시실란, N-프로필트라이에톡시실란, 헥실트라이메톡시실란, 헥실트라이에톡시실란, 및 이들의 조합을 포함한다. 상기 알콕시실란 대신에 또는 이에 더하여 사용될 수 있는 다른 실리카 공급원은 암모늄 헥사플루오로실리케이트, 나트륨 실리케이트, 테트라메틸 암모늄 실리케이트(TMAS), 및 이들의 조합을 포함한다. 바람직하게는, 상기 실리콘-함유 화합물이 TEOS, TMAS, 나트륨 실리케이트, 또는 이들의 조합을 포함한다.
상기 에칭제 및 상기 실리카 공급원 둘 다를 포함하는 것에 대한 대안은 동일 반응계 내에서 플루오로규산의 생성이다. 불화수소산(바람직하게는, 40% 이상의 농도로) 및 TEOS 또는 다른 가수분해가능한 알콕시실란을 하나 이상의 유기 용매 중에서 적절한 비율로 합쳐, SiO2 내에서 포화되거나 거의 포화된 정확한 양의 플루오로규산을 갖는 농축물을 형성할 수 있다.
상기 산화제는 요오데이트 또는 퍼요오데이트를 포함하며, 요오드 소거제가 임의적으로 상기 반-수성 조성물에 첨가될 수 있다. 이론에 구속되고자 하는 것은 아니지만, 요오데이트 또는 퍼요오데이트가 환원되면, 요오드가 축적되고, 이는 구리 에칭 속도를 증가시키는 것으로 생각된다. 요오드 소거제는, 비제한적으로 케톤, 더욱 바람직하게는 카보닐에 대해 알파 수소(들)를 갖는 케톤, 예컨대 4-메틸-2-펜탄온, 2,4-다이메틸-3-펜탄온, 사이클로헥산온, 5-메틸-3-헵탄온, 3-펜탄온, 5-하이드록시-2-펜탄온, 2,5-헥산다이온, 4-하이드록시-4-메틸-2-펜탄온, 아세톤, 부탄온, 2-메틸-2-부탄온, 3,3-다이메틸-2-부탄온, 4-하이드록시-2-부탄온, 사이클로펜탄온, 2-펜탄온, 3-펜탄온, 1-페닐에탄온, 아세토페논, 벤조페논, 2-헥산온, 3-헥산온, 2-헵탄온, 3-헵탄온, 4-헵탄온, 2,6-다이메틸-4-헵탄온, 2-옥탄온, 3-옥탄온, 4-옥탄온, 다이사이클로헥실 케톤, 2,6-다이메틸사이클로헥산온, 2-아세틸사이클로헥산온, 2,4-펜탄다이온, 멘톤, 및 이들의 조합을 포함한다. 바람직하게는, 상기 요오드 소거제는 4-메틸-2-펜탄온, 2,4-다이메틸-3-펜탄온, 또는 사이클로헥산온을 포함한다.
상기 산화제의 산화 활성을 봉쇄하기 위해 금속 부식 억제제가 첨가된다. 본원에서 고려되는 금속 부식 억제제는, 비제한적으로 5-아미노-1,3,4-티아다이아졸-2-티올(ATDT), 벤조트라이아졸(BTA), 1,2,4-트라이아졸(TAZ), 톨릴트라이아졸, 5-메틸-벤조트라이아졸, 5-페닐-벤조트라이아졸, 5-나이트로-벤조트라이아졸, 벤조트라이아졸 카복실산, 3-아미노-5-머캅토-1,2,4-트라이아졸, 1-아미노-1,2,4-트라이아졸, 하이드록시벤조트라이아졸, 2-(5-아미노-펜틸)-벤조트라이아졸, 1-아미노-1,2,3-트라이아졸, 1-아미노-5-메틸-1,2,3-트라이아졸, 3-아미노-1,2,4-트라이아졸, 3-머캅토-1,2,4-트라이아졸, 3-이소프로필-1,2,4-트라이아졸, 5-페닐티올-벤조트라이아졸, 할로-벤조트라이아졸(할로 = F, Cl, Br 또는 I), 나프토트라이아졸, 2-머캅토벤즈이미다졸(MBI), 2-머캅토벤조티아졸, 4-메틸-2-페닐이미다졸, 2-머캅토티아졸린, 5-아미노테트라졸, 펜틸렌테트라졸, 5-페닐-1H-테트라졸, 5-벤질-1H-테트라졸, 아블루민(Ablumine) O(대만 계면활성제), 2-벤질피리딘, 석신이미드, 말레이미드, 프탈이미드, 글루타르이미드, 2,4-다이아미노-6-메틸-1,3,5-트라이아진, 티아졸, 트라이아진, 메틸테트라졸, 1,3-다이메틸-2-이미다졸리딘온, 1,5-펜타메틸렌테트라졸, 1-페닐-5-머캅토테트라졸, 다이아미노메틸트라이아진, 이미다졸린 티온, 4-메틸-4H-1,2,4-트라이아졸-3-티올, 벤조티아졸, 이미다졸, 피라졸, 인다이아졸, 아데노신, 카바졸, 사카린 및 벤조인 옥심을 포함한다. 추가적인 부식 억제제는 양이온성 4급 계면활성제 염, 예컨대 벤즈알코늄 클로라이드, 벤질다이메틸도데실암모늄 클로라이드, 미리스틸트라이메틸암모늄 브로마이드, 도데실트라이메틸암모늄 브로마이드, 헥사데실피리디늄 클로라이드, 알리쿼트(Aliquat) 336(코그니스(Cognis)), 벤질다이메틸페닐암모늄 클로라이드, 크로다쿼트(Crodaquat) TES(크로다 인코포레이티드(Croda Inc.)), 리워쿼트(Rewoquat) CPEM(위트코(Witco)), 헥사데실트라이메틸암모늄 p-톨루엔설포네이트, 헥사데실트라이메틸암모늄 하이드록사이드, 1-메틸-1'-테트라데실-4,4'-바이피리듐 다이클로라이드, 알킬트라이메틸암모늄 브로마이드, 앰프롤륨 하이드로클로라이드, 벤제토늄 하이드록사이드, 벤제토늄 클로라이드, 벤질다이메틸헥사데실암모늄 클로라이드, 벤질다이메틸테트라데실암모늄 클로라이드, 벤질도데실다이메틸암모늄 브로마이드, 벤질도데실다이메틸암모늄 클로라이드, 세틸피리디늄 클로라이드, 콜린 p-톨루엔설포네이트 염, 다이메틸다이옥타데실암모늄 브로마이드, 도데실에틸다이메틸암모늄 브로마이드, 도데실트라이메틸암모늄 클로라이드, 에틸헥사데실다이메틸암모늄 브로마이드, 지라드(Girard's) 시약, 헥사데실(2-하이드록시에틸)다이메틸암모늄 이수소 포스페이트, 덱사데실피리디늄 브로마이드, 헥사데실트라이메틸암모늄 브로마이드, 헥사데실트라이메틸암모늄 클로라이드, 메틸벤제토늄 클로라이드, 하이아민(Hyamine, 등록상표) 1622, 루비쿼트(Luviquat, 상표명), N,N',N'-폴리옥시에틸렌(10)-N-탈로우(tallow)-1,3-다이아미노프로판 액체, 옥시페노늄 브로마이드, 테트라헵틸암모늄 브로마이드, 테트라키스(데실)암모늄 브로마이드, 톤조늄 브로마이드, 트라이도데실암모늄 클로라이드, 트라이메틸옥타데실암모늄 브로마이드, 1-메틸-3-n-옥틸이미다졸륨 테트라플루오로보레이트, 1-데실-3-메틸이미다졸륨 테트라플루오로보레이트, 1-데실-3-메틸이미다졸륨 클로라이드, 트라이도데실메틸암모늄 브로마이드, 다이메틸다이스테아릴암모늄 클로라이드 및 헥사메토늄 클로라이드를 포함한다. 다른 부식 억제제는 비-이온성 계면활성제, 예컨대 폴리폭스(PolyFox) PF-159(옴노바 솔루션스(OMNOVA Solutions)), 폴리(에틸렌 글리콜)("PEG"), 폴리(프로필렌 글리콜)("PPG"), PEG-PPG 공중합체, 예컨대 플루로닉(Pluronic) F-127(바스프(BASF)), 음이온성 계면활성제, 예컨대 도데실벤젠설폰산, 나트륨 도데실벤젠설포네이트, 및 이들의 조합을 포함한다. 이러한 4급 염은 부식 억제제(특히, 구리 및 텅스텐에 대한) 및 습윤제 둘 다로서 작용할 수 있다. 이러한 4급 염은 가장 흔히 클로라이드 또는 브로마이드로서 시판되지만, 할라이드 음이온을 비-할라이드 음이온(예컨대, 설페이트, 메탄설포네이트, 나이트레이트, 하이드록사이드 등)으로 쉽게 이온-교환시킬 수 있음이 당업자에게 자명할 것이다. 이렇게 전환된 4급 염도 본원에 고려된다. 특히 바람직한 실시양태에서, 5-메틸-1H-벤조트라이아졸은 구리에 대한 산화제의 산화 활성을 봉쇄하는 것으로 공지되어 있다. 다르게는, 또는 5-메틸-1H-벤조트라이아졸(mBTA)에 더하여, 바람직한 부식 억제제는 피라졸, 벤조트라이아졸, 양이온성 4급 계면활성제 염, 더욱 바람직하게는 미리스틸트라이메틸암모늄 브로마이드, 벤즈알코늄 클로라이드, 헥사데실트라이메틸암모늄 p-톨루엔설포네이트 및 헥사데실트라이메틸암모늄 하이드록사이드, 테트라졸, 예컨대 5-벤질-1H-테트라졸, 및 이들의 조합을 포함한다.
본원에 기술된 반-수성 조성물은 임의적으로, 저-k 유전 층의 화학적 공격을 감소시키고 웨이퍼를 추가의 산화로부터 보호하기 위해 하나 이상의 저-k 부동태화제를 포함할 수 있다. 바람직한 저-k 부동태화제는, 비제한적으로 붕산, 보레이트 염, 예컨대 암모늄 펜타보레이트, 나트륨 테트라보레이트, 3-하이드록시-2-나프토산, 말론산 및 이미노다이아세트산를 포함한다. 존재하는 경우, 상기 반-수성 조성물 상기 조성물의 총 중량을 기준으로 약 0.01 중량% 내지 약 2 중량%의 저-k 부동태화제를 포함한다. 바람직하게는, 본원에 기술된 반-수성 조성물을 사용하면, 저-k 하부 물질의 총 중량을 기준으로 2 중량% 미만, 더욱 바람직하게는 1 중량% 미만, 가장 바람직하게는 0.5 중량% 미만의 저-k 하부 물질이 에칭/제거된다.
습윤을 보장하기 위해, 특히 pH가 낮은 경우, 계면활성제, 바람직하게는 내산화성의 불화된 음이온성 계면활성제가 상기 반-수성 조성물에 첨가될 수 있다. 본 발명의 조성물에 고려되는 음이온성 계면활성제는, 비제한적으로 플루오로계면활성제, 예컨대 조닐(ZONYL, 등록상표) UR 및 조닐(등록상표) FS-62(듀퐁 캐나다 인코포레이티드(DuPont Canada Inc.), 캐나다 온타리오 미시소가) 및 암모늄 플루오로알킬설포네이트, 예컨대 노벡(Novec, 상표명) 4300(쓰리엠(3M))을 포함한다. 사용되는 에칭제가 플루오라이드를 포함하는 경우, 계면활성제 및 에칭제로서 사용될 수 있는 장쇄 테트라알킬암모늄 플루오라이드를 사용하는 것이 고려된다.
상기 하나 이상의 유기 용매는 하나 이상의 수-혼화성 유기 용매를 포함하며, 이때 상기 하나 이상의 수-혼화성 유기 용매는 구조식 R1R2R3C(OH)의 화합물로 이루어진 군으로부터 선택되며, 이때 R1, R2 및 R3은 서로 독립적이고, 수소, C2-C30알킬, C2-C30알켄, 사이클로알킬, C2-C30알콕시, 및 이들의 조합으로 이루어진 군으로부터 선택된다. 예를 들어, 상기 하나 이상의 용매는 메탄올, 에탄올, 이소프로판올, 부탄올, 펜탄올, 헥산올, 2-에틸-1-헥산올, 헵탄올, 옥탄올, 에틸렌 글리콜, 1,2- 및 1,3-프로필렌 글리콜, 1,2-, 1,3- 및 1,4-부탄다이올, 테트라하이드로푸르푸릴 알코올(THFA), 부틸렌 카보네이트, 에틸렌 카보네이트, 프로필렌 카보네이트, 다이프로필렌 글리콜, 다이에틸렌 글리콜 모노메틸 에터, 트라이에틸렌 글리콜 모노메틸 에터, 다이에틸렌 글리콜 모노에틸 에터, 트라이에틸렌 글리콜 모노에틸 에터, 에틸렌 글리콜 모노프로필 에터, 에틸렌 글리콜 모노부틸 에터, 다이에틸렌 글리콜 모노부틸 에터, 트라이에틸렌 글리콜 모노부틸 에터, 에틸렌 글리콜 모노헥실 에터, 다이에틸렌 글리콜 모노헥실 에터, 에틸렌 글리콜 페닐 에터, 프로필렌 글리콜 메틸 에터, 다이프로필렌 글리콜 메틸 에터(DPGME), 트라이프로필렌 글리콜 메틸 에터(TPGME), 다이프로필렌 글리콜 다이메틸 에터, 다이프로필렌 글리콜 에틸 에터, 프로필렌 글리콜 n-프로필 에터, 다이프로필렌 글리콜 n-프로필 에터(DPGPE), 트라이프로필렌 글리콜 n-프로필 에터, 프로필렌 글리콜 n-부틸 에터, 다이프로필렌 글리콜 n-부틸 에터, 트라이프로필렌 글리콜 n-부틸 에터, 프로필렌 글리콜 페닐 에터, 2,3-다이하이드로데카플루오로펜탄, 에틸 퍼플루오로부틸에터, 메틸 퍼플루오로부틸에터, 알킬 카보네이트, 알킬렌 카보네이트, 4-메틸-2-펜탄올, 테트라메틸렌 글리콜 다이메틸 에터, 다이메틸 설폭사이드, 및 이들의 조합을 포함할 수 있다. 바람직하게는, 상기 하나 이상의 유기 용매는 다이에틸렌 글리콜 모노에틸 에터, 다이에틸렌 글리콜 메틸 에터, 프로필렌 글리콜, 에틸렌 글리콜, 테트라에틸렌 글리콜 다이메틸 에터, 트라이에틸렌 글리콜 메틸 에터, 트라이에틸렌 글리콜 에틸 에터, 트라이프로필렌 글리콜 모노메틸 에터, 다이프로필렌 글리콜 모노메틸 에터, 및 이들의 조합으로 이루어진 군으로부터 선택되는 하나 이상의 화학종을 포함한다.
또다른 실시양태에서, 본원에 기술된 임의의 반-수성 조성물은 추가로, 티타늄 나이트라이드 및/또는 포토레지스트 에칭 물질 잔사를 포함하며, 이때 상기 잔사는 상기 반-수성 조성물에 현탁되고/되거나 용해된다.
하나의 실시양태에서, 상기 제 1 양태의 조성물은 하나 이상의 산화제, 하나 이상의 에칭제, 하나 이상의 부식 억제제, 적어도 실리카 공급원, 물 및 하나 이상의 유기 용매를 포함하거나, 이들로 이루어지거나, 또는 이들로 본질적으로 이루어지며, 이때 상기 조성물은 과산화 수소를 실질적으로 함유하지 않고, 상기 조성물의 총 중량을 기준으로 하기 범위로 존재한다:
Figure pct00001
상기 반-수성 조성물의 특히 바람직한 실시양태에서, 상기 하나 이상의 산화제는 바나듐 옥사이드, 암모늄 바나데이트, 암모늄 요오데이트, 암모늄 퍼요오데이트, 요오드산, 과요오드산, 1,4-벤조퀴논, 또는 이들의 조합을 포함하고; 상기 하나 이상의 에칭제는 헥사플루오로규산, 테트라플루오로붕산, 또는 이들의 조합을 포함하고; 상기 하나 이상의 부식 억제제는 5-메틸-1H-벤조트라이아졸, 피라졸, 벤조트라이아졸, 미리스틸트라이메틸암모늄 브로마이드, 벤즈알코늄 클로라이드, 헥사데실트라이메틸암모늄 p-톨루엔설포네이트, 헥사데실트라이메틸암모늄 하이드록사이드, 5-벤질-1H-테트라졸, 또는 이들의 조합을 포함하고; 상기 하나 이상의 실리카 공급원은 TEOS, TMAS, 나트륨 실리케이트, 또는 이들의 조합을 포함하고; 상기 하나 이상의 유기 용매는 다이에틸렌 글리콜 모노에틸 에터, 다이에틸렌 글리콜 메틸 에터, 프로필렌 글리콜, 에틸렌 글리콜, 테트라에틸렌 글리콜 다이메틸 에터, 트라이에틸렌 글리콜 메틸 에터, 트라이에틸렌 글리콜 에틸 에터, 트라이프로필렌 글리콜 모노메틸 에터, 다이프로필렌 글리콜 모노메틸 에터, 또는 이들의 조합을 포함한다.
사용 전에 희석되도록, 상기 반-수성 조성물의 농축된 형태를 제조하는 것이 통상적인 관행임을 이해할 것이다. 예를 들어, 상기 조성물은 더욱 농축된 형태로 제조되고, 공장(fab)에서 사용하기 이전에 및/또는 사용하는 도중에 제조자에 의해 하나 이상의 용매로 희석될 수 있다. 희석 비는 약 0.1 부 희석제:1 부 조성물 농축물 내지 약 100 부 희석제:1 부 조성물 농축물 범위일 수 있다. 본원에 기술된 조성물이, 시간에 따라 불안정할 수 있는 산화제를 포함함을 이해해야 한다. 따라서, 상기 농축된 형태는 산화제를 실질적으로 함유하지 않을 수 있고, 산화제는 공장에서 사용하기 이전에 및/또는 사용하는 도중에 제조자에 의해 상기 농축물 또는 상기 희석된 반-수성 조성물에 도입될 수 있다.
본원에 기술된 반-수성 조성물은 각각의 성분들의 단순 첨가 및 균일한 조건으로의 혼합에 의해 용이하게 배합된다. 또한, 상기 반-수성 조성물은 단일-패키지 조성물로, 또는 사용 시점에 또는 그 이전에 혼합되는 다중-파트 조성물로, 바람직하게는 다중-파트 조성물로 용이하게 배합될 수 있다. 상기 다중-파트 조성물의 개별적인 파트는 공구에서 또는 혼합 지역/영역에서, 예컨대 인라인(inline) 혼합기에서 또는 공구 상류의 저장 탱크에서 혼합될 수 있다. 상기 다중-파트 조성물의 다양한 파트가, 함께 혼합되는 경우 목적하는 조성물을 형성하는 구성요소/구성성분들의 임의의 조합을 포함할 수 있음이 고려된다. 각각의 구성요소의 농도는 특정의 여러 반-수성 조성물에서 폭넓게 다를 수 있으며, 즉, 더욱 희석되거나 더욱 농축되며, 상기 반-수성 조성물이 다양할 수 있고, 다르게는, 본원에 개시된 것과 일치하는 구성요소들의 임의의 조합을 포함하거나, 이들로 이루어지거나, 또는 이들로 본질적으로 이루어짐을 이해할 것이다.
따라서, 본 발명의 제 2 양태는, 하나 이상의 용기 내에 본원에 기술된 반-수성 조성물에 적합한 하나 이상의 성분을 포함하는 키트에 관한 것이다. 상기 키트의 용기는 상기 반-수성 조성물의 성분을 저장하고 선적하기에 적합해야 하며, 예를 들어, 나우팩(NOWPak, 등록상표) 용기(어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드(Advanced Technology Materials, Inc.), 미국 코네티컷 댄버리)이다. 상기 조성물의 성분들을 함유하는 하나 이상의 용기는 바람직하게는, 배합 및 분배를 위해 상기 하나 이상의 용기 중의 성분들을 유체 연통시키는 수단을 포함한다. 예를 들어, 상기 나우팩(등록상표) 용기와 관련하여, 상기 하나 이상의 용기에서 라이너의 외부에 기체 압력을 적용하여 상기 라이너의 내용물 중 적어도 일부가 배출되게 하고 이에 따라 배합 및 분배를 위한 유체 연통을 가능하게 할 수 있다. 다르게는, 기체 압력을 통상적인 가압성 용기의 헤드 공간에 적용하거나 또는 펌프를 사용하여 유체 연통을 가능하게 할 수 있다. 또한, 상기 시스템은 바람직하게는 배합된 조성물을 공정 공구로 분배하기 위한 분배 포트를 포함한다.
상기 하나 이상의 용기들에 대한 라이너를 제작하기 위해서, 바람직하게는 실질적으로 화학적으로 비활성이고 불순물이 없으며 가요성이고 탄성인 중합체성 필름 물질, 예를 들어 고밀도 폴리에틸렌을 사용한다. 바람직한 라이너 물질을 공-압출 또는 차단 층 없이, 상기 라이너에 배치되는 성분들의 순도 요건에 불리한 영향을 미칠 수도 있는 임의의 안료, UV 억제제 또는 가공제 없이 가공한다. 바람직한 라이너 물질의 목록은 순수한(첨가제가 없는) 폴리에틸렌, 순수한 폴리테트라플루오로에틸렌(PTFE), 폴리프로필렌, 폴리우레탄, 폴리비닐리덴 클로라이드, 폴리비닐클로라이드, 폴리아세탈, 폴리스타이렌, 폴리아크릴로나이트릴, 폴리부틸렌 등을 포함한다. 상기 라이너 물질의 바람직한 두께는 약 5 mil(0.005 in) 내지 약 30 mil(0.030 in)의 범위, 예를 들어 20 mil(0.020 in)의 범위이다.
상기 키트용 용기와 관련하여, 하기의 특허 및 특허 출원들 각각의 개시내용 전체를 본 발명에 참고로 인용한다: "초순수 액체 중 입자의 생성을 최소화하기 위한 장치 및 방법"이란 표제의 미국 특허 제 7,188,644 호; "회수가능하고 재사용가능한, 백-인-드럼 유체 보관 및 분배 용기 시스템"이란 표제의 미국 특허 제 6,698,619 호; 및 2008년 5월 9일자로 출원된 "물질 배합 및 분배를 위한 시스템 및 방법"이란 표제의 국제 특허 출원 제 PCT/US08/63276 호.
제 3 양태에서, 본 발명은, 본원에 기술된 반-수성 조성물을 사용하여, 상부에 티타늄 나이트라이드 물질을 갖는 마이크로전자 장치의 표면으로부터 상기 물질을 에칭하는 방법에 관한 것이다. 예를 들어, 상기 마이크로전자 장치 상에 존재하는 금속 전도체 및 절연체 물질을 실질적으로 손상시키지/제거하지 않으면서, 티타늄 나이트라이드 물질을 제거할 수 있다. 따라서, 바람직한 실시양태에서, 본원에 기술된 반-수성 조성물을 사용하여, 상부에 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을 갖는 마이크로전자 장치의 표면으로부터, 금속 전도체 및 절연체 물질에 비해 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을 선택적이고 실질적으로 제거하는 방법이 개시된다. 또 다른 바람직한 실시양태에서, 본원에 기술된 반-수성 조성물을 사용하여, 상부에 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을 갖는 마이크로전자 장치의 표면으로부터 금속 전도체(예컨대, 구리), 텅스텐 및 절연체 물질에 비해 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을 선택적이고 실질적으로 제거하는 방법이 개시된다.
에칭 용도에서는, 상부에 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을 포함하는 마이크로전자 장치의 표면 상에 상기 조성물을 임의의 적합한 방식으로, 예를 들어 상기 장치의 표면 상에 상기 반-수성 조성물을 분무하거나, 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을 포함하는 상기 장치를 침지시키거나(상기 반-수성 조성물의 정적 또는 동적 부피로), 상기 장치를 상기 반-수성 조성물이 표면 상에 흡수된 또 다른 물질(예컨대, 패드, 또는 섬유계 흡수제 도포기 부재)와 접촉시키거나, 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을 포함하는 상기 장치를 순환식 상기 반-수성 조성물과 접촉시키거나, 또는 상기 반-수성 조성물이 상기 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을 제거 접촉하게 하는 임의의 다른 적합한 수단, 방식 또는 기술에 의해 적용한다. 상기 적용은 동적인 또는 정적인 세정을 위해 배취 또는 단일 웨이퍼 장치 내에서 수행할 수 있다. 유리하게, 본원에 기술된 반-수성 조성물은, 상기 마이크로전자 장치 구조 상에 존재하고 상기 조성물에 노출될 수 있는 다른 물질들(예컨대, 금속 및 절연 물질(즉, 저-k 유전체))에 비해 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질에 대한 선택성 덕분에, 상기 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질의 적어도 부분적인 제거를 매우 효율적이고 매우 선택적인 방식으로 달성한다.
상부에 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을 갖는 마이크로전자 장치의 표면으로부터 상기 물질을 제거하기 위한, 본원에 기술된 반-수성 조성물의 사용에 있어서, 상기 반-수성 조성물은 전형적으로는 약 20℃ 내지 약 100℃, 바람직하게는 약 45℃ 내지 약 60℃ 범위의 온도에서, 약 0.3분 내지 약 30분, 바람직하게는 약 0.5분 내지 약 3분의 충분한 시간 동안 단일 웨이퍼 공구 내에서 상기 장치 구조와 접촉된다. 이러한 접촉 시간 및 온도는 예시적이며, 상기 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을 상기 장치 구조로부터 적어도 부분적으로 제거하기에 유효한 임의의 다른 적합한 시간 및 온도 조건들을 사용할 수 있다.
하나의 실시양태에서, 상기 반-수성 조성물은 상기 장치 구조로 전달되는 동안 인라인 가열된다. 욕 자체에서가 아니라 인라인 가열에 의해, 상기 반-수성 조성물의 수명이 증가한다.
목적하는 에칭 작용의 달성에 이어서, 상기 반-수성 조성물은, 본원에 기술된 반-수성 조성물의 제시된 최종 사용 용도에 바람직하고 유효할 수 있는 바와 같이, 앞서 상기 반-수성 조성물이 적용된 마이크로전자 장치로부터, 예를 들어 세정, 세척, 또는 다른 제거 단계(들)에 의해 용이하게 제거될 수 있다. 예를 들어, 상기 장치는 탈이온수를 포함하는 세정액으로 세정하고/하거나 건조(예컨대, 회전-건조, N2, 증기-건조 등)될 수 있다.
상기 반-수성 조성물은 바람직하게는 금속 전도체 및 절연(즉, 저-k 유전체) 물질에 비해 티타늄 나이트라이드 물질을 선택적으로 에칭한다. 하나의 실시양태에서, 50℃에서 티타늄 나이트라이드의 에칭 속도는 높지만(50 Åmin-1 이상, 바람직하게는 약 35 Åmin-1 이상), 동일한 온도에서, 금속(예컨대, Cu 및 W)의 에칭 속도는 낮고(약 10 Åmin-1 미만, 바람직하게는 약 5 Åmin-1 미만), 저-k 유전체의 에칭 속도도 낮다(약 10 Åmin-1 미만, 바람직하게는 약 5 Åmin-1 미만).
본 발명의 제 4 양태는, 본원에 기술된 방법에 따라 제조된 개선된 마이크로전자 장치, 및 상기 마이크로전자 장치를 포함하는 제품에 관한 것이다.
본 발명의 제 5 양태는, 마이크로전자 장치를 포함하는 물품의 제조 방법에 관한 것이며, 상기 방법은, 상부에 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을 갖는 마이크로전자 장치의 표면으로부터 상기 물질을 에칭 제거하기에 충분한 시간 동안 상기 마이크로전자 장치를 반-수성 조성물과 접촉시키는 단계, 및 상기 마이크로전자 장치를 상기 물품 내로 혼입하는 단계를 포함하며, 이때 상기 반-수성 조성물은 하나 이상의 산화제, 하나 이상의 에칭제, 하나 이상의 부식 억제제, 적어도 실리카 공급원, 물 및 하나 이상의 유기 용매을 포함하거나, 이들로 이루어지거나, 이들로 본질적으로 이루어지며, 상기 조성물은 과산화 수소를 실질적으로 함유하지 않는다. 상기 반-수성 조성물은 추가로 티타늄 나이트라이드 물질을 포함하거나, 이로 이루어지거나, 또는 이로 본질적으로 이루어진다.
본 발명의 제 6 양태는, 마이크로전자 장치 기판, 상기 기판 상의 티타늄 나이트라이드 층 및 본원에 기술된 조성물을 포함하거나, 이들로 이루어지거나, 이들로 본질적으로 이루어진 제조 물품에 관한 것이다.
본 발명의 상기 특징 및 이점은 하기에 논의되는 예시적인 실시예들에 의해 보다 충분히 제시된다.
실시예 1
0.003 중량%의 과요오드산, 1.2 중량%의 H2SiF6, 0.004 중량%의 벤즈알코늄 클로라이드, 0.3 중량%의 TEOS 및 98.493 중량%의 탈이온수를 포함하는 기본 용액 1을 제조하였다. 상기 기본 용액 1로부터 출발하여, 하기 표 1 내지 3에 제시되는 바와 같이 조성물을 제조하였다. 구리, 텅스텐, TiN 및 PETEOS 쿠폰(coupon)을 50℃에서 제시된 시간 동안 각각의 조성물에 침지시키고, 에칭 속도(E/R)를 측정하였다.
제시된 유기 용매를 나머지량의 기본 용액 1과 합쳐 제조된 조성물
유기 용매 Cu E/R
@ 20 min
W E/R
@ 30 min
TiN E/R
@ 1 min
조성물 A N/A 4.78 0.49 57.76
조성물 B 10 중량% TPGME 5.00 1.42 57.05
조성물 C 10 중량% DPGME 4.08 0.80 45.95
조성물 D 10 중량% 테트라에틸렌
글리콜 다이메틸 에터
4.51 0.91 48.19
조성물 E 10 중량% 다이에틸렌
글리콜 모노에틸 에터
6.18 1.16 45.38
조성물 F 10 중량% 트라이에틸렌
글리콜 메틸 에터
4.23 0.49 38.00
조성물 G 10 중량% 트라이에틸렌
글리콜 에틸 에터
3.99 0.92 39.00
조성물 H 10 중량% 다이에틸렌
글리콜 메틸 에터
3.67 0.73 39.72
조성물 I 10 중량% 에틸렌 글리콜 2.95 0.46 21.54
조성물 J 10 중량% 프로필렌 글리콜 3.26 0.91 37.56
제시된 유기 용매 및 부식 억제제를 나머지량의 기본 용액 1과 합쳐 제조된 조성물
유기 용매 mBTA Cu E/R
@ 20 min
W E/R
@ 30 min
TiN E/R
@ 1 min
조성물 Z N/A 0.5 중량% 5.74 0.83 51.62
조성물 K 10 중량% TPGME 0.5 중량% 7.06 0.59 37.27
조성물 L 10 중량% DPGME 0.5 중량% 2.16 0.97 44.01
조성물 M 10 중량% 테트라에틸렌
글리콜 다이메틸 에터
0.5 중량% 4.53 0.57 49.34
조성물 N 10 중량% 다이에틸렌
글리콜 모노에틸 에터
0.5 중량% 1.98 1.05 43.53
조성물 O 10 중량% 트라이에틸렌
글리콜 메틸 에터
0.5 중량% 3.07 0.74 42.87
조성물 P 10 중량% 트라이에틸렌
글리콜 에틸 에터
0.5 중량% 3.07 0.80 42.02
조성물 Q 10 중량% 다이에틸렌
글리콜 메틸 에터
0.5 중량% 2.21 0.78 41.96
조성물 R 10 중량% 에틸렌 글리콜 0.5 중량% 1.30 0.93 37.01
조성물 S 10 중량% 프로필렌 글리콜 0.5 중량% 1.80 0.66 42.58
제시된 유기 용매 및 부식 억제제 mBTA를 나머지량의 기본 용액 1과 합쳐 제조된 조성물
mBTA
(중량%)
유기 용매 Cu E/R
@ 20 min
W E/R
@ 30 min
TiN E/R
@ 1 min
PETEOS E/R
@ 30 min
조성물 Z 0.500 5.21 0.15 37.51 -0.05
조성물 K 0.500 10 중량% TPGME 7.06 0.59 37.27 -0.56
조성물 T 0.500 50 중량% TPGME 6.07 4.09 28.62 0.25
조성물 U 0.500 10 중량% 다이에틸렌
글리콜 모노부틸 에터
6.98 4.22 38.87 -0.12
조성물 V 0.500 50 중량% 다이에틸렌
글리콜 모노부틸 에터
5.02 1.01 14.84 0.23
조성물 L 0.500 10 중량% DPGME 1.60 0.47 42.47 -0.13
조성물 W 0.500 50 중량% DPGME 1.99 -0.05 8.62 0.23
조성물 X 0.500 50 중량% 테트라에틸렌
글리콜 다이메틸 에터
4.24 0.21 8.08 0.21
조성물 Y 0.500 50 중량% 트라이에틸렌
글리콜 메틸 에터
4.68 0.24 9.57 0.26
10 중량%의 유기 용매 및 0.5%의 mBTA를 첨가하는 것은 TiN 에칭 속도에 상당한 효과를 미치지 못하지만, Cu 및 W 에칭 속도는 감소시켰음을 알 수 있다. 유기 용매의 양을 50 중량%로 올리면, TiN 에칭 속도가 감소되었다. 50 중량%의 유기 용매를 사용한 결과는, 상기 조성물의 선택도를 변화시키도록 상기 조성물이 조정되었음을 암시한다. 예를 들어, 용매 농도를 조정함으로써, TiN 에칭 속도가 40 Åmin-1에서 10 Åmin-1 미만으로 변하고, Cu 및 W 에칭 속도가 감소될 수 있다(예컨대, 용매 DPGME를 갖는 조성물 L 및 W에서). 또다른 양태에서, 상기 반-수성 조성물은, TiN 및 W에 비해 구리를 선택적으로 제거하거나 W에 비해 Cu 및 TiN를 선택적으로 제거하는 조성물이다.
10 중량%의 유기 용매를 첨가하는 것이 구리의 에칭 속도를 감소시킴을 알 수 있으며, 이는, 유기 용매가 mBTA의 용해를 돕기 때문인 것으로 생각된다. 또한, 유기 용매를 mBTA와 함께 첨가하면, TiN 에칭 속도에는 부정적인 영향이 없었지만, Cu 및 W과는 여전히 혼화성이었다.
실시예 2
0.01 중량%의 암모늄 바나데이트, 1.2 중량%의 H2SiF6, 0.004 중량%의 미리스틸 트라이메틸 암모늄 브로마이드, 0.3 중량%의 TEOS 및 98.486 중량%의 탈이온수를 포함하는 기본 용액 2를 제조하였다. 상기 기본 용액 2로부터 출발하여, 하기 표 4에 제시되는 바와 같은 조성물을 제조하였다. 구리, 텅스텐, TiN 및 PETEOS 쿠폰을 50℃에서 제시된 시간 동안 각각의 조성물에 침지시키고, 에칭 속도(E/R)를 측정하였다.
제시된 유기 용매 및 부식 억제제 mBTA를 나머지량의 기본 용액 2와 합침으로써 제조된 조성물
mBTA
(중량%)
용매 Cu E/R
@ 20 min
W E/R
@ 30 min
TiN E/R
@ 1 min
PETEOS E/R
@ 30 min
조성물 AA 0.500 N/A 2.45 < 1 55.11 < 0.3
조성물 BB 0.500 10 중량% DPGME 5.06 < 1 59.25 < 0.3
조성물 CC 0.500 10 중량% 테트라에틸렌
글리콜 다이메틸 에터
<2 < 1 58.08 < 0.3
조성물 DD 0.500 10 중량% 다이에틸렌
글리콜 모노에틸 에터
3.24 < 1 57.85 < 0.3
조성물 EE 0.500 10 중량% 트라이에틸렌
글리콜 메틸 에터
2.90 < 1 61.28 < 0.3
조성물 FF 0.500 10 중량% THFA <2 < 1 22.28 < 0.3
조성물 GG 0.500 10 중량% 트라이에틸렌
글리콜 에틸 에터
2.44 < 1 63.66 < 0.3
조성물 HH 0.500 10 중량% 다이에틸렌
글리콜 모노메틸 에터
4.25 < 1 59.20 < 0.3
조성물 II 0.500 10 중량% 에틸렌 글리콜 4.69 < 1 48.68 < 0.3
조성물 JJ 0.500 10 중량% 프로필렌 글리콜 8.36 < 1 51.00 < 0.3
단지 하나의 용매(THFA)가 10 중량%에서 TiN의 에칭 속도를 상당히 변화시킴을 알 수 있다.
실시예 3
테트라플루오로붕산, 피라졸, 바나듐(IV,V) 옥사이드 및 물을 포함하는 조성물을 제조하고, PETEOS, Cu(Cu), TiN 및 W 쿠폰의 에칭 속도(ER)를 50℃에서 각각의 조성물에 침지한 후에 측정하였다. 조성 및 결과를 하기 표 5에 제시한다.
조성 및 에칭 속도
조성물 BF4/g V6O13/g 피라졸/g 탈이온수/g PETEOS ER/
Åmin-1
Cu ER/
Åmin-1
TiN ER/
Åmin-1
W ER/
Åmin-1
KK 0.1-0.5 0.001-0.2 0.1-0.4 > 95 <0.3 29.07 15.79 <2
LL 0.1-0.5 0.001-0.2 0.1-0.4 > 95 <0.3 44.26 12.85 2-3
MM 0.1-0.5 0.001-0.2 0.4-0.8 > 95 <0.3 45.72 9.87 <2
NN 0.5-1 0.001-0.2 0.1-0.4 > 95 1.11 32.96 >20 2-3
OO 0.5-1 0.001-0.2 0.1-0.4 > 95 1.62 35.28 >20 2-3
PP 0.5-1 0.001-0.2 0.1-0.4 > 95 0.70 43.67 >20 >3
QQ 0.5-1 0.001-0.2 0.1-0.4 > 95 1.55 48.39 17.01 <2
RR 0.5-1 0.001-0.2 0.4-0.8 > 95 0.47 48.76 17.85 <2
SS 0.1-0.5 0.001-0.2 0.4-0.8 > 95 <0.3 31.00 3.43 <2
실시예 4
테트라플루오로붕산, 피라졸, 바나듐(IV,V) 옥사이드, 물, 다이에틸렌 글리콜 모노부틸 에터(DEGBE) 및 추가적인 성분을 포함하는 조성물을 하기 표 6에 제시되는 바와 같이 제조하였다. PETEOS, Cu(Cu), TiN 및 W 쿠폰의 에칭 속도(ER)를, 하기 표 7에 제시되는 바와 같이, 40℃에서 각각의 조성물에 침지한 후에 측정하였다.
조성
조성물 HBF4/g V6O13/g 피라졸/g 탈이온수/g DEGBE/g 추가적인 성분
AAA 0.1-0.5 0.002-0.2 0.4-0.8 >80
15
BBB 0.1-0.5 0.002-0.2 0.4-0.8 >80 15 5 g DMSO
1 g BTA
CCC 0.1-0.5 0.002-0.2 0.4-0.8 >80 15 5 g DMSO
1 g BTA
0.05 g 옥살산
DDD 0.5-1 0.002-0.2 0.4-0.8 >80 15 5 g DMSO
1 g BTA
0.2 g 옥살산
EEE 0.5-1 0.002-0.2 0.4-0.8 >80 15 5 g DMSO
1 g BTA
2 g 아세트산
FFF 0.5-1 0.002-0.2 0.4-0.8 >80 15 5 g DMSO
1 g BTA
0.2 g 옥살산
조성물 AAA 내지 FFF의 에칭 속도
조성물 PETEOS ER/Åmin-1 Cu ER/
Åmin-1
TiN ER/
Åmin-1
W ER/
Åmin-1
AAA <0.3 19.534 7.286 >3
BBB <0.3 7.860 4.447 2-3
CCC <0.3 3.235 2.790 <2
DDD <0.3 3.613 1.323 <2
EEE <0.3 7.017 4.869 2-3
FFF <0.3 3.262 1.495 <2
본 발명이 본원에서 본 발명의 특정 양태, 특징 및 예시적 실시양태를 참조하여 기술되었지만, 본 발명의 유용성이 이렇게 제한되지는 않으며, 오히려 본원의 개시내용에 기초하여, 본 발명의 분야의 당업자가 생각해낼 수 있는 다수의 다른 변화, 변형 및 대안적 실시양태를 포함함을 이해할 것이다. 따라서, 첨부된 특허청구범위에서 청구되는 본 발명은, 본 발명의 진의 및 범주 내에서 이러한 모든 변화, 변형 및 대안적 실시양태를 포괄하는 것으로 광범위하게 이해되고 해석되는 것으로 의도된다.

Claims (22)

  1. 상부에 티타늄 나이트라이드 및/또는 포토레지스트 에칭 잔사 물질을 갖는 마이크로전자 장치의 표면으로부터 상기 물질을 선택적으로 제거하기 위한 조성물로서,
    상기 조성물은 하나 이상의 산화제, 하나 이상의 에칭제(echant), 하나 이상의 부식 억제제, 적어도 실리카 공급원, 물 및 하나 이상의 유기 용매를 포함하고,
    상기 조성물은 과산화 수소를 실질적으로 함유하지 않는, 조성물.
  2. 제 1 항에 있어서,
    상기 에칭제가, H2ZrF6, H2TiF6, HPF6, HF, 암모늄 플루오라이드, 암모늄 바이플루오라이드, 테트라플루오로붕산, 헥사플루오로규산, 테트라부틸암모늄 테트라플루오로보레이트(TBA-BF4), 암모늄 헥사플루오로실리케이트, 암모늄 헥사플루오로티타네이트, 테트라알킬암모늄 플루오라이드(NR1R2R3R4F), 테트라알킬암모늄 하이드록사이드(NR1R2R3R4OH), 약염기, 및 이들의 조합으로 이루어진 군으로부터 선택되는 화학종을 포함하고, 이때 R1, R2, R3, 및 R4는 서로 동일하거나 상이할 수 있고, 직쇄 또는 분지쇄 C1-C6 알킬 기로 이루어진 군으로부터 선택되는, 조성물.
  3. 제 1 항에 있어서,
    상기 에칭제가 테트라플루오로붕산, 헥사플루오로규산, 또는 이들의 조합을 포함하는, 조성물.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 산화제가, FeCl3(수화된 것 및 수화되지 않은 것 모두), Fe(NO3)3, Sr(NO3)2, CoF3, FeF3, MnF3, 옥손(2KHSO5·KHSO4·K2SO4), 과요오드산, 요오드산, 바나듐(V) 옥사이드, 바나듐(IV,V) 옥사이드, 암모늄 바나데이트, 암모늄 퍼옥소모노설페이트, 암모늄 클로라이트(NH4ClO2), 암모늄 클로레이트(NH4ClO3), 암모늄 요오데이트(NH4IO3), 암모늄 나이트레이트(NH4NO3), 암모늄 퍼보레이트(NH4BO3), 암모늄 퍼클로레이트(NH4ClO4), 암모늄 퍼요오데이트(NH4IO3), 암모늄 퍼설페이트((NH4)2S2O8), 암모늄 하이포클로라이트(NH4ClO), 암모늄 텅스테이트((NH4)10H2(W2O7)), 나트륨 퍼설페이트(Na2S2O8), 나트륨 하이포클로라이트(NaClO), 나트륨 퍼보레이트, 칼륨 요오데이트(KIO3), 칼륨 퍼망가네이트(KMnO4), 칼륨 퍼설페이트, 질산(HNO3), 칼륨 퍼설페이트(K2S2O8), 칼륨 하이포클로라이트(KClO)), 테트라메틸암모늄 클로라이트((N(CH3)4)ClO2), 테트라메틸암모늄 클로레이트((N(CH3)4)ClO3), 테트라메틸암모늄 요오데이트((N(CH3)4)IO3), 테트라메틸암모늄 퍼보레이트((N(CH3)4)BO3), 테트라메틸암모늄 퍼클로레이트((N(CH3)4)ClO4), 테트라메틸암모늄 퍼요오데이트((N(CH3)4)IO4), 테트라메틸암모늄 퍼설페이트((N(CH3)4)S2O8), 테트라부틸암모늄 퍼옥소모노설페이트, 퍼옥소일황산, 질산 제2철(Fe(NO3)3), 과산화아세트산(CH3(CO)OOH), 1,4-벤조퀴논, 톨루퀴논, 다이메틸-1,4-벤조퀴논, 클로라닐, 알록산, N-메틸모폴린 N-옥사이드, 트라이메틸아민 N-옥사이드, 및 이들의 조합으로 이루어진 군으로부터 선택되는 화학종을 포함하는, 조성물.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 산화제가, 바나듐 옥사이드, 암모늄 요오데이트, 암모늄 퍼요오데이트, 암모늄 바나데이트, 과요오드산, 요오드산, 1,4-벤조퀴논, 및 이들의 조합으로 이루어진 군으로부터 선택되는 화학종을 포함하는, 조성물.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 산화제가, 암모늄 바나데이트 및 과요오드산으로 이루어진 군으로부터 선택되는 화학종을 포함하는, 조성물.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 하나 이상의 부식 억제제가, 5-아미노-1,3,4-티아다이아졸-2-티올(ATDT), 벤조트라이아졸(BTA), 1,2,4-트라이아졸(TAZ), 톨릴트라이아졸, 5-메틸-벤조트라이아졸(mBTA), 5-페닐-벤조트라이아졸, 5-나이트로-벤조트라이아졸, 벤조트라이아졸 카복실산, 3-아미노-5-머캅토-1,2,4-트라이아졸, 1-아미노-1,2,4-트라이아졸, 하이드록시벤조트라이아졸, 2-(5-아미노-펜틸)-벤조트라이아졸, 1-아미노-1,2,3-트라이아졸, 1-아미노-5-메틸-1,2,3-트라이아졸, 3-아미노-1,2,4-트라이아졸, 3-머캅토-1,2,4-트라이아졸, 3-이소프로필-1,2,4-트라이아졸, 5-페닐티올-벤조트라이아졸, 할로-벤조트라이아졸(할로 = F, Cl, Br 또는 I), 나프토트라이아졸, 2-머캅토벤즈이미다졸(MBI), 2-머캅토벤조티아졸, 4-메틸-2-페닐이미다졸, 2-머캅토티아졸린, 5-아미노테트라졸, 펜틸렌테트라졸, 5-페닐-1H-테트라졸, 5-벤질-1H-테트라졸, 아블루민(Ablumine) O, 2-벤질피리딘, 석신이미드, 말레이미드, 프탈이미드, 글루타르이미드, 2,4-다이아미노-6-메틸-1,3,5-트라이아진, 티아졸, 피라졸, 트라이아진, 메틸테트라졸, 1,3-다이메틸-2-이미다졸리딘온, 1,5-펜타메틸렌테트라졸, 1-페닐-5-머캅토테트라졸, 다이아미노메틸트라이아진, 이미다졸린 티온, 4-메틸-4H-1,2,4-트라이아졸-3-티올, 벤조티아졸, 이미다졸, 인다이아졸, 아데노신, 카바졸, 사카린, 벤조인 옥심, 폴리폭스(PolyFox) PF-159, 폴리(에틸렌 글리콜)(PEG), 폴리(프로필렌 글리콜)(PPG), PEG-PPG 공중합체, 도데실벤젠설폰산, 나트륨 도데실벤젠설포네이트, 벤즈알코늄 클로라이드, 벤질다이메틸도데실암모늄 클로라이드, 미리스틸트라이메틸암모늄 브로마이드, 도데실트라이메틸암모늄 브로마이드, 헥사데실피리디늄 클로라이드, 알리쿼트(Aliquat) 336, 벤질다이메틸페닐암모늄 클로라이드, 크로다쿼트(Crodaquat) TES, 리워쿼트(Rewoquat) CPEM, 헥사데실트라이메틸암모늄 p-톨루엔설포네이트, 헥사데실트라이메틸암모늄 하이드록사이드, 1-메틸-1'-테트라데실-4,4'-바이피리듐 다이클로라이드, 알킬트라이메틸암모늄 브로마이드, 앰프롤륨 하이드로클로라이드, 벤제토늄 하이드록사이드, 벤제토늄 클로라이드, 벤질다이메틸헥사데실암모늄 클로라이드, 벤질다이메틸테트라데실암모늄 클로라이드, 벤질도데실다이메틸암모늄 브로마이드, 벤질도데실다이메틸암모늄 클로라이드, 세틸피리디늄 클로라이드, 콜린 p-톨루엔설포네이트 염, 다이메틸다이옥타데실암모늄 브로마이드, 도데실에틸다이메틸암모늄 브로마이드, 도데실트라이메틸암모늄 클로라이드, 에틸헥사데실다이메틸암모늄 브로마이드, 지라드(Girard's) 시약, 헥사데실(2-하이드록시에틸)다이메틸암모늄 이수소 포스페이트, 덱사데실피리디늄 브로마이드, 헥사데실트라이메틸암모늄 브로마이드, 헥사데실트라이메틸암모늄 클로라이드, 메틸벤제토늄 클로라이드, 하이아민(Hyamine, 등록상표) 1622, 루비쿼트(Luviquat, 상표명), N,N',N'-폴리옥시에틸렌(10)-N-탈로우(tallow)-1,3-다이아미노프로판 액체, 옥시페노늄 브로마이드, 테트라헵틸암모늄 브로마이드, 테트라키스(데실)암모늄 브로마이드, 톤조늄 브로마이드, 트라이도데실암모늄 클로라이드, 트라이메틸옥타데실암모늄 브로마이드, 1-메틸-3-n-옥틸이미다졸륨 테트라플루오로보레이트, 1-데실-3-메틸이미다졸륨 테트라플루오로보레이트, 1-데실-3-메틸이미다졸륨 클로라이드, 트라이도데실메틸암모늄 브로마이드, 다이메틸다이스테아릴암모늄 클로라이드, 헥사메토늄 클로라이드, 및 이들의 조합으로 이루어진 군으로부터 선택되는 화학종을 포함하는, 조성물.
  8. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 하나 이상의 부식 억제제가 벤조트라이아졸 유도체, 양이온성 4급 계면활성제, 또는 이들의 조합을 포함하는, 조성물.
  9. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 하나 이상의 부식 억제제가 메틸 벤조트라이아졸을 포함하는, 조성물.
  10. 제 1 항 내지 제 9 항 중 어느 한 항에 있어서,
    상기 실리카 공급원이, 메틸트라이메톡시실란, 다이메틸다이메톡시실란, 페닐트라이메톡시실란, 테트라에톡시실란(TEOS), N-프로필트라이메톡시실란, N-프로필트라이에톡시실란, 헥실트라이메톡시실란, 헥실트라이에톡시실란, 암모늄 헥사플루오로실리케이트, 나트륨 실리케이트, 테트라메틸 암모늄 실리케이트(TMAS), 및 이들의 조합, 바람직하게는 TEOS, TMAS, 나트륨 실리케이트, 및 이들의 조합으로 이루어진 군으로부터 선택되는 하나 이상의 화학종을 포함하는, 조성물.
  11. 제 1 항 내지 제 10 항 중 어느 한 항에 있어서,
    상기 하나 이상의 유기 용매가, 메탄올, 에탄올, 이소프로판올, 부탄올, 펜탄올, 헥산올, 2-에틸-1-헥산올, 헵탄올, 옥탄올, 에틸렌 글리콜, 1,2- 및 1,3-프로필렌 글리콜, 1,2-, 1,3- 및 1,4-부탄다이올, 테트라하이드로푸르푸릴 알코올(THFA), 부틸렌 카보네이트, 에틸렌 카보네이트, 프로필렌 카보네이트, 다이프로필렌 글리콜, 다이에틸렌 글리콜 모노메틸 에터, 트라이에틸렌 글리콜 모노메틸 에터, 다이에틸렌 글리콜 모노에틸 에터, 트라이에틸렌 글리콜 모노에틸 에터, 에틸렌 글리콜 모노프로필 에터, 에틸렌 글리콜 모노부틸 에터, 다이에틸렌 글리콜 모노부틸 에터, 트라이에틸렌 글리콜 모노부틸 에터, 에틸렌 글리콜 모노헥실 에터, 다이에틸렌 글리콜 모노헥실 에터, 에틸렌 글리콜 페닐 에터, 프로필렌 글리콜 메틸 에터, 다이프로필렌 글리콜 메틸 에터(DPGME), 트라이프로필렌 글리콜 메틸 에터(TPGME), 다이프로필렌 글리콜 다이메틸 에터, 다이프로필렌 글리콜 에틸 에터, 프로필렌 글리콜 n-프로필 에터, 다이프로필렌 글리콜 n-프로필 에터(DPGPE), 트라이프로필렌 글리콜 n-프로필 에터, 프로필렌 글리콜 n-부틸 에터, 다이프로필렌 글리콜 n-부틸 에터, 트라이프로필렌 글리콜 n-부틸 에터, 프로필렌 글리콜 페닐 에터, 2,3-다이하이드로데카플루오로펜탄, 에틸 퍼플루오로부틸에터, 메틸 퍼플루오로부틸에터, 알킬 카보네이트, 알킬렌 카보네이트, 4-메틸-2-펜탄올, 테트라메틸렌 글리콜 다이메틸 에터, 다이메틸 설폭사이드, 및 이들의 조합, 바람직하게는 다이에틸렌 글리콜 모노에틸 에터, 다이에틸렌 글리콜 메틸 에터, 프로필렌 글리콜, 에틸렌 글리콜, 테트라에틸렌 글리콜 다이메틸 에터, 트라이에틸렌 글리콜 메틸 에터, 트라이에틸렌 글리콜 에틸 에터, 트라이프로필렌 글리콜 모노메틸 에터, 다이프로필렌 글리콜 모노메틸 에터, 및 이들의 조합으로 이루어진 군으로부터 선택되는 화학종을 포함하는, 조성물.
  12. 제 1 항 내지 제 11 항 중 어느 한 항에 있어서,
    상기 조성물이, 하나 이상의 저-k 부동태화제(passivating agent), 하나 이상의 계면활성제, 하나 이상의 요오드 소거제(scavenger), 및 이들의 조합으로 이루어진 군으로부터 선택되는 하나 이상의 추가적인 성분을 추가로 포함하는, 조성물.
  13. 제 1 항 내지 제 12 항 중 어느 한 항에 있어서,
    상기 조성물이 아민, 화학적 기계적 연마용 연마재, 금속 할라이드, 및 이들의 조합을 실질적으로 함유하지 않는, 조성물.
  14. 제 1 항 내지 제 13 항 중 어느 한 항에 있어서,
    상기 조성물이 약 45℃ 내지 약 60℃ 범위의 온도에서 40 초과:1의 TiN 대 텅스텐 선택도 및 약 1 Åmin-1 미만의 텅스텐 제거 속도를 갖는, 조성물.
  15. 제 1 항 내지 제 14 항 중 어느 한 항에 있어서,
    상기 조성물이 약 45℃ 내지 약 60℃ 범위의 온도에서 20 초과:1의 TiN 대 구리 선택도 및 약 2 Åmin-1 미만의 구리 제거 속도를 갖는, 조성물.
  16. 제 1 항 내지 제 15 항 중 어느 한 항에 있어서,
    상기 조성물의 pH가 약 0 내지 약 4 범위인, 조성물.
  17. 상부에 티타늄 나이트라이드 물질을 갖는 마이크로전자 장치의 표면으로부터 상기 물질을 에칭하는 방법으로서,
    상기 방법은, 상기 표면을 제 1 항 내지 제 16 항 중 어느 한 항에 따른 조성물과 접촉시키는 단계를 포함하며, 이때 상기 조성물은 금속 및 절연 물질에 비해 상기 티타늄 나이트라이드를 상기 표면으로부터 선택적으로 제거하는, 방법.
  18. 제 17 항에 있어서,
    상기 접촉이, 약 20℃ 내지 약 100℃ 범위의 온도에서 약 0.3분 내지 약 30분 범위의 시간을 포함하는, 방법.
  19. 제 17 항 또는 제 18 항에 있어서,
    상기 조성물이, 목적하는 에칭 작업 이후에 상기 표면으로부터 세척되는, 방법.
  20. 제 17 항 내지 제 19 항 중 어느 한 항에 있어서,
    상기 금속이 구리, 텅스텐 또는 이들 둘 다를 포함하는, 방법.
  21. 제 17 항 내지 제 20 항 중 어느 한 항에 있어서,
    상기 조성물이 약 45℃ 내지 약 60℃ 범위의 온도에서 40 초과:1의 TiN 대 텅스텐 선택도 및 약 1 Åmin-1 미만의 텅스텐 제거 속도를 갖는, 방법.
  22. 제 17 항 내지 제 21 항 중 어느 한 항에 있어서,
    상기 조성물이 약 45℃ 내지 약 60℃ 범위의 온도에서 20 초과:1의 TiN 대 구리 선택도 및 약 2 Åmin-1 미만의 구리 제거 속도를 갖는, 방법.
KR1020157026906A 2013-03-04 2014-03-04 티타늄 나이트라이드를 선택적으로 에칭하기 위한 조성물 및 방법 KR102294726B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361772251P 2013-03-04 2013-03-04
US61/772,251 2013-03-04
PCT/US2014/020312 WO2014138064A1 (en) 2013-03-04 2014-03-04 Compositions and methods for selectively etching titanium nitride

Publications (2)

Publication Number Publication Date
KR20150126637A true KR20150126637A (ko) 2015-11-12
KR102294726B1 KR102294726B1 (ko) 2021-08-30

Family

ID=51491868

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157026906A KR102294726B1 (ko) 2013-03-04 2014-03-04 티타늄 나이트라이드를 선택적으로 에칭하기 위한 조성물 및 방법

Country Status (8)

Country Link
US (1) US10472567B2 (ko)
EP (1) EP2964725B1 (ko)
JP (1) JP6363116B2 (ko)
KR (1) KR102294726B1 (ko)
CN (1) CN105102584B (ko)
SG (2) SG11201507014RA (ko)
TW (1) TWI655273B (ko)
WO (1) WO2014138064A1 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170084600A (ko) * 2016-01-12 2017-07-20 동우 화인켐 주식회사 질화 티탄(TiN) 막의 식각액 조성물 및 그를 이용한 금속배선의 형성 방법
KR20190106803A (ko) * 2018-03-09 2019-09-18 버슘머트리얼즈 유에스, 엘엘씨 반도체 소자의 제조 동안 규소-게르마늄/게르마늄 적층물로부터 규소-게르마늄 합금을 선택적으로 제거하기 위한 에칭액
KR20190110171A (ko) * 2018-03-19 2019-09-30 삼성디스플레이 주식회사 식각액 조성물 및 이를 이용한 금속 패턴과 어레이 기판의 제조 방법
KR20210093710A (ko) * 2020-01-17 2021-07-28 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 및 제조 방법

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101790090B1 (ko) * 2013-05-02 2017-10-25 후지필름 가부시키가이샤 에칭 방법, 이에 이용하는 에칭액 및 에칭액의 키트, 및 반도체 기판 제품의 제조 방법
JP6723152B2 (ja) 2013-06-06 2020-07-15 インテグリス・インコーポレーテッド 窒化チタンを選択的にエッチングするための組成物及び方法
CN112442374A (zh) * 2013-07-31 2021-03-05 恩特格里斯公司 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
TWI654340B (zh) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
JP6776125B2 (ja) 2013-12-20 2020-10-28 インテグリス・インコーポレーテッド イオン注入レジストの除去のための非酸化性の強酸の使用
WO2015103146A1 (en) 2013-12-31 2015-07-09 Advanced Technology Materials, Inc. Formulations to selectively etch silicon and germanium
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
TWI558850B (zh) * 2014-03-29 2016-11-21 精密聚合物股份有限公司 電子零件用處理液及電子零件之製造方法
WO2016042408A2 (en) * 2014-09-17 2016-03-24 Atmi Taiwan Co., Ltd. Compositions for etching titanium nitride having compatability with silicon germanide and tungsten
TWI546371B (zh) * 2014-11-10 2016-08-21 盟智科技股份有限公司 研磨組成物
US10332784B2 (en) * 2015-03-31 2019-06-25 Versum Materials Us, Llc Selectively removing titanium nitride hard mask and etch residue removal
US9976111B2 (en) 2015-05-01 2018-05-22 Versum Materials Us, Llc TiN hard mask and etch residual removal
US10294422B2 (en) * 2015-07-16 2019-05-21 Hailiang Wang Etching compositions for transparent conductive layers comprising silver nanowires
CN106919013B (zh) * 2015-12-28 2021-12-07 安集微电子(上海)有限公司 一种低蚀刻的去除光阻残留物的清洗液
CN106404501A (zh) * 2016-08-29 2017-02-15 中航动力股份有限公司 一种工业纯钛ta2的电解腐蚀剂及电解抛光腐蚀方法
US10655035B2 (en) * 2017-05-25 2020-05-19 Saint-Gobain Ceramics & Plastics, Inc. Oxidizing fluid for the chemical-mechanical polishing of ceramic materials
CN107229193B (zh) * 2017-07-25 2019-04-23 上海新阳半导体材料股份有限公司 一种清洗剂、其制备方法和应用
US11499236B2 (en) * 2018-03-16 2022-11-15 Versum Materials Us, Llc Etching solution for tungsten word line recess
TW201942350A (zh) * 2018-04-04 2019-11-01 德商巴斯夫歐洲公司 用於移除灰分後殘留物及/或用於氧化蝕刻包含TiN之層或遮罩之含伸乙硫脲之組成物
KR102579803B1 (ko) * 2018-07-06 2023-09-19 엔테그리스, 아이엔씨. 물질의 선택적 에칭을 위한 개선
KR102665340B1 (ko) 2018-09-18 2024-05-14 삼성전자주식회사 식각 조성물 및 이를 이용한 반도체 소자의 제조 방법
US20220073820A1 (en) * 2019-02-13 2022-03-10 Tokuyama Corporation Onium salt-containing treatment liquid for semiconductor wafers
JP7081010B2 (ja) * 2019-02-13 2022-06-06 株式会社トクヤマ オニウム塩を含む半導体ウェハの処理液
KR20220016516A (ko) * 2019-07-05 2022-02-09 후지필름 가부시키가이샤 조성물, 키트, 기판의 처리 방법
SG11202109430PA (en) * 2019-10-03 2021-09-29 Nissan Chemical Corp Cation-containing polishing composition for eliminating protrusions around laser mark
CN113122267A (zh) * 2019-12-31 2021-07-16 安集微电子科技(上海)股份有限公司 一种促进剂组合物在去除铜大马士革工艺中氮化钛的应用
CN115210339A (zh) * 2020-03-04 2022-10-18 弗萨姆材料美国有限责任公司 用于氮化钛和钼导电金属线的蚀刻溶液
CN111621791B (zh) * 2020-05-06 2022-05-10 中国石油天然气集团有限公司 一种抗稀盐酸和硫化氢腐蚀的炼油蒸馏塔顶缓蚀剂
KR20230048396A (ko) * 2020-08-13 2023-04-11 엔테그리스, 아이엔씨. 니트라이드 에천트 조성물 및 방법
KR20230129242A (ko) * 2020-12-29 2023-09-07 엔테그리스, 아이엔씨. 금속 산화물 하드 마스크의 선택적 제거
CN117581338A (zh) * 2021-07-02 2024-02-20 三菱瓦斯化学株式会社 存储元件用半导体基板的蚀刻组合物和使用其的存储元件用半导体基板的制造方法
CN114350365A (zh) * 2021-12-07 2022-04-15 湖北兴福电子材料有限公司 一种稳定蚀刻氮化钛的蚀刻液
CN114369462A (zh) * 2021-12-16 2022-04-19 湖北兴福电子材料有限公司 一种选择性蚀刻氮化钛及钨的蚀刻液
TW202342821A (zh) * 2022-02-24 2023-11-01 日商三菱瓦斯化學股份有限公司 組成物、以及使用其之半導體基板之製造方法及蝕刻方法
WO2023230235A1 (en) * 2022-05-27 2023-11-30 Entegris, Inc. Etchant composition and method
CN114989825B (zh) * 2022-06-30 2023-07-11 湖北兴福电子材料股份有限公司 一种掺钪氮化铝和钨的选择性蚀刻液
CN115011347B (zh) * 2022-06-30 2023-12-29 湖北兴福电子材料股份有限公司 一种氮化铝和钨的选择性蚀刻液
WO2024024811A1 (ja) * 2022-07-28 2024-02-01 三菱瓦斯化学株式会社 半導体基板洗浄用組成物およびこれを用いた半導体基板の製造方法
TWI814652B (zh) * 2022-11-28 2023-09-01 南亞塑膠工業股份有限公司 去膜液

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080072905A (ko) * 2005-11-09 2008-08-07 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 표면에 저유전 물질이 있는 반도체 웨이퍼를 재생하기 위한조성물 및 방법
KR20090096728A (ko) * 2006-12-21 2009-09-14 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 에칭 후 잔류물의 제거를 위한 액체 세정제
KR20130015943A (ko) * 2011-08-05 2013-02-14 삼성디스플레이 주식회사 식각액 조성물, 이를 이용한 금속 패턴의 형성 방법 및 표시 기판의 제조 방법

Family Cites Families (138)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
US5702075A (en) 1996-01-31 1997-12-30 David Lehrman Automatically collapsible support for an electrical cord for use with an ironing board
US7534752B2 (en) 1996-07-03 2009-05-19 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US6323168B1 (en) 1996-07-03 2001-11-27 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6224785B1 (en) 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
US6755989B2 (en) 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US5993685A (en) 1997-04-02 1999-11-30 Advanced Technology Materials Planarization composition for removing metal films
WO1998048453A1 (en) 1997-04-23 1998-10-29 Advanced Chemical Systems International, Inc. Planarization compositions for cmp of interlayer dielectrics
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US5976928A (en) 1997-11-20 1999-11-02 Advanced Technology Materials, Inc. Chemical mechanical polishing of FeRAM capacitors
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
WO1999060447A1 (en) 1998-05-18 1999-11-25 Advanced Technology Materials, Inc. Stripping compositions for semiconductor substrates
US6875733B1 (en) 1998-10-14 2005-04-05 Advanced Technology Materials, Inc. Ammonium borate containing compositions for stripping residues from semiconductor substrates
US6395194B1 (en) 1998-12-18 2002-05-28 Intersurface Dynamics Inc. Chemical mechanical polishing compositions, and process for the CMP removal of iridium thin using same
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6723691B2 (en) 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6492308B1 (en) 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6409781B1 (en) 2000-05-01 2002-06-25 Advanced Technology Materials, Inc. Polishing slurries for copper and associated materials
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6627587B2 (en) 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US7029373B2 (en) 2001-08-14 2006-04-18 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6800218B2 (en) 2001-08-23 2004-10-05 Advanced Technology Materials, Inc. Abrasive free formulations for chemical mechanical polishing of copper and associated materials and method of using same
US6802983B2 (en) 2001-09-17 2004-10-12 Advanced Technology Materials, Inc. Preparation of high performance silica slurry using a centrifuge
US6719920B2 (en) * 2001-11-30 2004-04-13 Intel Corporation Slurry for polishing a barrier layer
US7119418B2 (en) 2001-12-31 2006-10-10 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates
US7326673B2 (en) 2001-12-31 2008-02-05 Advanced Technology Materials, Inc. Treatment of semiconductor substrates using long-chain organothiols or long-chain acetates
US7557073B2 (en) 2001-12-31 2009-07-07 Advanced Technology Materials, Inc. Non-fluoride containing supercritical fluid composition for removal of ion-implant photoresist
US7030168B2 (en) 2001-12-31 2006-04-18 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US7188644B2 (en) 2002-05-03 2007-03-13 Advanced Technology Materials, Inc. Apparatus and method for minimizing the generation of particles in ultrapure liquids
US6698619B2 (en) 2002-05-03 2004-03-02 Advanced Technology Materials, Inc. Returnable and reusable, bag-in-drum fluid storage and dispensing container system
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US6943139B2 (en) 2002-10-31 2005-09-13 Advanced Technology Materials, Inc. Removal of particle contamination on patterned silicon/silicon dioxide using supercritical carbon dioxide/chemical formulations
US7485611B2 (en) 2002-10-31 2009-02-03 Advanced Technology Materials, Inc. Supercritical fluid-based cleaning compositions and methods
US20060019850A1 (en) 2002-10-31 2006-01-26 Korzenski Michael B Removal of particle contamination on a patterned silicon/silicon dioxide using dense fluid/chemical formulations
US7011716B2 (en) 2003-04-29 2006-03-14 Advanced Technology Materials, Inc. Compositions and methods for drying patterned wafers during manufacture of integrated circuitry products
US6989358B2 (en) 2002-10-31 2006-01-24 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for removal of photoresists
US7223352B2 (en) 2002-10-31 2007-05-29 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
KR100536593B1 (ko) 2002-12-05 2005-12-14 삼성전자주식회사 선택적인 막 제거를 위한 세정 용액 및 그 세정 용액을사용하여 실리사이드 공정에서 막을 선택적으로 제거하는방법
US7300601B2 (en) 2002-12-10 2007-11-27 Advanced Technology Materials, Inc. Passivative chemical mechanical polishing composition for copper film planarization
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
US6735978B1 (en) 2003-02-11 2004-05-18 Advanced Technology Materials, Inc. Treatment of supercritical fluid utilized in semiconductor manufacturing applications
US7736405B2 (en) 2003-05-12 2010-06-15 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for copper and associated materials and method of using same
CN101371339A (zh) 2003-05-12 2009-02-18 高级技术材料公司 用于步骤ⅱ的铜衬里和其他相关材料的化学机械抛光组合物及其使用方法
US7119052B2 (en) 2003-06-24 2006-10-10 Advanced Technology Materials, Inc. Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers
US7335239B2 (en) 2003-11-17 2008-02-26 Advanced Technology Materials, Inc. Chemical mechanical planarization pad
US20050118832A1 (en) 2003-12-01 2005-06-02 Korzenski Michael B. Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
JP2007519942A (ja) 2003-12-02 2007-07-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド レジスト、barc、およびギャップフィル材料を剥離する化学物質ならびに方法
US20050145311A1 (en) 2003-12-30 2005-07-07 Walker Elizabeth L. Method for monitoring surface treatment of copper containing devices
US7553803B2 (en) 2004-03-01 2009-06-30 Advanced Technology Materials, Inc. Enhancement of silicon-containing particulate material removal using supercritical fluid-based compositions
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US20050227482A1 (en) 2004-03-24 2005-10-13 Korzenski Michael B Composition useful for removal of bottom anti-reflection coatings from patterned ion-implanted photoresist wafers
US20060063687A1 (en) 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060148666A1 (en) 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US7923423B2 (en) 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
US7365045B2 (en) 2005-03-30 2008-04-29 Advanced Tehnology Materials, Inc. Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide
WO2006110645A2 (en) 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
US20070251551A1 (en) 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
WO2006113621A2 (en) 2005-04-15 2006-10-26 Advanced Technology Materials, Inc. Formulations for cleaning ion-implanted photoresist layers from microelectronic devices
JP2008537018A (ja) 2005-04-15 2008-09-11 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 超臨界流体による除去または堆積プロセスのための装置および方法
WO2006127885A1 (en) 2005-05-26 2006-11-30 Advanced Technology Materials, Inc. Copper passivating post-chemical mechanical polishing cleaning composition and method of use
JP2008546214A (ja) 2005-06-06 2008-12-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 集積された化学機械研磨組成物および単一プラテン処理のためのプロセス
TWI622639B (zh) 2005-06-07 2018-05-01 恩特葛瑞斯股份有限公司 金屬及介電相容犠牲抗反射塗層清洗及移除組成物
WO2006138235A2 (en) 2005-06-13 2006-12-28 Advanced Technology Materials, Inc. Compositions and methods for selective removal of metal or metal alloy after metal silicide formation
WO2006138505A1 (en) 2005-06-16 2006-12-28 Advanced Technology Materials, Inc. Dense fluid compositions for removal of hardened photoresist, post-etch residue and/or bottom anti-reflective coating layers
TW200714696A (en) 2005-08-05 2007-04-16 Advanced Tech Materials High throughput chemical mechanical polishing composition for metal film planarization
WO2007027522A2 (en) 2005-08-29 2007-03-08 Advanced Technology Materials, Inc. Composition and method for removing thick film photoresist
US20090032766A1 (en) 2005-10-05 2009-02-05 Advanced Technology Materials, Inc. Composition and method for selectively etching gate spacer oxide material
WO2007044446A1 (en) 2005-10-05 2007-04-19 Advanced Technology Materials, Inc. Oxidizing aqueous cleaner for the removal of post-etch residues
WO2007047365A2 (en) 2005-10-13 2007-04-26 Advanced Technology Materials, Inc. Metals compatible photoresist and/or sacrificial antireflective coating removal composition
US20090301996A1 (en) 2005-11-08 2009-12-10 Advanced Technology Materials, Inc. Formulations for removing cooper-containing post-etch residue from microelectronic devices
TW200734448A (en) 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US8025811B2 (en) * 2006-03-29 2011-09-27 Intel Corporation Composition for etching a metal hard mask material in semiconductor processing
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
US20080076688A1 (en) 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
WO2008036823A2 (en) 2006-09-21 2008-03-27 Advanced Technology Materials, Inc. Uric acid additive for cleaning formulations
SG175559A1 (en) 2006-09-25 2011-11-28 Advanced Tech Materials Compositions and methods for the removal of photoresist for a wafer rework application
US20080125342A1 (en) 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
SG177201A1 (en) 2006-12-21 2012-01-30 Advanced Tech Materials Compositions and methods for the selective removal of silicon nitride
WO2008095078A1 (en) 2007-01-31 2008-08-07 Advanced Technology Materials, Inc. Stabilization of polymer-silica dispersions for chemical mechanical polishing slurry applications
TWI516573B (zh) * 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
US20100112728A1 (en) 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
WO2008141206A2 (en) 2007-05-09 2008-11-20 Advanced Technology Materials, Inc. Systems and methods for material blending and distribution
TW200918664A (en) 2007-06-13 2009-05-01 Advanced Tech Materials Wafer reclamation compositions and methods
KR20100051839A (ko) 2007-08-02 2010-05-18 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 마이크로전자 장치로부터 잔사를 제거하기 위한 플루오라이드 비-함유 조성물
KR20100056537A (ko) 2007-08-20 2010-05-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 이온-주입된 포토레지스트를 제거하기 위한 조성물 및 방법
JP2009075285A (ja) * 2007-09-20 2009-04-09 Fujifilm Corp 半導体デバイスの剥離液、及び、剥離方法
TW200932670A (en) 2007-11-14 2009-08-01 Advanced Tech Materials Solvent-free synthesis of soluble nanocrystals
TW200934865A (en) 2007-11-30 2009-08-16 Advanced Tech Materials Formulations for cleaning memory device structures
EP2268765A4 (en) 2008-03-07 2011-10-26 Advanced Tech Materials UNSELECTIVE OXIDIZE WET CLEANING AGENT AND USE
US20090253072A1 (en) 2008-04-01 2009-10-08 Petruska Melissa A Nanoparticle reversible contrast enhancement material and method
TWI494710B (zh) 2008-05-01 2015-08-01 Entegris Inc 用於移除高密度經摻雜光阻之低pH混合物
WO2010017160A2 (en) 2008-08-04 2010-02-11 Advanced Technology Materials, Inc. Environmentally friendly polymer stripping compositions
US7968506B2 (en) 2008-09-03 2011-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Wet cleaning stripping of etch residue after trench and via opening formation in dual damascene process
CN102217042A (zh) 2008-10-02 2011-10-12 高级技术材料公司 表面活性剂/消泡剂混合物用于增强硅基板的金属负载及表面钝化的应用
US9074170B2 (en) 2008-10-21 2015-07-07 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
SG2014005136A (en) 2009-01-28 2014-03-28 Advanced Tech Materials Lithographic tool in situ clean formulations
WO2010086745A1 (en) 2009-02-02 2010-08-05 Atmi Taiwan Co., Ltd. Method of etching lanthanum-containing oxide layers
WO2010091045A2 (en) 2009-02-05 2010-08-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of polymers and other organic material from a surface
US8754021B2 (en) 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
US8222145B2 (en) * 2009-09-24 2012-07-17 Dupont Air Products Nanomaterials, Llc Method and composition for chemical mechanical planarization of a metal-containing substrate
US8367555B2 (en) 2009-12-11 2013-02-05 International Business Machines Corporation Removal of masking material
JP5858597B2 (ja) 2010-01-29 2016-02-10 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド タングステン配線半導体用洗浄剤
TWI583786B (zh) 2010-01-29 2017-05-21 恩特葛瑞斯股份有限公司 供附有金屬佈線之半導體用清洗劑
TWI558817B (zh) * 2010-04-15 2016-11-21 恩特葛瑞斯股份有限公司 廢棄印刷電路板之回收利用方法
SG10201505535VA (en) 2010-07-16 2015-09-29 Entegris Inc Aqueous cleaner for the removal of post-etch residues
JP2012036750A (ja) 2010-08-04 2012-02-23 Panasonic Corp 圧縮機
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
EP2606158A4 (en) 2010-08-20 2017-04-26 Entegris Inc. Sustainable process for reclaiming precious metals and base metals from e-waste
US20130280123A1 (en) 2010-08-27 2013-10-24 Advanced Technology Materials, Inc. Method for preventing the collapse of high aspect ratio structures during drying
SG189292A1 (en) * 2010-10-06 2013-05-31 Advanced Tech Materials Composition and process for selectively etching metal nitrides
US9416338B2 (en) 2010-10-13 2016-08-16 Advanced Technology Materials, Inc. Composition for and method of suppressing titanium nitride corrosion
KR102064487B1 (ko) 2011-01-13 2020-01-10 엔테그리스, 아이엔씨. 세륨-함유 용액에 의해 발생된 입자의 제거를 위한 배합물
JP2012186470A (ja) 2011-02-18 2012-09-27 Sanyo Chem Ind Ltd 銅配線半導体用洗浄剤
WO2012154498A2 (en) 2011-05-06 2012-11-15 Advanced Technology Materials, Inc. Removal of metal impurities from silicon surfaces for solar cell and semiconductor applications
JP2012251026A (ja) 2011-05-31 2012-12-20 Sanyo Chem Ind Ltd 半導体用洗浄剤
WO2012174518A2 (en) 2011-06-16 2012-12-20 Advanced Technology Materials, Inc. Compositions and methods for selectively etching silicon nitride
AP2014007373A0 (en) 2011-06-21 2014-01-31 Advanced Tech Materials Method for the recovery of lithium cobalt oxide from lithium ion batteries
US20130045908A1 (en) 2011-08-15 2013-02-21 Hua Cui Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
CN105869997A (zh) 2011-10-21 2016-08-17 安格斯公司 无胺cmp后组合物及其使用方法
US8618036B2 (en) 2011-11-14 2013-12-31 International Business Machines Corporation Aqueous cerium-containing solution having an extended bath lifetime for removing mask material
EP2790845B1 (en) 2011-12-15 2017-02-08 Entegris Inc. Apparatus and method for stripping solder metals during the recycling of waste electrical and electronic equipment
JP6329909B2 (ja) * 2011-12-28 2018-05-23 インテグリス・インコーポレーテッド 窒化チタンを選択的にエッチングするための組成物および方法
SG11201404930SA (en) 2012-02-15 2014-09-26 Advanced Tech Materials Post-cmp removal using compositions and method of use
WO2013138278A1 (en) 2012-03-12 2013-09-19 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
KR20200030121A (ko) 2012-03-12 2020-03-19 엔테그리스 아시아 엘엘씨 애싱된 스핀-온 유리의 선택적 제거 방법
EP2828371A4 (en) 2012-03-18 2015-10-14 Entegris Inc POST-CMP FORMULATION HAVING COMPATIBILITY WITH ENHANCED BARRIER LAYERS AND CLEANING PERFORMANCE
WO2013152260A1 (en) 2012-04-06 2013-10-10 Advanced Technology Materials, Inc. Removal of lead from solid materials
US20130295712A1 (en) 2012-05-03 2013-11-07 Advanced Technology Materials, Inc. Methods of texturing surfaces for controlled reflection
US20150162213A1 (en) 2012-05-11 2015-06-11 Advanced Technology Materials, Inc. Formulations for wet etching nipt during silicide fabrication
SG10201610541UA (en) 2012-05-18 2017-01-27 Entegris Inc Composition and process for stripping photoresist from a surface including titanium nitride
EP2850651A4 (en) 2012-05-18 2016-03-09 Entegris Inc AQUEOUS CLEANING SOLUTION HAVING LOW COPPER ATTACK SPEED FOR MORE EFFICIENT REMOVAL OF ORGANIC RESIDUES
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080072905A (ko) * 2005-11-09 2008-08-07 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 표면에 저유전 물질이 있는 반도체 웨이퍼를 재생하기 위한조성물 및 방법
KR20090096728A (ko) * 2006-12-21 2009-09-14 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 에칭 후 잔류물의 제거를 위한 액체 세정제
KR20130015943A (ko) * 2011-08-05 2013-02-14 삼성디스플레이 주식회사 식각액 조성물, 이를 이용한 금속 패턴의 형성 방법 및 표시 기판의 제조 방법

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170084600A (ko) * 2016-01-12 2017-07-20 동우 화인켐 주식회사 질화 티탄(TiN) 막의 식각액 조성물 및 그를 이용한 금속배선의 형성 방법
KR20190106803A (ko) * 2018-03-09 2019-09-18 버슘머트리얼즈 유에스, 엘엘씨 반도체 소자의 제조 동안 규소-게르마늄/게르마늄 적층물로부터 규소-게르마늄 합금을 선택적으로 제거하기 위한 에칭액
KR20190110171A (ko) * 2018-03-19 2019-09-30 삼성디스플레이 주식회사 식각액 조성물 및 이를 이용한 금속 패턴과 어레이 기판의 제조 방법
KR20210093710A (ko) * 2020-01-17 2021-07-28 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 및 제조 방법

Also Published As

Publication number Publication date
US10472567B2 (en) 2019-11-12
JP2016510175A (ja) 2016-04-04
SG11201507014RA (en) 2015-10-29
EP2964725B1 (en) 2021-06-23
SG10201706443QA (en) 2017-09-28
US20160032186A1 (en) 2016-02-04
TW201439280A (zh) 2014-10-16
TWI655273B (zh) 2019-04-01
EP2964725A4 (en) 2016-11-23
JP6363116B2 (ja) 2018-07-25
WO2014138064A1 (en) 2014-09-12
KR102294726B1 (ko) 2021-08-30
CN105102584B (zh) 2018-09-21
EP2964725A1 (en) 2016-01-13
CN105102584A (zh) 2015-11-25

Similar Documents

Publication Publication Date Title
KR102294726B1 (ko) 티타늄 나이트라이드를 선택적으로 에칭하기 위한 조성물 및 방법
US10392560B2 (en) Compositions and methods for selectively etching titanium nitride
JP6723152B2 (ja) 窒化チタンを選択的にエッチングするための組成物及び方法
KR102338526B1 (ko) Cu/W 호환성을 갖는, 금속 하드 마스크 및 에칭-후 잔여물을 제거하기 위한 수성 제형
KR102405063B1 (ko) 텅스텐 및 코발트 상용성을 갖는 에치후 잔류물을 제거하기 위한 수성 및 반-수성 세정제
WO2016042408A2 (en) Compositions for etching titanium nitride having compatability with silicon germanide and tungsten

Legal Events

Date Code Title Description
N231 Notification of change of applicant
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant