KR20080072905A - 표면에 저유전 물질이 있는 반도체 웨이퍼를 재생하기 위한조성물 및 방법 - Google Patents

표면에 저유전 물질이 있는 반도체 웨이퍼를 재생하기 위한조성물 및 방법 Download PDF

Info

Publication number
KR20080072905A
KR20080072905A KR1020087013846A KR20087013846A KR20080072905A KR 20080072905 A KR20080072905 A KR 20080072905A KR 1020087013846 A KR1020087013846 A KR 1020087013846A KR 20087013846 A KR20087013846 A KR 20087013846A KR 20080072905 A KR20080072905 A KR 20080072905A
Authority
KR
South Korea
Prior art keywords
composition
removal composition
ether
acid
water
Prior art date
Application number
KR1020087013846A
Other languages
English (en)
Inventor
파멜라 엠 비신틴
핑 지앙
마이클 비 코젠스키
매켄지 킹
Original Assignee
어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 filed Critical 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드
Publication of KR20080072905A publication Critical patent/KR20080072905A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02032Preparing bulk and homogeneous wafers by reclaiming or re-processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02079Cleaning for reclaiming
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Weting (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)

Abstract

본 발명은 저유전 물질, 에칭 중단 물질 및/또는 금속 적층 물질이 표면에 있는 불량 판정된 미세 전자 소자 구조체로부터 상기 물질을 제거하기 위한 조성물 및 방법에 관한 것이다. 상기 제거용 조성물은 불화수소산을 포함한다. 상기 조성물은, 미세 전자 소자 구조체를 재생 및/또는 재사용하기 위해, 반도체 구조물에 이용된 다결정 규소 또는 베어 규소 층에 손상을 입히지 않은 채 표면에 상기 물질(들)이 있는 미세 전자 소자 구조체로부터 상기 물질(들)을 적어도 부분적으로 제거할 수 있다.

Description

표면에 저유전 물질이 있는 반도체 웨이퍼를 재생하기 위한 조성물 및 방법{COMPOSITION AND METHOD FOR RECYCLING SEMICONDUCTOR WAFERS HAVING LOW-K DIELECTRIC MATERIALS THEREON}
본 발명은 표면에 저유전 물질 및 기타 물질이 증착되어 있는 불량 판정(rejected) 기판 또는 물품을 재생/재가공 및/또는 재사용할 목적으로, 상기 기판 또는 물품으로부터 상기 저유전 물질 및 기타 물질 층을 제거하는 데 유용한 조성물 및 방법과 이를 이용하여 제조한 제품에 관한 것이다.
고밀도의 극초대규모 집적(ULSI) 반도체 배선과 관련된 성능에 대한 요건이 차츰 증가함에 따라, 소자 크기의 축소에 따라 신호 전송 속도를 증가시키기 위해 저 유전상수[저유전율: low-k)] 절연층의 사용에 대한 요구가 점차 증가하게 되었다.
전형적인 저유전 물질은, 예를 들어 등록상표 BLACK DIAMONDTM 공정을 이용하여 SiLKTM, AURORATM, CORALTM 또는 BLACK DIAMONDTM와 같은 시판되는 전구체를 사용하여 증착시킨 탄소 도핑 산화물(CDO)을 포함한다. 이와 같은 CDO는 일반적으로 유기 실란 및 유기 실록산 전구체로부터 화학 기상 증착(CVD)법을 이용하여 형성한 다. CVD 탄소 도핑 산화물 저유전 물질은 일반적으로 전체 유전 상수가 약 3.2 미만인 다공성의 저밀도 물질로 구성되며, 일반적으로 그 내부에 금속 배선 및 바이어스 등의 다른 반도체 구조체가 형성되어 있는 CDO로 이루어진 다층을 형성함으로써 다양한 반도체 구조체에 사용되고 있다. 예를 들어 CDO는 특정 구조체에 대한 유전 절연층[금속간 유전(IMD) 층], 캡핑층 및/또는 갭 충전 물질로서 사용될 수 있다.
흔히, 미세 전자 소자 웨이퍼, 예를 들어 규소 반도체 웨이퍼는, 다층 소자 제조 공정 또는 검증 공정(qualification process) 시, 층이 허용 불가능하게 가공되면 스크래핑, 바람직하게는 재생되어야 한다. 가공과 관련하여 다수의 문제, 예를 들어 불균일한 CDO 층 증착 또는 수반되는 에칭 결함이 발생할 수 있다. 특정 가공 단계 후에 여러 품질 관리 시험법이 수행되는데, 이때 다양한 이유로 반도체 웨이퍼는 허용 가능성이 부인되어 "스크래핑"되며, 이는 막대한 비생산적인 비용 손실로 이어진다.
선행 기술에서는, 불량 판정 또는 스크래핑된 공정 웨이퍼를 웨이퍼 공급업자에게 공급하여 가공하는 것이 관행이었는데, 이때 그 반도체 웨이퍼를 재사용하기 위해 화학적 방법 및 기계적 방법을 이용하여 반도체 웨이퍼로부터 CDO 층과 같은 유전층을 제거하게 된다. 상기 웨이퍼에 중충된 유전층과 기타 조형체가 성공적으로 제거되면, 그 웨이퍼는 새로운 다층 반도체 소자 제조 공정을 통해 재생되거나 재사용된다. 반도체 웨이퍼 제조 공정이 직경이 더 큰 웨이퍼(예를 들어, 12 인치 웨이퍼)쪽으로 이행됨에 따라, 공정 웨이퍼를 계외(off-site)에서 스크래핑 및 재생하는 것은 비생산적인 고비용으로 인하여 매력을 점점 더 잃고 있다.
당업계에서는 종래의 제조 공정과 상용성인 개선된 조성물을 사용하여 불량 판정된 미세 전자 소자(예를 들어, 반도체 웨이퍼)로부터 CDO 층을 비롯한 저유전층을 계내(in-house)에서 제거할 수 있는 공정을 개발할 필요가 있다. 불량 판정된 미세 전자 소자로부터 저유전층을 제거하기 위해 상기 조성물을 사용하는 공정은 에너지 소비가 높은 산화 단계를 필요로 하지 않는 것이 바람직하다.
이를 위해, 본 발명의 목적은 불량 판정된 미세 전자 소자 구조체의 계내 재생을 위해 이 구조체로부터 금속 적층 물질, 에칭 중단층 및/또는 저유전층(CDO 층을 포함함)을 제거할 수 있으며, 종래의 제조 공정 및 성분과 상용성인 개선된 조성물 및 방법을 제공하는 것이다. 하부의 소자 표면, 예를 들어 규소가 바람직하게는 상기 제거용 조성물에 의해 손상되지 않는다는 것이 중요하다.
본 발명의 조성물은, 하부의 기판 재료에의 손상을 최소한으로 하면서 이와 동시에 금속 적층 물질, 에칭 중단층 및/또는 저유전층을 제거하는 것 이외에도, 지역의 환경 요건에 부합하도록 제제화될 수 있다. 예를 들어, 불화물 농도 및 유기 용매 농도가 높다면 폐수 처리 문제로 인하여 그 조성물을 대량 제조에 사용하기 곤란해질 수 있다. 제제의 화학적 산소 요구량(COD) 수준에 따라(용액의 COD는 산성 조건 하에 강산화제 존재 시 이산화탄소로 완전 산화될 수 있는 유기 화합물량의 척도임), 그 제제는 폐수 처리장에서 환경으로 바로 돌려 보내도록 허용되지 않을 수 있다. 예를 들어, 스위스의 경우, 폐수 또는 공업 용수를 환경으로 방류하기 전에 폐수 시료의 COD를 200∼1000 mg/L로 감소시켜야 한다(Pupunat, L., Sollberger, F., Rychen, P., "Efficient Reduction of Chemical Oxygen Demand in Industrial Wastewaters," http://www.csem.ch/corporate/Report2002/pdf/p56.pdf).
폐수가 (유기 용매 없이) 불화물원만을 함유한다면, 불화물 처리 시스템을 이용하여 먼저 폐수로부터 불화물을 제거하고 그 후 물을 환경으로 방류시킬 수 있다. 폐수가 (불화물원 없이) 유기 용매(들)만을 함유한다면, 소각로와 같은 유기물 처리 시스템이 이용될 수 있다. 그러나 불행히도 불화물원은 소각로의 구성 자재를 손상시킬 수 있기 때문에 소각로 시스템은 고농도의 불화물을 함유하는 폐수 시료를 처리할 수 없다.
따라서, 상기 조성물 및/또는 이 조성물을 사용하는 방법은, 불량 판정된 미세 전자 소자 구조체의 계내 재생을 위해 상기 구조체로부터 저유전 물질 및 기타 물질 층을 제거하기 위한 개선된 조성물 및 방법을 제공하는 것뿐만 아니라, 바람직하게는 상기 조성물의 폐기와 관련된 지역의 규제 기준에 부합한다.
[발명의 개요]
본 발명은 불량 판정된 미세 전자 소자 구조체의 재생 및/또는 재사용을 위해 탄소 도핑 산화물층을 비롯한 저유전 물질층 및 기타 물질층이 표면에 증착되어 있는 상기 미세 전자 소자 구조체로부터 상기 물질층을 제거하는 데 유용한 조성물 및 방법과, 상기 조성물을 사용하는 방법 및 상기 방법을 이용하여 제조한 제품 또는 중간 제품에 관한 것이다.
일 양태에 있어서, 본 발명은 불량 판정된 미세 전자 소자 구조체의 재생을 위해 상기 미세 전자 소자 구조체의 표면으로부터 저유전 물질, 에칭 중단 물질, 금속 적층 물질 및 이들의 조합으로 구성된 군에서 선택되는 물질을 제거하는 데 유용한 제거용 조성물과, 이 조성물의 제조 방법 및 사용 방법에 관한 것이다. 본 발명의 조성물은 불화수소산을 포함한다.
또 다른 양태에 있어서, 본 발명은 불화수소산 및 물을 포함하는 제거용 조성물에 관한 것으로서, 이 조성물은 하기 성분 (I) 및 (II) 중 적어도 하나:
(I) 1종 이상의 아민; 또는
(II) 1종 이상의 유기 용매(이때, 상기 조성물은 아민 종을 실질적으로 포함하지 않음)
를 포함하는 것을 추가 특징으로 하며, 상기 제거용 조성물은 저유전 물질, 에칭 중단 물질, 금속 적층 물질 및 이들의 조합으로 구성된 군에서 선택되는 물질이 표면에 있는 미세 전자 소자로부터 상기 물질을 제거하는 데 적합하다.
또 다른 양태에 있어서, 본 발명은 불화수소산, 아민-N-옥시드 및 물을 포함하며, 저유전 물질, 금속 적층 물질 및 이들의 조합으로 구성된 군에서 선택되는 물질이 표면에 있는 미세 전자 소자로부터 상기 물질을 제거하는 데 적합한 제거용 조성물에 관한 것이다.
또 다른 양태에 있어서, 본 발명은 불화수소산, N-메틸모르폴린-N-옥시드 및물을 포함하며, 저유전 물질, 금속 적층 물질 및 이들의 조합으로 구성된 군에서 선택되는 물질이 표면에 있는 미세 전자 소자로부터 상기 물질을 제거하는 데 적합한 제거용 조성물에 관한 것이다.
또 다른 양태에 있어서, 본 발명은 불화수소산, 물, 1종 이상의 황 함유 용매 및 1종 이상의 글리콜 에테르를 포함하고, 아민을 실질적으로 포함하지 않으며, 저유전 물질, 에칭 중단 물질, 금속 적층 물질 및 이들의 조합으로 구성된 군에서 선택되는 물질이 표면에 있는 미세 전자 소자로부터 상기 물질을 제거하는 데 적합한 제거용 조성물에 관한 것이다.
또 다른 양태에 있어서, 본 발명은 불화수소산, 물, 테트라메틸렌 설폰 및 1종 이상의 글리콜 에테르를 포함하고, 아민을 실질적으로 포함하지 않으며, 저유전 물질, 에칭 중단 물질, 금속 적층 물질 및 이들의 조합으로 구성된 군에서 선택되는 물질이 표면에 있는 미세 전자 소자로부터 상기 물질을 제거하는 데 적합한 제거용 조성물에 관한 것이다. 바람직하게는, 상기 1종 이상의 글리콜 에테르는 디에틸렌 글리콜 부틸 에테르를 포함하고, 물의 양은 상기 조성물의 총 중량을 기준으로 약 10 중량%∼80 중량% 범위이다.
또 다른 양태는 불화수소산, 1종 이상의 유기 용매, 1종 이상의 산화제, 1종 이상의 킬레이트제 및 물을 포함하고, 아민을 포함하지 않으며, 일시적으로 안정하고, 저유전 물질, 에칭 중단 물질, 금속 적층 물질 및 이들의 조합으로 구성된 군에서 선택되는 물질이 표면에 있는 미세 전자 소자로부터 상기 물질을 제거하는 데 적합한 제거용 조성물에 관한 것이다. 바람직하게는, 상기 킬레이트제는 CDTA를 포함한다.
본 발명의 또 다른 양태는 하나 이상의 용기에, 불화수소산 및 물을 포함하고, 하기 성분 (I)∼(II) 중 적어도 하나:
(I) 1종 이상의 아민; 또는
(II) 1종 이상의 유기 용매(이때, 조성물은 아민 종을 실질적으로 포함하지 않음)
를 포함하는 것을 추가 특징으로 하는 제거용 조성물을 형성하기 위한 상기 반응물 중 하나 이상을 포함하는 키트에 관한 것으로서, 이 키트는 저유전 물질, 에칭 중단 물질, 금속 적층 물질 및 이들의 조합으로 구성된 군에서 선택되는 물질이 표면에 있는 미세 전자 소자로부터 상기 물질을 제거하는 데 적합한 제거용 조성물을 형성하도록 구성되어 있다.
또 다른 양태에 있어서, 본 발명은 물질이 표면에 있는 미세 전자 소자로부터 상기 물질을 제거하는 방법에 관한 것으로서, 이 방법은 상기 미세 전자 소자 구조체로부터 저유전 물질, 에칭 중단 물질, 금속 적층 물질 및 이들의 조합으로 구성된 군에서 선택되는 물질을 적어도 부분적으로 제거하기에 충분한 시간 동안 미세 전자 소자 구조체를 제거용 조성물과 접촉시키는 단계를 포함하며, 상기 제거용 조성물은 불화수소산 및 물을 포함하고, 하기 성분 (I)∼(II) 중 적어도 하나:
(I) 1종 이상의 아민; 또는
(II) 1종 이상의 유기 용매(이때, 상기 조성물은 아민 종을 실질적으로 포함하지 않음)
를 포함하는 것을 추가 특징으로 한다.
또 다른 양태에 있어서, 본 발명은 미세 전자 소자를 제조하는 방법에 관한 것으로서, 이 방법은 저유전 물질, 에칭 중단 물질, 금속 적층 물질 및 이들의 조합으로 구성된 군에서 선택되는 물질이 표면에 있는 미세 전자 소자 구조체로부터 상기 물질을 적어도 부분적으로 제거하기에 충분한 시간 동안 미세 전자 소자 구조체를 제거용 조성물과 접촉시키는 단계를 포함하며, 상기 제거용 조성물은 불화수소산 및 물을 포함하고, 하기 성분 (I)∼(II) 중 적어도 하나:
(I) 1종 이상의 아민; 또는
(II) 1종 이상의 유기 용매(이때, 상기 조성물은 아민 종을 실질적으로 포함하지 않음)
를 포함하는 것을 추가 특징으로 한다.
바람직하게는, 상기 접촉된 미세 전자 소자 구조체는 계속해서 다층 소자 구조체의 제조를 위해 가공되며, 상기 소자 구조체는 미세 전자 소자로 통합된다.
본 발명의 또 다른 양태는 본 명세서에 기재된 방법 및/또는 조성물을 이용하여, 저유전 물질, 에칭 중단 물질, 금속 적층 물질 및 이들의 조합으로 구성된 군에서 선택되는 물질을 적어도 부분적으로 제거하기에 충분한 시간 동안 불량 판정된 미세 전자 소자 웨이퍼를 제거용 조성물과 접촉시키는 단계 및 경우에 따라, 상기 미세 전자 소자 구조체를 제품(예를 들어, 미세 전자 소자)으로 통합시키는 단계를 포함하는, 본 발명의 방법을 이용하여 재생한 미세 전자 소자 웨이퍼에 관한 것이다.
본 발명의 또 다른 양태는 본 명세서에 기재된 방법 및/또는 조성물을 이용하여, 저유전 물질, 에칭 중단 물질, 금속 적층 물질 및 이들의 조합으로 구성된 군에서 선택되는 물질을 적어도 부분적으로 제거하기에 충분한 시간 동안 미세 전자 소자 구조체를 제거용 조성물과 접촉시키는 단계 및 경우에 따라, 상기 미세 전자 소자 구조체를 제품(예를 들어, 미세 전자 소자)으로 통합시키는 단계를 포함하는, 본 발명의 방법을 이용하여 제조한 개선된 미세 전자 소자 및 미세 전자 소자 구조체와 이를 통합한 제품에 관한 것이다.
본 발명의 또 다른 양태는 저유전 물질이 표면에 있는 미세 전자 소자로부터 저유전 물질을 제거하는 방법에 관한 것이며, 이 방법은
상기 미세 전자 소자로부터 저유전 물질을 적어도 부분적으로 제거하기에 충분한 시간 동안 상기 미세 전자 소자를 제거용 조성물과 접촉시키는 단계로서, 상기 제거용 조성물은 불화수소산 및 물을 포함하고, 물에 20:1로 희석된 상기 제거용 조성물의 pH가 약 2.5∼약 4.5 범위인 단계;
제거용 조성물이 표면에 있는 상기 미세 전자 소자를 중화 조성물과 접촉시켜 상기 미세 전자 소자 상의 제거용 조성물을 중화시키는 단계; 및
중화된 제거용 조성물이 표면에 있는 미세 전자 소자를 물로 세정하여 그로부터 중화된 제거용 조성물을 제거하는 단계
를 포함한다.
본 발명의 또 다른 양태는 미세 전자 소자 기판을 재생하는 방법에 관한 것이며, 이 방법은
저유전 물질, 에칭 중단 물질, 금속 적층 물질 및 이들의 조합으로 구성된 군에서 선택되는 물질을 포함하는 미세 전자 소자 구조체를, 이 미세 전자 소자 구조체로부터 상기 물질을 적어도 부분적으로 제거하기에 충분한 시간 동안 제거용 조성물과 접촉시켜 재생된 미세 전자 소자 구조체를 얻는 단계; 및
상기 재생된 미세 전자 소자 구조체 상에 하나 이상의 저유전 물질층을 도포하는 단계
를 포함하며, 상기 제거용 조성물은 불화수소산 및 물을 포함하고, 하기 성분 (I)∼(II) 중 적어도 하나:
(I) 1종 이상의 아민; 또는
(II) 1종 이상의 유기 용매(이때, 상기 조성물은 실질적으로 아민 종을 포함하지 않음)
를 포함하는 것을 추가 특징으로 한다.
본 발명의 또 다른 양태는 미세 전자 소자 웨이퍼와 그 위의 하나 이상의 층을 포함하는 미세 전자 소자에 관한 것으로서, 상기 하나 이상의 층은 저유전 물질, 장벽층 물질, 금속, 금속 합금 및 에칭 중단층으로 구성된 군에서 선택되며, 상기 미세 전자 소자 웨이퍼는 재사용된다. 바람직하게는, 상기 미세 전자 소자 웨이퍼는 규소를 포함하고 상기 재사용된 웨이퍼는 그 두께가 새로운 웨이퍼 두께의 95%를 초과하고, 더 바람직하게는 98%를 초과하며, 가장 바람직하게는 99%를 초과한다.
본 발명의 또 다른 양태는 본 발명의 제거용 조성물, 미세 전자 소자와, 저유전 물질, 에칭 중단 물질, 금속 적층 물질 및 이들의 조합으로 구성된 군에서 선택되는 물질을 포함하는 제조 물품에 관한 것으로서, 상기 제거용 조성물은 불화수소산 및 물을 포함하고, 하기 성분 (I)∼(II) 중 하나 이상:
(I) 1종 이상의 아민; 또는
(II) 1종 이상의 유기 용매(이때, 상기 조성물은 아민 종을 실질적으로 포함하지 않음)
를 포함하는 것을 추가 특징으로 한다.
본 발명의 다른 양태, 특징 및 실시형태는 후술하는 상세한 설명과 첨부하는 청구범위로부터 보다 완벽하게 이해될 것이다.
[도면의 간단한 설명]
도 1은 온도의 함수로서 나타낸 다양한 저유전 물질의 에칭률(Åㆍmin-1) 플롯이다.
도 2는 온도의 함수로서 나타낸 다양한 금속 적층 물질의 에칭률(Åㆍmin-1) 플롯이다.
도 3은 온도의 함수로서 나타낸 다양한 하부 규소 함유 물질의 에칭률(Åㆍmin-1) 플롯이다.
[발명의 상세한 설명 및 그 바람직한 실시형태]
본 발명은 미세 전자 소자 구조체의 계내 재생을 위해 탄소 도핑 산화물 물질을 비롯한 저유전 물질 및 기타 물질이 표면에 있는 불량 판정된 미세 전자 소자 구조체로부터 상기 물질 층을 제거하는 데 유용한 제거용 조성물 및 방법에 관한 것이다.
"미세 전자 소자"란 미세 전자 제품, 집적 회로 또는 컴퓨터 칩 용도에 사용하기 위해 제조되는 반도체 기판, 평판 디스플레이 및 미세 전자 기계 시스템(MEMS)을 의미한다. 상기 용어 "미세 전자 소자" 및 "미세 전자 소자 구조체"는 어떤 식으로는 제한되지 않으며 결국 미세 전자 소자 또는 미세 전자 조립체가 되는 임의의 기판 또는 구조체를 포함하는 의미이다.
본 명세서에서 사용되는 바와 같이 "약"이란 언급된 값의 ±5%에 해당하는 의미이다.
본 명세서에서 정의된 바와 같이, "저유전 물질"은 층상 미세 전자 소자 내에서 유전 물질로서 사용되는 임의의 물질을 의미하며, 상기 물질은 유전 상수가 약 3.5 미만이다. 바람직하게는, 상기 저유전 물질은 저극성 물질, 예컨대 규소 함유 유기 중합체, 규소 함유 하이브리드 유기/무기 물질, 유기 실리케이트 유리(OSG), TEOS, 불화 실리케이트 유리(FSG) 및 탄소 도핑 산화물(CDO) 유리를 포함한다. 본 발명에 있어서, 저유전 물질은 또한 질화규소 물질을 포함한다. 저유전 물질은 다양한 밀도와 다양한 다공도를 가질 수 있는 것으로 이해되어야 한다.
본 명세서에서 사용되는 바와 같이, "반수성"이란 용어는 물과 유기 성분의 혼합물을 의미한다. 반수성 제거용 조성물은 상기 조성물을 사용하여 제거한 저유전 물질에 인접하여 위치하는 물질에 손상을 주지 않아야 한다. 인접 물질은 베어 규소(bare silicon), 다결정 규소 및 이들의 조합을 포함한다. 원하는 결과에 따라, 인접 물질은 에칭 중단층과 금속 적층 물질을 포함할 수도 있다. 본 발명의 조성물을 사용할 때 바람직하게는 인접 물질의 100 Å 미만이 제거되며, 더 바람직하게는 인접 물질의 50 Å 미만, 더욱 더 바람직하게는 20 Å 미만, 더욱 더 바람직하게는 10 Å 미만, 가장 바람직하게는 1 Å 미만이 제거된다. 바람직하게는, 저유전 물질의 95% 이상이 제거되며, 더 바람직하게는 99% 이상, 더욱 더 바람직하게는 99.9% 이상, 가장 바람직하게는 100%가 제거된다. 불량 판정된 미세 전자 소자 구조체의 재생 공정에 있어서, 저유전 물질 이외에도 금속 적층 물질 및/또는 에칭 중단층을 제거하고자 할 경우가 있음이 이해되어야 한다.
본 명세서에서 정의된 바와 같이, "금속 적층 물질"은 미세 전자 소자 상의 탄탈, 질화탄탈, 질화티탄, 티탄, 니켈, 코발트, 텅스텐 및 이들의 규화물; 구리층; 알루미늄층; Al/Cu 층; Al의 합금; Cu의 합금; 산화하프늄; 옥시규산하프늄(hafnium oxysilicate); 산화지르코늄; 란탄족 산화물; 티타네이트; 및 이들의 조합을 의미한다. 당업자라면 본 발명의 조성물이 저유전 물질을 제거하는 것으로 기재되어 있어도 그 조성물이 저유전 물질을 제거하는 데 유용하다고 기재한 것이 필요에 따라 금속 적층 물질의 제거 역시 포함하는 의미임을 이해할 것이다.
본 명세서에서 정의된 바와 같이, "에칭 중단층"은 탄화규소(SiC), 질화탄소규소(SiCN), 산화탄소규소(SiCO), 산질화규소(SiON), 구리, 규소게르마늄(SiGe), SiGeB, SiGeC, AlAs, InGaP, InP, InGaAs 및 이들의 조합을 포함한다. 당업자라면 본 발명의 조성물이 저유전 물질을 제거하는 것으로 기재되어 있어도 그 조성물이 에칭 중단층을 제거하는 데 유용하다고 기재한 것이 필요에 따라 금속 적층 물질의 제거 역시 포함하는 의미임을 이해할 것이다.
성공적인 웨이퍼 재사용의 요건은 전면, 경사 엣지 및/또는 이면에 규소 피팅(pitting)이 없거나 무시할 만한 수준인 것, 0.12 ㎛에서 입자가 50개 미만인 것, 전체 두께 편차(TTV)가 약 5 ㎛ 미만인 것 및/또는 표면 금속 오염도가 1×1010 원자ㆍcm-2 미만인 것을 포함하나 이에 한정되지 않는다. 경사 엣지 및 이면 세정은 소자 웨이퍼의 최외곽 엣지와 이면으로부터 포토레지스트 및 전기 도금 구리를 제거하기 위해 이용되며, 이는 후속 공정 시의 입자 및 금속 오염을 줄인다. 본 명세서에서 정의된 바와 같이, "전체 두께 편차"란 당업계에 공지된 두께 스캔 또는 일련의 점의 두께 측정을 이용하여 측정하였을 때의 미세 전자 소자 웨이퍼의 최대 두께와 최소 두께 간의 절대차에 해당한다.
재사용할 수 있는 미세 전자 소자 구조체로는 베어 규소, 다결정 규소 및 이들의 조합을 포함하는 웨이퍼를 포함하며, 이는 당업계에서 통상적으로 이용되는 임의의 직경 또는 두께일 수 있는 것으로 이해되어야 한다.
본 발명의 조성물은 이하에서 더 충분히 설명하는 다종 다양한 특정 제제로 구현될 수 있다.
그러한 모든 조성물에 있어서, 조성물의 특정 성분은 하한치 0을 포함하는 중량 백분율 범위와 관련하여 기재되며, 그러한 성분들은 그 조성물의 다양한 구체적인 실시형태에 있어서 존재할 수도 있고 존재하지 않을 수도 있으며, 그러한 성분들이 존재하는 경우 이 성분들은 그 성분들이 이용된 조성물의 총 중량을 기준으로 0.001 중량%만큼 적은 농도로 존재할 수 있는 것으로 이해된다.
일 양태에 있어서, 본 발명은 미세 전자 소자 구조체의 재생을 위해 불량 판정된 미세 전자 소자 구조체의 표면으로부터 저유전 물질 및 금속 적층 물질을 제거하는 데 유용한 조성물과, 그 조성물의 제조 및 사용 방법에 관한 것이다. 본 발명의 조성물은 불화수소산을 포함한다.
본 발명의 이러한 양태의 일 실시형태에 있어서, 상기 조성물은 이 조성물의 총 중량을 기준으로 하기 범위로 함유되는 1종 이상의 아민 종, 불화수소산, 경우에 따라 1종 이상의 유기 용매, 경우에 따라 1종 이상의 추가 산 종, 경우에 따라 1종 이상의 킬레이트제와, 경우에 따라 물을 포함한다.
성분 중량%
아민(들) 약 1%∼약 70.0%
불화수소산 약 1%∼약 70.0%
선택적 유기 용매(들) 0%∼약 80.0%
선택적 추가 산(들) 0%∼약 80%
선택적 킬레이트제(들) 0%∼약 10%
선택적 물 0%∼약 50%
본 발명의 광범위한 실시에 있어서, 상기 제거용 조성물은 1종 이상의 아민 종, 불화수소산, 경우에 따라 1종 이상의 유기 용매, 경우에 따라 1종 이상의 추가 산 종, 경우에 따라 1종 이상의 킬레이트제와, 경우에 따라 물을 포함하거나, 이것으로 구성되거나, 이것으로 필수적으로 구성될 수 있다. 일반적으로, 아민(들), 불화수소산원(들), 선택적 유기 용매(들), 선택적 산(들), 선택적 킬레이트제(들)와 물의 서로에 대한 특정 비율 및 양은 저유전 물질에 대한 조성물의 원하는 제거 작용 및/또는 처리 장치에 따라서 적절히 변경할 수 있으며, 이는 당업자가 과도한 노력 없이 용이하게 결정할 수 있다.
본 발명의 조성물은 탈이온수에 20:1로 희석할 때 pH 값이 약 1∼약 7, 더 바람직하게는 약 2.5∼약 4.5, 가장 바람직하게는 약 3∼약 3.5의 범위이다.
상기 아민 종으로는, 이에 한정되는 것은 아니지만, 직쇄 또는 분지쇄 C1-C20 알킬아민, 치환 또는 비치환 C6-C10 아릴아민, 글리콜아민, 알칸올아민 및 아민-N-옥시드를 들 수 있으며, 여기에는 피리딘; 2-에틸피리딘; 2-메톡시피리딘 및 그 유도체, 예컨대 3-메톡시피리딘; 2-피콜린; 피리딘 유도체; 디메틸피리딘; 피페리딘; 피페라진; 트리에틸아민; 트리에탄올아민; 에틸아민; 메틸아민; 이소부틸아민; tert-부틸아민; 트리부틸아민; 디프로필아민; 디메틸아민; 디글리콜 아민; 모노에탄올아민; 피롤; 이속사졸; 1,2,4-트리아졸; 비피리딘; 피리미딘; 피라진; 피리다진; 퀴놀린; 이소퀴놀린; 인돌; 이미다졸; N-메틸모르폴린-N-옥시드(NMMO); 트리메틸아민-N-옥시드; 트리에틸아민-N-옥시드; 피리딘-N-옥시드; N-에틸모르폴린-N-옥시드; N-메틸피롤리딘-N-옥시드; N-에틸피롤리딘-N-옥시드; 1-메틸이미다졸; 디이소프로필아민; 디이소부틸아민; 아닐린; 아닐린 유도체; 및 이들의 조합이 포함되나 이에 한정되지 않 는다. 바람직하게는, 상기 아민 종은 이속사졸, TAZ 또는 이들의 조합을 포함한다.
대안으로, 상기 아민 종은 조합 아민-불화수소 염을 포함할 수 있다. 따라서, 본 발명의 제거용 조성물은 1종 이상의 아민-불화수소 염, 경우에 따라 1종 이상의 유기 용매, 경우에 따라 1종 이상의 유기 산, 경우에 따라 1종 이상의 킬레이트제와, 경우에 따라 물을 포함할 수 있다. 아민-불화수소 염은 비휘발성이며, 따라서, 아민 종의 증발로 인한 용액 pH의 변화가 방지된다. 본 발명에서 고려되는 아민-불화수소 염으로는 아민-불화수소 염을 형성하기 위해 HF와 함께 사용되는 상기에 열거된 아민 중 임의의 것을 들 수 있으나 이에 한정되지 않는다. 바람직하게는, 상기 아민-불화수소 염 종은, 사용될 경우, 이속사졸:HF 및/또는 NMMO:HF를 포함한다. 아민:불화수소 염의 비는 반응 조건 및 제거하고자 하는 저유전 물질의 성질에 따라 약 1:1∼약 20:1 범위에서 달라질 수 있다.
본 발명의 조성물에는 부분적으로 불화물 종을 가용화시키는 능력으로 인해 물이 포함될 수 있다. 물은 탈이온수인 것이 바람직하다.
상기 유기 용매(들)는, 존재할 경우, 용매로서의 역할을 하며, 유기 잔류물의 침투와 용해를 촉진하고, 미세 전자 소자 구조체의 표면을 습윤화하여, 저유전 물질의 제거를 촉진하고/하거나 하부의 인접 물질(예를 들어, 베어 규소, 다결정 규소, 탄화규소, 질화탄소규소 및 산화탄소규소)을 부동태화한다. 본 발명에서 고려되는 유기 용매로는 알코올, 에테르, 피롤리디논, 글리콜, 카복실산 및 글리콜 에테르, 예컨대 메탄올, 에탄올, 이소프로판올, 부탄올 및 고급 알코올(디올, 트리올 등을 포함함), 2,2,3,3,4,4,5,5-옥타플루오로-1-펜탄올, 1H,1H,9H-퍼플루오로-1-노난올, 퍼플루오로헵탄산, 1H,1H,7H-도데카플루오로-1-헵탄올, 퍼플루오로펜탄산, 1H,1H,8H,8H-도데카플루오로-1,8-옥탄디올, 2,2,3,3,4,4,5,5-옥타플루오로-1,6-헥산디올, 5H-퍼플루오로펜탄산, n-부틸 헵타플루오로부티레이트, 테트라히드로퓨란(THF), N-메틸피롤리디논(NMP), 시클로헥실피롤리디논, N-옥틸피롤리디논, N-페닐피롤리디논, 메틸 포르메이트, 디메틸 포름아미드(DMF), 디메틸설폭시드(DMSO), 테트라메틸렌 설폰(설포란), 디에틸 에테르, 페녹시-2-프로판올(PPh), 프로프리오페네온, 에틸 락테이트, 에틸 아세테이트, 에틸 벤조에이트, 아세토니트릴, 아세톤, 에틸렌 글리콜, 프로필렌 글리콜, 디옥산, 부티릴 락톤, 부틸렌 카보네이트, 에틸렌 카보네이트, 프로필렌 카보네이트, 디프로필렌 글리콜, 양쪽성 종(디에틸렌 글리콜 모노메틸 에테르, 트리에틸렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 모노에틸 에테르, 트리에틸렌 글리콜 모노에틸 에테르, 에틸렌 글리콜 모노프로필 에테르, 에틸렌 글리콜 모노부틸 에테르, 디에틸렌 글리콜 모노부틸 에테르(즉, 부틸 카비톨), 트리에틸렌 글리콜 모노부틸 에테르, 에틸렌 글리콜 모노헥실 에테르, 디에틸렌 글리콜 모노헥실 에테르, 에틸렌 글리콜 페닐 에테르, 프로필렌 글리콜 메틸 에테르, 디프로필렌 글리콜 메틸 에테르, 트리프로필렌 글리콜 메틸 에테르, 디프로필렌 글리콜 디메틸 에테르, 디프로필렌 글리콜 에틸 에테르, 프로필렌 글리콜 n-프로필 에테르, 디프로필렌 글리콜 n-프로필 에테르(DPGPE), 트리프로필렌 글리콜 n-프로필 에테르, 프로필렌 글리콜 n-부틸 에테르, 디프로필렌 글리콜 n-부틸 에테르, 트리프로필렌 글리콜 n-부틸 에테르, 프로필렌 글리콜 페닐 에테르 및 이들의 조합), 분지형 불화 또는 비불화 에테르 결합 카복실산(CH3CH2)nO(CH2)mCOOH(여기서, n은 1∼10이고, m은 1∼10임), 비분지형 불화 또는 비불화 에테르 결합 카복실산(CH3CH2)nO(CH2)mCOOH(여기서, n은 1∼10이고, m은 1∼10임), 분지형 불화 또는 비불화 비-에테르 결합 카복실산(CH3(CH2)nCOOH(여기서, n은 1∼10임), 비분지형 불화 또는 비불화 비-에테르 결합 카복실산(CH3(CH2)nCOOH(여기서, n은 1∼10임), 디카복실산, 트리카복실산 및 이들의 조합을 들 수 있으나 이에 한정되지 않는다. 또한, 상기 용매는 다른 양쪽성 종, 즉, 계면활성제와 유사하게 친수성 부분과 소수성 부분을 둘 다 포함하는 종을 포함할 수 있다. 소수성 특성은 일반적으로 탄화수소 또는 불화탄소 기로 구성되는 분자 기를 포함시켜 부여할 수 있으며, 친수성 특성은 일반적으로 이온성 또는 비하전 극성 작용기를 포함시켜 부여할 수 있다. 바람직하게는, 상기 유기 용매는 설포란, 부틸 카비톨, 디프로필렌 글리콜 프로필 에테르 또는 이들의 혼합물을 포함한다.
상기 선택적 추가 산(들)은 저유전 물질 내의 가교 결합 중합체의 결합을 파괴하여 가용화하는 것을 촉진한다. 본 발명에서 고려되는 추가 산으로는 붕산, 옥살산, 숙신산, 시트르산, 락트산, 아세트산, 트리플루오로아세트산, 사불화붕산, 불화수소산, 염산, 포름산, 푸마르산, 아크릴산, 말론산, 말레산, 말산, L-타르타르산, 메틸 설폰산, 트리플루오로메탄설폰산, 요오드산, 머캅토아세트산, 티오아세트산, 글리콜산, 황산, 질산, 프로피노산, 피루브산, 아세토아세트산 및 이들의 조합을 들 수 있으나 이에 한정되지 않는다.
웨이퍼 재사용 시 소자 표면 상의 금속 오염 물질을 감소 또는 제거하기 위해 킬레이트제(들)를 첨가할 수 있다. 본 발명에서 고려되는 킬레이트제(들)로는 β-디케토네이트 화합물, 예컨대 아세틸아세토네이트, 1,1,1-트리플루오로-2,4-펜탄디온 및 1,1,1,5,5,5-헥사플루오로-2,4-펜탄디온; 카복실레이트, 예컨대 포르메이트 및 아세테이트와 장쇄 카복실레이트; 및 아미드(및 아민), 예컨대 비스(트리메틸실릴아미드) 사량체를 들 수 있으나 이에 한정되지 않는다. 추가 킬레이트제로는 아민 및 아미노산(즉, 글리신, 알라닌, 시트르산, 아세트산, 말레산, 옥살산, 말론산, 숙신산, 니트릴로트리아세트산, 이미노디아세트산, 에티드론산, 에틸렌디아민, 에틸렌디아민테트라아세트산(EDTA) 및 (1,2-시클로헥실렌디니트릴로)테트라아세트산(CDTA) 및 모노에탄올아민(MEA))을 들 수 있다. 킬레이트화를 할 수 있는 탈양성자화 화합물을 형성하기 위해 염기와 함께 사용해야 하는 비불화 베타-디케톤과는 달리, 불화 베타-디케톤 킬레이트제는 염기 없이 사용할 수 있다. 상기 킬레이트제는 소자 웨이퍼에 조성물을 도입하기 전에 제조 시에 조성물에 도입하거나, 대안으로 소자 웨이퍼에, 즉, 계내에서 도입할 수 있다. 또한, 조성물 중의 다른 성분들의 농도를 희석, 유지 및/또는 증가시키기 위해 상기 조성물에 킬레이트제(들) 이외에 다른 성분들도 첨가할 수 있음도 고려된다.
상기 조성물은 경우에 따라 활성 성분뿐 아니라 비활성 성분, 예를 들어 계면활성제, 안정화제, 부동태화제, 분산제, pH 안정화제, 산화제 등을 비롯한 추가 성분들을 포함할 수 있다.
상기 제거용 조성물의 이러한 양태의 구체적인 실시형태는 농축 형태일 수 있으며 조성물의 총 중량을 기준으로 하기 범위로 함유될 수 있는 하기 성분들을 포함하고, 탈이온수에 20:1로 희석된 반수성 아민 함유 제거용 조성물의 pH는 약 2.5∼약 4.5의 범위이다.
성분 중량% 바람직한 범위(중량%)
아민(들) 약 1%∼약 30.0% 약 5%∼약 15.0%
불화수소산 약 5%∼약 45.0% 약 15%∼약 25.0%
유기 용매(들) 약 10%∼약 60% 약 20%∼약 50%
추가 산(들) 약 5%∼약 25% 약 10%∼약 25%
약 10%∼약 60% 약 15%∼약 30%
또는
성분 중량% 바람직한 범위(중량%)
아민-불화수소 염 약 1%∼약 40.0% 약 5%∼약 30.0%
불화수소산 약 0.01%∼약 5.0% 약 1%∼약 2%
유기 용매(들) 약 40%∼약 75% 약 50%∼약 70%
추가 산(들) 약 1%∼약 20% 약 5%∼약 20%
약 0.01%∼약 20% 약 1%∼약 20%
또는
성분 중량% 바람직한 범위(중량%)
아민-불화수소 염 약 1%∼약 40.0% 약 30%∼약 35.0%
불화수소산 약 0.01%∼약 5.0% 약 1%∼약 2%
추가 용매(들) 약 45%∼약 75% 약 55%∼약 70%
약 0.01%∼약 10% 약 1%∼약 2%
또는
성분 중량% 바람직한 범위(중량%)
아민 약 1%∼약 40% 약 15%∼약 25%
불화수소산 약 5%∼약 50% 약 35%∼약 45%
약 20%∼약 80% 약 35%∼약 45%
또는
성분 중량% 바람직한 범위(중량%)
아민 약 1%∼약 30.0% 약 5%∼약 20%
불화수소산 약 5%∼약 50% 약 10%∼약 30%
유기 용매(들) 약 1%∼약 80% 약 10%∼약 65%
약 1%∼약 80% 약 15%∼약 70%
바람직하게는, 상기 반수성 아민 함유 제거용 조성물은 30 중량% 미만, 바람직하게는 10 중량% 미만, 더 바람직하게는 2 중량% 미만, 더욱 더 바람직하게는 1 중량% 미만의 테트라히드로푸르푸릴 알코올을 포함하며, 가장 바람직하게는 테트라히드로푸르푸릴 알코올을 포함하지 않는다. 본 발명의 광범위한 실시에 있어서, 상기 제거용 조성물은 전술한 실시형태 중 어느 하나를 포함하거나 이것으로 구성되거나 이것으로 필수적으로 구성될 수 있다.
본 발명의 제거용 조성물을 이용하여 제거되는 저유전 물질로는 CORALTM, BLACK DIAMONDTM(이하, BD), CORAL의 유도체, BD의 유도체, AURORA
Figure 112008040984614-PCT00001
, AURORA
Figure 112008040984614-PCT00002
의 유도체 등을 들 수 있다. 본 명세서에서 사용되는 바와 같이, "CORAL의 유도체" 및 "BD의 유도체"는 각각 대안의, 대개 독점권이 있는 증착법으로 증착시킨 CORAL 및 BD 물질에 해당한다. 상이한 공정 기법을 이용하면 각각 CORALTM 및 BLACK DIAMONDTM과 다른 CORAL 물질과 BD 물질이 형성된다. 본 발명의 또 다른 양태는 사용된 상태의 그러한 저유전 잔류물을 더 함유하는 제거용 조성물에 관한 것이다.
또한, 본 발명의 제거용 조성물의 전술한 실시형태는 저유전 물질 및 금속 적층 물질 잔류물(들)을 더 포함할 수 있다. 바람직하게는, 상기 저유전 물질은 제거용 조성물에 용해되는 규소 함유 화합물을 포함한다. 특히 바람직한 실시형태에 있어서, 상기 제거용 조성물은 1종 이상의 아민 종, 불화수소산, 물, 물질 잔류물, 경우에 따라 1종 이상의 유기 용매, 경우에 따라 1종 이상의 킬레이트제와, 경우에 따라 1종 이상의 추가 산 종을 포함하며, 상기 물질 잔류물은 저유전 물질 잔류물, 금속 적층 물질 잔류물 및 이들의 조합을 포함한다. 특히 바람직한 또 다른 실시형태에 있어서, 상기 제거용 조성물은 1종 이상의 아민-불화수소 염 종, 추가의 불화수소산, 1종 이상의 유기 용매, 1종 이상의 추가 산 종, 물질 잔류물 및 물을 포함하며, 상기 물질 잔류물은 저유전 물질 잔류물, 금속 적층 물질 잔류물 및 이들의 조합을 포함한다.
본 발명의 상기 양태의 실시형태는 하기 제제 A∼BB로 제제화될 수 있으며, 이때 모든 백분율은 제제의 총 중량을 기준으로 한 중량 백분율이다:
제제 A: 사불화붕산 4.7 중량%; 트리에탄올아민:HF 11.7 중량%; HF 1.7 중량%; 에틸렌 글리콜 39.6 중량%; 설포란 10.0 중량%; 부틸 카비톨 15.0 중량%; 물 17.3 중량%,
제제 B: 사불화붕산 4.7 중량%; 피리딘:HF 16.0 중량%; HF 1.7 중량%; 에틸렌 글리콜 39.6 중량%; 설포란 10.0 중량%; 부틸 카비톨 15.0 중량%; 물 13.0 중량%,
제제 C: 사불화붕산 5.9 중량%; 피리딘:HF 8.0 중량%; HF 1.7 중량%; 에틸렌 글리콜 39.6 중량%; 설포란 10.0 중량%; 부틸 카비톨 19.0 중량%; 물 15.8 중량%,
제제 D: 아세트산 17.0 중량%; 피리딘:HF 27.0 중량%; HF 1.2 중량%; 에틸렌 글리콜 27.6 중량%; 설포란 10.0 중량%; DMSO 16.0 중량%; 물 1.2 중량%,
제제 E: 피리딘:HF 32.0 중량%; HF 1.3 중량%; 에틸렌 글리콜 32.4 중량%; 설포란 13.0 중량%; DMSO 20.0 중량%; 물 1.3 중량%,
제제 F: 피리딘:HF 32.0 중량%; 프로필렌 글리콜 35.0 중량%; 설포란 13.0 중량%; DMSO 20.0 중량%,
제제 G: 피리딘:HF 31.1 중량%; HF 1.4 중량%; 프로필렌 글리콜 34.1 중량%; 설포란 12.6 중량%; DMSO 19.4 중량%; 물 1.4 중량%,
제제 H: 피리딘:HF 32.0 중량%; HF 1.7 중량%; 에틸렌 글리콜 39.6 중량%; 설포란 10.0 중량%; DMSO 15.0 중량%; 물 1.7 중량%,
제제 I: 아세트산 13.0 중량%; 이속사졸 7.0 중량%; HF 16.2 중량%; 에틸렌 글리콜 22.1 중량%; 설포란 10.0 중량%; DMSO 15.0 중량%; 물 16.7 중량%,
제제 J: 아세트산 13.0 중량%; 1,2,4-트리아졸 7.0 중량%; HF 16.2 중량%; 에틸렌 글리콜 22.1 중량%; 설포란 10.0 중량%; DMSO 15.0 중량%; 물 16.7 중량%,
제제 K: 아세트산 13.0 중량%; 이속사졸 7.0 중량%; HF 16.3 중량%; 에틸렌 글리콜 24.0 중량%; 설포란 15.0 중량%; 물 24.7 중량%,
제제 L: 아세트산 13.0 중량%; 이속사졸 7.0 중량%; HF 16.3 중량%; 에틸렌 글리콜 24.0 중량%; 설포란 10.0 중량%; NMP 13.0 중량%; 물 16.7 중량%,
제제 M: 아세트산 13.0 중량%; 이속사졸 7.0 중량%; HF 16.3 중량%; 에틸렌 글리콜 24.0 중량%; 설포란 10.0 중량%; 메틸 카비톨 13.0 중량%; 물 16.7 중량%,
제제 N: 아세트산 13.0 중량%; 이속사졸 7.0 중량%; HF 16.3 중량%; 에틸렌 글리콜 24.0 중량%; 설포란 10.0 중량%; 디프로필렌 글리콜 메틸 에테르 13.0 중량%; 물 16.7 중량%,
제제 O: 아세트산 15.0 중량%; 이속사졸 9.0 중량%; HF 17.2 중량%; 에틸렌 글리콜 25.9 중량%; 설포란 15.0 중량%; 물 17.9 중량%,
제제 P: 이속사졸 10.3 중량%; HF 20.4 중량%; 에틸렌 글리콜 30.7 중량%; 설포란 17.2 중량%; 물 21.4 중량%,
제제 Q: 아세트산 21.1 중량%; 이속사졸 12.0 중량%; HF 23.0 중량%; 설포란 20.0 중량%; 물 23.9 중량%,
제제 R: 아세트산 18.0 중량%; 이속사졸 10.2 중량%; HF 20.2 중량%; 설포란 30.4 중량%; 물 21.2 중량%,
제제 S: 아세트산 26.4 중량%; 이속사졸 15.0 중량%; HF 28.7 중량%; 물 29.9 중량%,
제제 T: 이속사졸 15.2 중량%; HF 29.1 중량%; 설포란 25.4 중량%; 물 30.3 중량%,
제제 U: 이속사졸 20.4 중량%; HF 39.0 중량%; 물 40.6 중량%,
제제 V: 2-에틸피리딘 20.4 중량%; HF 39.0 중량%; 물 40.6 중량%,
제제 W: 2-메톡시피리딘 20.4 중량%; HF 39.0 중량%; 물 40.6 중량%,
제제 X: 피페리딘 20.4 중량%; HF 39.0 중량%; 물 40.6 중량%,
제제 Y: NMMO 8.0 중량%; HF 17.6 중량%; 설포란 15.0 중량%; 부틸 카비톨 33.0 중량%; 물 26.4 중량%,
제제 Z: 2-메톡시피리딘 7.0 중량%; HF 15.7 중량%; 설포란 61.0 중량%; 물 16.3 중량%,
제제 AA: NMMO 7.0 중량%; HF 15.7 중량%; 물 77.3 중량%,
제제 BB: NMMO 7.0 중량%; HF 15.7 중량%; 설포란 10.0 중량%; 물 67.3 중량%.
바람직하게는, 상기 성분들의 중량 백분율 비의 범위는 에칭액(들) 대 아민(들)이 약 0.1:1∼약 10:1, 바람직하게는 약 1:1∼약 5:1, 가장 바람직하게는 약 2:1∼약 3:1이고; 물 대 아민(들)이 약 1:1∼약 30:1, 바람직하게는 약 5:1∼약 20:1, 가장 바람직하게는 약 10:1∼약 15:1이다.
또 다른 양태에 있어서, 본 발명은 불량 판정된 미세 전자 소자 구조체의 표면으로부터 저유전 물질, 에칭 중단층, 금속 적층 물질 및 이들의 조합으로 구성된 군에서 선택되는 물질을 제거하는 데 유용하며, 아민 종을 실질적으로 포함하지 않는 제거용 조성물에 관한 것이다. 아민 함유량을 감소시키는 것에 의해, 상기 제거용 조성물의 전체 비용이 절감되고 많은 공급 체인 문제가 최소화된다. 또한, 아민은 HF와 발열 반응하는 것으로 알려져 있어, 입자 발생과 같은 제조상의 문제를 야기할 가능성이 있다. 본 명세서에서 정의된 바와 같이, "실질적으로 포함하지 않는"이란 조성물의 총 중량을 기준으로 조성물의 약 1 중량% 미만, 더 바람직하게는 0.5 중량% 미만, 가장 바람직하게는 0.1 중량% 미만에 해당한다.
따라서, 본 발명의 이러한 양태는 불화수소산 및 1종 이상의 유기 용매를 포함할 수 있다. 더 상세하게는, 본 발명의 조성물은 조성물의 총 중량을 기준으로 하기 범위로 함유되는 불화수소산, 1종 이상의 유기 용매, 물, 경우에 따라 1종 이상의 유기 산과, 경우에 따라 1종 이상의 킬레이트제를 포함한다.
성분 중량%
불화수소산 약 0.01%∼약 50.0%
유기 용매(들) 약 20%∼약 70.0%
선택적 유기 산(들) 0%∼약 80.0%
선택적 킬레이트제(들) 0%∼약 10%
약 0.01%∼80%
본 발명의 이러한 양태의 광범위한 실시에 있어서, 상기 제거용 조성물은 불화수소산, 1종 이상의 유기 용매, 물, 경우에 따라 1종 이상의 유기 산과, 경우에 따라 1종 이상의 킬레이트제를 포함하거나, 이것으로 구성되거나, 이것으로 필수적으로 구성될 수 있다. 일반적으로, 불화수소산원(들), 유기 용매(들), 물, 선택적 유기 산(들)과, 선택적 킬레이트제(들)의 서로에 대한 특정한 비율 및 양은 저유전 물질, 에칭 중단층, 금속 적층 물질 및 이들의 조합으로 구성된 군에서 선택되는 물질에 대한 조성물의 원하는 제거 작용 및/또는 공정 장치에 따라서 적절히 변경할 수 있으며, 이는 당업자가 과도한 노력 없이 용이하게 결정할 수 있다.
바람직하게는, 본 발명의 이러한 양태는 상기 조성물의 총 중량을 기준으로 10 중량% 이상의 HF를 포함한다. 구리 적층 물질을 제거하고자 할 경우, 상기 양태의 제거용 조성물은 산화제 및/또는 카보네이트 함유 종을 포함하지 않는다. 또한, 상기 양태의 제거용 조성물에 함유된 물의 양은 상기 조성물의 총 중량을 기준으로 바람직하게는 10 중량%∼80 중량%, 더 바람직하게는 10 중량%∼약 75 중량%이다.
상기 양태의 조성물은 탈이온수에 20:1로 희석할 때 pH 값이 약 1∼약 7, 더 바람직하게는 약 2.5∼약 4.5, 가장 바람직하게는 약 2.8∼약 3.5의 범위이다.
바람직한 유기 용매(들), 킬레이트제(들) 및 유기 산(들) 종은 앞서 기재한 것과 같다. 물은 탈이온수인 것이 바람직하다.
상기 조성물은 경우에 따라 활성 성분 및 비활성 성분, 예를 들어 계면활성제, 안정화제, 부동태화제, 킬레이트제, 분산제, pH 안정화제, 산화제 등을 비롯한 추가의 성분들을 포함할 수 있다. 예를 들어, 약 0.01 중량%∼약 10 중량%의 계면활성제가 본 발명의 제거용 조성물에 첨가될 수 있다. 고려되는 계면활성제로는 비이온성, 음이온성, 양이온성(4차 암모늄 양이온에 기초함) 및/또는 양쪽성 계면활성제를 들 수 있다. 예를 들어, 적절한 비이온성 계면활성제로는 플루오로알킬 계면활성제, 에톡시화 불소 계면활성제, 폴리에틸렌 글리콜, 폴리프로필렌 글리콜, 폴리에틸렌 또는 폴리프로필렌 글리콜 에테르, 카복실산 염, 도데실벤젠설폰산 또는 그 염, 폴리아크릴레이트 중합체, 디노닐페닐 폴리옥시에틸렌, 실리콘 또는 변성 실리콘 중합체, 아세틸렌계 디올 또는 변성 아세틸렌계 디올, 알킬암모늄 또는 변성 알킬암모늄 염과, 알킬페놀 폴리글리시돌 에테르뿐만 아니라, 전술한 것 중 1종 이상을 포함하는 조합을 들 수 있다. 바람직한 실시형태에 있어서, 상기 비이온성 계면활성제는 에톡시화 불소 계면활성제, 예컨대 ZONYL
Figure 112008040984614-PCT00003
FSO-100 불소 계면활성제(캐나다 온타리오주 미시사우가 소재의 듀폰 캐나다 인코포레이티드 제품)일 수 있다. 본 발명의 조성물에 고려되는 음이온성 계면활성제로는 ZONYL
Figure 112008040984614-PCT00004
UR 및 ZONYL
Figure 112008040984614-PCT00005
FS-62(캐나다 온타리오주 미시사우가 소재의 듀폰 캐나다 인코포레이티드 제품), 나트륨 알킬 설페이트, 암모늄 알킬 설페이트, 알킬 (C10-C18) 카복실산 암모늄 염, 나트륨 설포숙시네이트 및 그 에스테르, 예를 들어 디옥틸 나트륨 설포숙시네이트 및 알킬 (C10-C18) 설폰산 나트륨 염 등의 불소 계면활성제를 들 수 있으나 이에 한정되지 않는다. 고려되는 양이온성 계면활성제로는 알킬테트라메틸암모늄 염, 예컨대 세틸트리메틸암모늄 브로마이드(CTAB) 및 세틸트리메틸암모늄 하이드로젠 설페이트를 들 수 있다. 적절한 양쪽성 계면활성제로는 암모늄 카복실레이트, 암모늄 설페이트, 아민 산화물, N-도데실-N,N-디메틸베타인, 베타인, 설포베타인, 알킬암모니오프로필 설페이트 등을 들 수 있다.
바람직하게는, 본 발명의 이러한 양태의 일 실시형태는 농축 형태로 존재할 수 있고 조성물의 총 중량을 기준으로 하기 범위로 함유되는 하기 성분들을 포함할 수 있으며, 탈이온수에 20:1로 희석하였을 때 상기 제거용 조성물의 pH는 약 2.5∼약 4.5의 범위이다. 경우에 따라, 약 0.01 중량%∼약 10 중량%의 계면활성제가 첨가될 수 있다.
성분 중량%
불화수소산 약 5%∼약 40%
유기 용매(들) 약 10%∼약 70%
약 5%∼80%
또한, 본 발명의 제거용 조성물의 전술한 실시형태는 저유전 물질, 에칭 중단층, 금속 적층 물질 및 이들의 조합으로 구성된 군에서 선택되는 물질을 더 포함할 수 있다. 바람직하게는, 상기 물질은 상기 제거용 조성물에 용해된다.
상기 실시형태는 하기 제제 CC∼HH로 제제화될 수 있으며, 이때 모든 백분율은 제제의 총 중량을 기준으로 한 중량 백분율이다:
제제 CC: HF 20.1 중량%; 부틸 카비톨 57.5 중량%; 설포란 1.5 중량%; 물 20.9 중량%,
제제 DD: HF 37.4 중량%; 부틸 카비톨 21.7 중량%; 설포란 2.2 중량%; 물 38.7 중량%,
제제 EE: HF 20.1 중량%; 부틸 카비톨 21.7 중량%; 설포란 2.2 중량%; 물 56.0 중량%,
제제 FF: HF 0.04%, 부틸 카비톨 10.8%, 설포란 2.2% 및 물 76.96%,
제제 GG: HF 20.1 중량%; 부틸 카비톨 10.8 중량%; 설포란 2.2 중량%; 물 66.9 중량%,
제제 HH: HF 20.1 중량%; 부탄올 10.8 중량%; 설포란 2.2 중량%; 물 66.9 중량%.
가장 바람직하게는, 본 발명의 제거용 조성물은 하기 실시형태로 제제화되며, 이때 모든 백분율은 제제의 총 중량으로 기준으로 한 중량 백분율이다.
성분 중량% 바람직한 범위(중량%) 가장 바람직한 범위(중량%)
HF 약 0.01%∼약 50% 약 5%∼약 40% 약 10%∼약 40%
유기 용매(들) 약 0.01%∼약 70% 약 10%∼약 65% 약 12%∼약 30% 또는 약 50%∼약 60%
약 0.01%∼80% 약 10%∼80% 약 20%∼80%
가장 바람직하게는, 본 발명의 이러한 양태는 불화수소, 디에틸렌 글리콜 부틸 에테르, 설포란 및 물을 포함하는 제거용 조성물에 관한 것이다. 성분들의 중량 백분율 비의 범위는 용매(들) 대 에칭액(들)의 비가 약 0.1:1∼약 10:1, 바람직하게는 약 0.5:1∼약 5:1, 가장 바람직하게는 약 1:1∼약 3:1이고; 물 대 에칭액(들)의 비가 약 0.1:1∼약 10:1, 바람직하게는 약 0.5:1∼약 5:1, 가장 바람직하게는 약 1:1∼약 3:1이다. 또한, 상기 제거용 조성물은 저유전 물질, 에칭 중단층, 금속 적층 물질 및 이들의 조합으로 구성된 군에서 선택되는 물질을 포함할 수 있으며, 상기 물질은 상기 제거용 조성물에 용해되며 상기 제거용 조성물은 그 의도된 용도에 유효한 상태로 남는다.
본 발명의 상기 양태의 특히 바람직한 실시형태에 있어서, 상기 제거용 조성물은 물, 설포란, 디에틸렌 글리콜 부틸 에테르 및 불화수소를 포함하며, 상기 물의 함량은 상기 조성물의 총 중량을 기준으로 10 중량%∼약 75 중량%이며, 단, 상기 조성물은 아민을 실질적으로 포함하지 않는다.
본 발명의 또 다른 양태에 있어서, 본 발명의 조성물은 불화수소산, 1종 이상의 유기 용매, 1종 이상의 산화제 및 물을 포함하며, 단, 상기 조성물은 아민을 실질적으로 포함하지 않는다. 상기 조성물 실시형태는 하부의 소자 기판을 손상시키지 않고 상기 기판 표면 상에 구리를 재증착 또는 침전시키지 않은 채 저유전 물질, 에칭 중단층 및/또는 금속 피막 적층물을 제거하는 데 특히 유용하다. 본 발명에서 고려되는 산화제로는 과산화수소(H2O2), 옥손, 옥손 테트라부틸암모늄 염, 질산철(Fe(NO3)3), 요오드산칼륨(KIO3), 과망간산칼륨(KMnO4), 질산(HNO3), 아염소산암모늄(NH4ClO2), 염소산암모늄(NH4ClO3), 요오드산암모늄(NH4IO3), 과붕산암모늄(NH4BO3), 과염소산암모늄(NH4ClO4), 과요오드산암모늄(NH4IO3), 과황산암모늄((NH4)2S2O8), 과황산나트륨(Na2S2O8), 과황산칼륨(K2S2O8), 아염소산테트라메틸암모늄((N(CH3)4)ClO2), 염소산테트라메틸암모늄((N(CH3)4)ClO3), 요오드산테트라메틸암모늄((N(CH3)4)IO3), 과붕산테트라메틸암모늄((N(CH3)4)BO3), 과염소산테트라메틸암모늄((N(CH3)4)ClO4), 과요오드산테트라메틸암모늄((N(CH3)4)IO4), 과황산테트라메틸암모늄((N(CH3)4)S2O8), 요소 과산화수소((CO(NH2)2)H2O2), 과아세트산(CH3(CO)OOH) 및 이들의 조합을 들 수 있으나 이에 한정되지 않는다. 상기 산화제는 조성물을 소자 웨이퍼에 도입하기 전에 제조 시에 조성물에 도입하거나, 대안으로 소자 웨이퍼에, 즉, 계내에서 도입할 수 있다.
바람직하게는, 본 발명의 상기 양태의 일 실시형태는 농축 형태로 존재할 수 있고 조성물의 총 중량으로 기준으로 하기 범위로 함유되는 하기 성분들을 포함하며, 탈이온수에 20:1로 희석된 상기 제거용 조성물의 pH는 약 2.5∼약 4.5 범위이다.
성분 중량% 바람직한 범위(중량%)
불화수소산 약 10%∼약 40% 약 15%∼약 25%
유기 용매(들) 약 10%∼약 80% 약 20%∼약 55%
약 10%∼약 80% 약 15%∼약 55%
산화제 약 0.1%∼약 15% 약 1%∼약 10%
또한, 본 발명의 제거용 조성물의 전술한 실시형태는 저유전 물질, 에칭 중단층, 금속 적층 물질 및 이들의 조합으로 구성된 군에서 선택되는 물질을 더 포함할 수 있다. 바람직하게는, 상기 물질은 상기 제거용 조성물에 용해된다.
상기 실시형태는 하기 제제 II∼KK로 제제화될 수 있으며, 이때 모든 백분율은 제제의 총 중량을 기준으로 한 중량 백분율이다:
제제 II: HF 18.3 중량%; 부틸 카비톨 52.3 중량%; 설포란 1.3 중량%; 물 19 중량%; H2O2 9.1 중량%,
제제 JJ: HF 20.1 중량%; 부틸 카비톨 21.7 중량%; 설포란 2.2 중량%; H2O2 1 중량%; 물 55.0 중량%,
제제 KK: HF 20.1 중량%; 부틸 카비톨 21.7 중량%; 설포란 2.2 중량%; HNO3 0.97 중량%; 물 55.3 중량%.
본 발명의 또 다른 양태에 있어서, 본 발명의 조성물은 불화수소산, 1종 이상의 유기 용매, 1종 이상의 산화제, 물 및 1종 이상의 구리 킬레이트제를 포함하며, 단, 상기 조성물은 아민을 실질적으로 포함하지 않는다. 상기 조성물 실시형태는 하부의 소자 기판을 손상시키지 않고 상기 기판 표면에 구리를 재증착 또는 침전시키지 않은 채 저유전 물질, 에칭 중단층 및/또는 금속 피막 적층물을 제거하는데 특히 유용하다.
바람직하게는, 본 발명의 상기 양태의 일 실시형태는 농축 형태로 존재할 수 있고 조성물의 총 중량으로 기준으로 하기 범위로 함유되는 하기 성분들을 포함하며, 탈이온수에 20:1로 희석된 상기 제거용 조성물의 pH는 약 2.5∼약 4.5 범위이다.
성분 중량% 바람직한 범위(중량%)
불화수소산 약 5%∼약 30% 약 10%∼약 20%
유기 용매(들) 약 5%∼약 40% 약 10%∼약 25%
약 40%∼약 90% 약 50%∼80%
산화제 약 0.1%∼약 15% 약 1%∼약 5%
킬레이트제 약 0.01%∼약 5% 약 0.1%∼약 2%
또한, 본 발명의 제거용 조성물의 전술한 실시형태는 저유전 물질, 에칭 중단층, 금속 적층 물질 및 이들의 조합으로 구성된 군에서 선택되는 물질을 더 포함할 수 있다. 바람직하게는, 상기 물질은 상기 제거용 조성물에 용해된다.
상기 실시형태는 하기 제제 LL∼QQ로 제제화될 수 있으며, 이때 모든 백분율은 제제의 총 중량을 기준으로 한 중량 백분율이다:
제제 LL: HF 20.1 중량%; 부틸 카비톨 21.7 중량%; 설포란 2.2 중량%; H2O2 1 중량%; CDTA 0.15 중량%; 물 54.85 중량%,
제제 MM: HF 20.1 중량%; 부틸 카비톨 21.7 중량%; 설포란 2.2 중량%; H2O2 1 중량%; EDTA 0.15 중량%; 물 54.85 중량%,
제제 NN: HF 20.1 중량%; 부틸 카비톨 21.7 중량%; 설포란 2.2 중량% H2O2 1 중량%; MEA 0.15 중량%; 물 54.85 중량%,
제제 OO: HF 10.04 중량%; 부틸 카비톨 10.8 중량%; 설포란 2.2 중량%; H2O2 1 중량%; CDTA 0.15 중량%; 물 75.81 중량%,
제제 PP: HF 10.04 중량%; 부틸 카비톨 10.8 중량%; 설포란 2.2 중량%; H2O2 1 중량%; acac 2 중량%; 물 73.96 중량%,
제제 QQ: HF 10.04 중량%; 부틸 카비톨 10.8 중량%; 설포란 2.2 중량%; H2O2 5 중량%; CDTA 0.15 중량%; 물 71.81 중량%.
바람직하게는, 상기 성분들의 중량 백분율 비의 범위는 에칭액(들) 대 산화제(들)의 비가 약 0.1:1∼약 10:1, 바람직하게는 약 0.5:1∼약 5:1, 가장 바람직하게는 약 1:1∼약 3:1이고; 용매(들) 대 산화제(들)의 비가 약 0.1:1∼약 10:1, 바람직하게는 약 1:1∼약 5:1, 가장 바람직하게는 약 2:1∼약 3.5:1이며; 킬레이트제(들) 대 산화제(들)의 비가 약 0.001:1∼약 0.1, 바람직하게는 약 0.01:1∼약 0.05:1이고; 물 대 산화제(들)의 비가 약 1:1∼약 30:1, 바람직하게는 약 5:1∼약 25:1, 가장 바람직하게는 약 10:1∼약 20:1이다.
상기 킬레이트제는 조성물을 소자 웨이퍼에 도입하기 전에 제조 시에 상기 양태의 조성물에 도입하거나, 대안으로 소자 웨이퍼에, 즉, 계내에서 도입할 수 있다는 것이 중요하다. 또한, 조성물 중의 다른 성분들의 농도를 희석, 유지 및/또는 증가시키기 위해 상기 조성물에 킬레이트제(들) 이외에도 다른 성분들을 첨가하는 것도 고려된다.
본 발명의 제거용 조성물을 이용하여 제거되는 저유전 물질로는 CORALTM, BLACK DIAMONDTM(이하, BD), CORAL의 유도체, BD의 유도체, AURORA
Figure 112008040984614-PCT00006
, AURORA
Figure 112008040984614-PCT00007
의 유도체 등을 들 수 있다. 본 명세서에서 사용되는 바와 같이, "CORAL의 유도체" 및 "BD의 유도체"는 각각 대안의, 대개 독점권이 있는 증착법으로 증착시킨 CORAL 물질 및 BD 물질에 해당한다. 상이한 공정 기법을 이용하면 각각 CORALTM 및 BLACK DIAMONDTM와 다른 CORAL 물질과 BD 물질이 형성된다. 본 발명의 또 다른 양태는 사용된 상태의 그러한 저유전 잔류물을 더 함유하는 제거용 조성물에 관한 것이다.
이러한 양태의 제거용 조성물은 또한 미세 전자 소자의 표면으로부터 중합체, 금속 적층 물질, 에칭 중단층 및/또는 기타 잔류물을 동시에 제거하는 데 효과적이라는 점이 중요하다. 예를 들어, 상기 제거용 조성물은 미세 전자 소자의 한 면으로부터 저유전 물질을 효과적으로 제거하면서 이와 동시에 미세 전자 소자의 다른 면으로부터 중합체 및 기타 잔류물을 제거할 수 있다. 따라서, 미세 전자 소자 제조 공정에 적용될 때 본 발명의 이러한 양태의 제거용 조성물은 미세 전자 소자 구조체의 재생 및/또는 재사용을 위해, 규소를 포함하는 웨이퍼를 비롯한 불량 판정된 미세 전자 소자 구조체로부터 저유전 물질, 에칭 중단층, 금속 적층 물질 및 이들의 조합으로 구성된 군에서 선택되는 물질을 제거하는 데 유용하게 이용된다. 본 발명의 제거용 조성물은 0.12 ㎛에서 입자가 50개 미만인 것, 전체 두께 편차가 산업 표준인 5 ㎛ 미만인 것 및/또는 금속 표면 오염도가 1×1010 원자ㆍcm-2 미만인 것을 비롯하여 웨이퍼 재생 요건을 충족한다는 것이 중요하다. 또한, 낮은 TTV로 인하여, 재사용 전에 웨이퍼의 전면과 이면을 평탄화하기 위한 추가의 화학 기계 연마(CMP) 단계, 즉, 물질의 습식 제거에 이은 기판의 평탄화 단계를 생략할 수 있다. 대안으로, 에너지 요구량을 크게 감소시키기 위해(예를 들어 연마 시간을 단축시키기는 것 등), CMP 단계의 파라미터를 변경할 수 있다. 미세 전자 소자 기판으로부터 물질을 제거한 후에, TTV가 3% 미만, 더 바람직하게는 1% 미만, 가장 바람직하게는 0.5% 미만인 것이 가장 바람직하다.
또한, 본 명세서에 개시된 임의의 제거용 조성물은 (CMP) 공정 시에, 즉, 구리를 평탄화하고 장벽층 물질을 제거하기 위해, CDO 및 기타 저유전 물질의 제거를 촉진하기 위해 이용될 수 있다는 것이 이해될 것이며, 이는 당업자가 용이하게 결정할 수 있다. 예를 들어 CMP 공정 중에 구리층에서의 도포 중단이 필요하고, 상기 제거용 조성물이 1종 이상의 킬레이트제를 포함할 경우, 상기 제거용 조성물 바람직하게는 1종 이상의 구리 부동태화제 종을 더 포함한다는 것이 중요하다. 고려되는 구리 부동태화제 종으로는 1,2,4-트리아졸, 벤조트리아졸(BTA), 톨릴트리아졸, 5-페닐-벤조트리아졸, 5-니트로-벤조트리아졸, 3-아미노-5-머캅토-1,2,4-트리아졸, 1-아미노-1,2,4-트리아졸, 히드록시벤조트리아졸, 2-(5-아미노-펜틸)-벤조트리아졸, 1-아미노-1,2,3-트리아졸, 1-아미노-5-메틸-1,2,3-트리아졸, 3-아미노-1,2,4-트리아졸, 3-머캅토-1,2,4-트리아졸, 3-이소프로필-1,2,4-트리아졸, 5-페닐티올-벤조트리아졸, 할로-벤조트리아졸(할로는 F, Cl, Br 또는 I임), 나프토트리아졸, 2-머캅토벤조이미다졸(MBI), 2-머캅토벤조티아졸, 4-메틸-2-페닐이미다졸, 2-머캅토티아졸린, 5-아미노테트라졸(ATA), 5-아미노-1,3,4-티아디아졸-2-티올, 2,4-디아미노-6-메틸-1,3,5-트리아진, 티아졸, 트리아진, 메틸테트라졸, 1,3-디메틸-2-이미다졸리디논, 1,5-펜타메틸렌테트라졸, 1-페닐-5-머캅토테트라졸, 디아미노메틸트리아진, 머캅토벤조티아졸, 이미다졸린 티온, 머캅토벤즈이미다졸, 4-메틸-4H-1,2,4-트리아졸-3-티올, 5-아미노-1,3,4-티아디아졸-2-티올, 벤조티아졸, 트리톨릴 포스페이트, 인디아졸 및 이들의 조합을 들 수 있으나 이에 한정되지 않는다. 디카복실산, 예컨대 옥살산, 말론산, 숙신산, 니트릴로트리아세트산, 이미노디아세트산 및 이들의 조합 역시 구리 부동태화제 종으로서 유용하다. 본 발명의 제거용 조성물은 물 등의 용매로 희석하여 연마 슬러리 유래의 입자, 탄소 농후 입자, 연마 패드 입자, 브러쉬에서 떨어진 입자, 장치 구성 자재 입자, 구리, 산화구리 및 CMP 공정의 부산물인 임의의 기타 물질을 포함하나 이에 한정되지 않는 CMP 공정 후 잔류물을 제거하기 위한 포스트 화학 기계 연마(CMP) 조성물로서 사용될 수 있는 것도 고려된다. CMP 공정 후 용도에 사용할 경우, 농축 제거용 조성물을 용매 대 농축물의 비가 약 1:1∼약 1000:1이 되도록 희석할 수 있으며, 이때 상기 용매는 물 및/또는 유기 용매일 수 있다.
또 다른 양태에 있어서, 본 명세서에 개시된 임의의 제거용 조성물은 fab에서 구성 자재(예를 들어, 강철 배수 설비 및 기타 공구)의 부식을 최소화하기 위해, pH 범위가 약 5∼약 8이 되도록, 바람직하게는 약 5.5∼약 7이 되도록 완충시킬 수 있으며, 이는 당업자가 용이하게 결정할 수 있다. 고려되는 완충제 종으로는 유기 4차 염기, 알칼리 염기, 알칼리 토금속 염기, 유기 아민, 알콕시드, 아미드 및 이들의 조합을 들 수 있으나 이에 한정되지 않는다. 더 상세하게는, 상기 완충제 종으로는 수산화벤질트리메틸암모늄, 수산화벤질트리에틸암모늄, 수산화벤질트리부틸암모늄, 수산화디메틸디에틸암모늄, 수산화테트라메틸암모늄, 수산화테트라에틸암모늄, 수산화테트라프로필암모늄, 수산화테트라부틸암모늄, 수산화암모늄, 수산화칼륨, 수산화세슘, 수산화루비듐, 수산화알킬포스포늄 및 그 유도체, 아닐린, 벤즈이미다졸, 벤질아민, 1-부탄아민, n-부틸아민, 시클로헥산아민, 디이소부틸아민, 디이소프로필아민, 디메틸아민, 에탄아미드, 에탄아민, 에틸아민, 에틸렌디아민, 1-헥산아민, 1,6-헥산디아민, 피라진, 피리다진, 요소, N-메틸피롤리돈, 디글리콜아민, 피리딘, 트리에틸아민, 모노에탄올아민, 트리에탄올아민, 아미노에틸에탄올아민, N-메틸아미노에탄올, 아미노에톡시에탄올, 디메틸아미노에톡시에탄올, 디에탄올아민, N-메틸디에탄올아민, 2-메톡시 피리딘, 이속사졸, 1,2,4-트리아졸 및 그 유도체를 들 수 있다.
가장 바람직하게는, 본 발명의 제거용 조성물은 하기 실시형태로 제제화되며, 이때 모든 백분율은 제제의 총 중량을 기준으로 한 중량 백분율이다.
성분 중량% 바람직한 범위(중량%) 가장 바람직한 범위(중량%)
HF 약 0.01%∼약 50% 약 5%∼약 35% 약 10%∼약 25%
유기 용매(들) 약 20%∼약 70% 약 10%∼약 65% 약 15%∼약 30% 또는 약 50%∼약 60%
약 0.01%∼약 80% 약 10%∼약 80% 약 20%∼약 80%
산화제 0%∼약 10% 약 0.01∼약 7% 약 0.1%∼약 5%
킬레이트제(들) 0%∼약 5% 약 0.01%∼약 1% 약 0.01%∼약 0.5%
본 발명의 제거용 조성물은 개개의 성분들을 단순히 첨가하여 균질한 상태가 되도록 혼합함으로써 쉽게 제제화할 수 있다. 또한, 상기 제거용 조성물은 단일 포장 제제 또는 사용 시점에 혼합하는 다성분 제제로서 용이하게 제제화할 수 있다. 다성분 제제의 각 성분들은 공구에서 또는 공구 상류의 저장 탱크에서 혼합할 수 있다. 본 발명의 광범위한 실시에 있어서 개개의 성분들의 농도는 다수의 특정 제거용 조성물에 따라 광범위하게 달라질 수 있으며, 즉, 더 희석하거나 더 농축시킬 수 있으며, 본 발명의 제거용 조성물은 본 명세서의 교시 내용과 일관된 성분들의 임의의 조합을 다양하고 선택적으로 포함하거나 이것으로 구성되거나 이것으로 필수적으로 구성될 수 있다는 것이 이해될 것이다.
따라서, 본 발명의 일 양태는 소량의 물 및/또는 용매를 병용하거나 또는 대안으로 물 및/또는 용매를 병용하지 않은 본 명세서에 개시된 조성물의 농축 제제에 관한 것으로서, 물 및/또는 용매는 사용 전에 첨가하여 본 발명의 제거용 조성물을 형성할 수 있다. 상기 농축 제제는 용매 대 농축물의 비가 약 1:10∼10:1이 되도록 희석할 수 있으며, 이때 상기 용매는 물 및/또는 유기 용매일 수 있다.
따라서, 본 발명의 또 다른 양태는 하나 이상의 용기에 본 발명의 제거용 조성물을 형성하도록 구성된 1종 이상의 성분들을 포함하는 키트에 관한 것이다. 상기 키트는 하나 이상의 용기에, fab에서 배합하기 위한 1종 이상의 아민, 불화수소산, 경우에 따라 1종 이상의 유기 용매, 경우에 따라 1종 이상의 킬레이트제, 경우에 따라 1종 이상의 추가 산과, 경우에 따라 물을 포함할 수 있다. 대안으로, 상기 키트는 fab에서 물 및/또는 유기 용매와 배합하기 위한 1종 이상의 아민, 불화수소산, 1종 이상의 유기 용매와, 1종 이상의 추가 산을 포함할 수 있다. 또 다른 실시형태에 있어서, 상기 키트는 fab에서 물 및/또는 유기 용매와 배합하기 위한 1종 이상의 아민, 불화수소산, 물 및 1종 이상의 추가 산을 포함할 수 있다. 또 다른 대안의 실시형태에 있어서, 상기 키트는 하나 이상의 용기에, fab에서 물 및/또는 유기 용매와 배합하기 위한 1종 이상의 아민-불화수소 염, 추가의 불화수소산, 1종 이상의 유기 용매와, 경우에 따라 1종 이상의 추가 산을 포함할 수 있다.
대안으로, 상기 키트는 하나 이상의 용기에, fab에서 물 및/또는 유기 용매와 배합하기 위한 불화수소산, 1종 이상의 유기 용매, 경우에 따라 1종 이상의 킬레이트제와, 경우에 따라 1종 이상의 유기 산을 포함할 수 있다. 상기 키트는 전술한 실시형태의 임의의 성분들을 임의의 조합으로 포함할 수 있으며, 이는 당업자가 용이하게 결정할 수 있다는 것이 이해되어야 한다. 상기 키트의 용기는 그 안에 포함된 성분(들)을 저장하고 분배하기 위해 화학적으로 평가된 것이어야 한다. 예를 들어, 상기 키트의 용기는 NOWPak
Figure 112008040984614-PCT00008
용기(미국 커네티컷주 댄버리 소재의 어드밴스드 테크놀로지 머티리얼즈 인코포레이티드 제품)일 수 있다.
또한 상기 제거용 조성물은 액체 용액 이외에도 발포체, 연무체, 아임계 또는 초임계 유체(즉, 용매가 물 및/또는 유기 용매(들)가 아니라 CO2 등인 경우)로 제제화될 수 있다는 것이 고려된다.
상기 제거용 조성물은 미세 전자 소자 기판(즉, 베어 규소, 다결정 규소)으로부터 저유전 물질, 에칭 중단층, 금속 적층 물질 및 이들의 조합으로 구성된 군에서 선택되는 물질을 박리시키는 것이 아니라 용해시킨다는 것이 중요하고 유용한 점이다. 용해는 나중에 기판 위에 침전될 수 있는 미립질의 발생을 최소화하는 것뿐만 아니라 제거용 장치의 막힘 현상을 실질적으로 없앤다는 점에서 유익하다. 또한, 본 발명의 조성물을 사용할 경우 제거 공정 후에 남아 있는 하부의 웨이퍼 소자가 실질적으로 평탄하고 손상되지 않은 상태로 있다.
또 다른 양태에 있어서, 본 발명은 본 명세서에서 기재된 제거용 조성물을 사용하여 저유전층, 에칭 중단층, 금속 적층 물질 및 이들의 조합으로 구성된 군에서 선택되는 물질을 이 물질층이 표면에 있는 미세 전자 소자로부터 제거하는 방법에 관한 것이다. 예를 들어, 하부의 다결정 규소, 베어 규소, 에칭 중단층(예를 들어, SiCN, SiCO, SiC, SiON, SiGe, SiGeB, SiGeC, AlAs, InGaP, InP, InGaAs) 및 금속 적층 물질을 온전한 상태로 유지한 채로 저유전 물질을 제거할 수 있다. 대안으로, 하부의 다결정 규소, 베어 규소층 및/또는 에칭 중단층(예를 들어, SiCN, SiCO, SiC, SiON, SiGe, SiGeB, SiGeC, AlAs, InGaP, InP, InGaAs)을 온전한 상태로 유지한 채로 저유전층 및 금속 적층 물질을 제거할 수 있다. 또 다른 대안의 양태에 있어서, 하부의 다결정 규소 및 베어 규소 층을 온전한 상태로 유지한 채로 저유전층, 에칭 중단층 및 금속 적층 물질을 제거할 수 있다.
또 다른 양태에 있어서, 본 발명은 미세 전자 소자의 한 면으로부터 저유전층을 제거하고 미세 전자 소자의 다른 면으로부터 중합체 또는 다른 잔류물을 제거하는 방법에 관한 것이다.
제거 용도에 있어서, 상기 제거용 조성물은, 예를 들어, 제거용 조성물을 미세 전자 소자의 표면에 분사하거나, 저유전 물질을 포함하는 소자를 침지하거나(일정량의 제거용 조성물에), 또 다른 물질, 예를 들어 상기 제거용 조성물이 표면에 흡수되어 있는 패드 또는 섬유상 흡수체 애플리케이터 부재와 상기 소자를 접촉시키거나, 제거하고자 하는 물질을 포함하는 소자를 순환하는 제거용 조성물과 접촉시키거나, 또는 제거용 조성물을 제거하고자 하는 물질과 접촉시켜 제거할 수 있는 임의의 다른 적합한 수단, 방식 또는 기법을 이용하여, 제거하고자 하는 물질 표면에 있는 불량 판정된 미세 전자 소자에 도포한다. 또한, 회분식 또는 단일 웨이퍼 공정도 여기에 고려된다. 상기 제거용 조성물을 사용하는 제거 방법은 정적 세정, 동적 세정, 또는 소자를 제거용 조성물로 동적 세정한 후에 정적 세정을 실시하는 순차적 공정 단계를 포함할 수 있으며, 각각의 동적 단계와 정적 단계는 교대로, 또 교대로 이루어지는 단계의 사이클로서 반복적으로 수행할 수 있다.
상기 제거용 조성물은 Verteq 단일 웨이퍼 메가소닉 골드핑거(Goldfinger), OnTrak 시스템 DDS(양면 스크러버; double-sided scrubber), Laurell 회전 분무 수단, SEZ 단일 웨이퍼 분무 세정, Applied Materials Mirra-MesaTM/ReflexionTM/Reflexion LKTM 및 메가소닉 배치 습식 벤치 시스템을 비롯하여 다종 다양한 종래의 세정 수단과 함께 이용될 수 있다.
미세 전자 소자 제조 공정에 적용될 때, 본 발명의 제거용 조성물은 불량 판정된 미세 전자 소자 구조체의 재생 및/또는 재사용을 위해 그 미세 전자 소자 구조체로부터 저유전 물질, 에칭 중단층, 금속 적층 물질, 및 이들의 조합물로 구성된 군으로부터 선택된 물질을 제거하는 데 유용하게 사용된다. 또한, 상기 제거용 조성물은 CDO 및 기타 저유전 물질의 제거를 촉진하는 기계 화학 연마 공정 또는 CMP 후 잔류 물질을 제거하는 CMP 후 공정 시에 사용될 수 있다.
본 발명의 조성물은, 미세 전자 소자 구조체 상에 존재하여 그 제거용 조성물에 노출될 수 있는 기타 물질, 예컨대 다결정 규소, 베어 규소 등에 비하여, 그러한 물질(들)에 대한 선택성에 의해, 그 물질(들)의 적어도 부분적인 제거를 크게 효율적인 방식으로 달성하는 데 사용될 수 있다.
저유전 물질, 에칭 중단층, 금속 적층 물질 및 이들의 조합물로 구성되는 군으로부터 선택된 물질을, 표면에 상기 물질을 갖고 있는 미세 전자 소자 구조체로부터 제거하기 위해 본 발명의 조성물을 이용하는 경우, 그 제거용 조성물은 일반적으로 약 30 초∼약 60 분, 바람직하게는 약 75 초∼약 5 분의 시간 동안(바람직한 시간은 제거하고자 하는 층(들)의 두께에 따라 좌우됨), 약 20℃∼약 90℃, 바람직하게는 약 25℃∼약 60℃, 가장 바람직하게는 약 25℃∼약 50℃의 범위 온도에서 접촉하게 된다. 에칭 중단층을 제거하고자 하는 경우, 그 접촉 시간은, 에칭 중단층의 두께에 따라 좌우되어, 약 25℃∼약 80℃의 범위 온도에서 약 5 분∼약 2 시간의 범위 내일 수 있다. 그러한 접촉 시간 및 온도는 예시적이며, 그리고 본 발명의 광범위한 실시에 있어서, 소자 구조체로부터 그 물질(들)을 적어도 부분적으로 제거하기에 효과적인 다른 적합한 시간 및 온도 조건이 있다면 어떤 조건이라도 이용될 수 있다. 본 명세서에 정의되어 있는 바와 같이, "적어도 부분적인 용해"란 물질의 적어도 90%를 용해 제거하는 것, 바람직하게는 물질의 적어도 95%를 용해 제거하는 것에 해당한다. 가장 바람직하게는, 본 발명의 조성물을 사용하여 물질의 적어도 99%를 용해 제거할 수 있다.
원하는 제거 작용을 달성한 후, 제거용 조성물은, 이것이 미리 도포되어 있는 미세 전자 소자로부터, 본 발명의 조성물의 주어진 최종 용도 적용에서 바람직하고 효과적일 수 있을 정도로, 예를 들어 세정, 세척 또는 다른 제거 단계(들)에 의해 용이하게 제거된다. 예를 들어, 미세 전자 소자는 탈이온수에 의해 세정될 수 있다. 또한, 미세 전자 소자는 질소 기체 또는 SEZ(스핀 공정 기법)에 의해 건조될 수 있다.
추가 양태에서, 본 발명은 미세 전자 소자를 포함하는 물품의 제조 방법에 관한 것으로, 상기 방법은 불량 판정된 미세 전자 소자 구조체를 본 발명의 제거용 조성물과, 그 미세 전자 소자 구조체로부터 저유전 물질, 에칭 중단층, 금속 적층 물질, 및 이들의 조합물로 구성된 군에서 선택된 물질을 적어도 부분적으로 제거하여 재사용 가능한 미세 전자 소자 구조체를 산출하기에 충분한 시간 동안 접촉시키는 단계를 포함하며, 그리고 임의로 하나 이상의 저유전 물질층을 비롯한 하나 이상의 층을 그 재사용 가능한 미세 전자 소자 구조체에, 후속 다층 미세 전자 소자 제조 공정에서, 도포하는 단계 및 상기 미세 전자 소자를 상기 물품으로 통합하는 단계를 추가로 포함한다.
전형적으로, 해당 기술 분야에서 습식 벤치 도구(wet bench tool)는 2개의 배스(bath) - 제거용 조성물을 위한 하나의 배스와 그 제거용 조성물 중에서 후속 함침하게 되는 소자 웨이퍼를 세정하기 위한 하나의 배스로 구성된다. 불리하게도, 세정 배스의 pH는 불화물 함유 제거용 조성물을 갖는 소자 웨이퍼의 함침 과정을 수행한 후에 매우 산성으로 될 수 있다. 앞서 논의되어 있는 바와 같이, 고 불화물 농도(및 고 유기 용매 농도)를 갖는 용액은 상당한 폐기 문제를 야기할 수 있다. 따라서, 세정수가 너무 산성으로 되지 않도록 보장하는 공정이 필요하다. 그러한 목적에 대하여, 본 발명은 추가로 제3 배스, 구체적으로 제거용 조성물 배스에 대하여 후속이지만 세정 배스 이전에 사용하기 위한 중화 배스의 습식 벤츠 도구 내로의 통합에 관한 것으로, 상기 배스는 그 제거용 조성물 중에서의 함침을 수행한 후 소자 웨이퍼 상에 잔류하게 되는 제거용 조성물의 고 함량 불화물을 중화시키기에 유용한 것이다. 그러한 것으로서, 또 다른 양태에서, 본 발명은 광범위하게는 본 발명의 제거용 조성물을 사용하여 미세 전자 소자 구조체로부터 저유전 물질을 적어도 부분적으로 제거하는 방법에 관한 것으로, 상기 방법은 완충제 세정 단계를 이용하여 웨이퍼 표면을 중화시키는 단계 및 그 중화된 웨이퍼를 물로 세정하는 단계를 포함한다.
바람직한 실시형태에 있어서, 본 발명은 저유전 물질을 표면에 갖는 미세 전자 소자로부터 그 저유전 물질을 제거하는 방법에 관한 것으로, 상기 방법은
미세 전자 소자로부터 상기 저유전 물질을 적어도 부분적으로 제거하기에 충분한 시간 동안 그 미세 전자 소자를 제거용 조성물과 접촉시키는 단계로서, 여기서 그 제거용 조성물은 불화수소산 및 하나 이상의 아민 종을 포함하고, 수 중의 제거용 조성물의 20:1 희석액의 pH는 약 2.5∼약 4.5 범위로 존재하는 것인 단계;
표면에 제거용 조성물을 갖는 미세 전자 소자를 중화 조성물과 접촉시켜 그 미세 전자 소자 상의 제거용 조성물을 중화시키는 단계;
표면에 중화된 제거용 조성물을 갖는 미세 전자 소자를 물로 세정하여 중화된 제거용 조성물을 그 미세 전자 소자로부터 제거하는 단계
를 포함한다.
바람직하게는, 그 중화 조성물은 하나 이상의 완충 화학종을 포함하며, 여기서 중화된 제거용 조성물의 pH는 약 5∼약 9 범위, 보다 바람직하게는 약 6∼약 8 범위, 그리고 가장 바람직하게는 약 7로 존재한다. 여기에서 고려된 완충 화학종은 시판용 색상-코드화된 완충제 용액, 또는 염기, 예컨대 수산화물, 탄산염, 인산염, 이염산염 등 및 염기/염 혼합물을 포함하는 주문용 용액을 포함하긴 하지만, 이에 국한되는 것이다.
본 발명의 추가 특징 및 이점은 하기 논의된 예시적 실시예에 의해 보다 충분하게 제시된다.
실시예 1
탈이온수에 20:1로 희석된 제제 A의 pH는 3.2인 것으로 측정되었다.
표면에 블랭킷(blanketed) BD 물질이 있는 베어 규소 기판은 표 1에 나타난 바와 같이 40℃∼60℃에서 2 분∼5 분 동안 농축 제제 A 중에 정적 침지하였다. 이 BD 물질층은 BLACK DIAMONDTM 물질을 증착시키는 방법 뿐만 아니라 BD 층의 두께로 특성화하였다. 제1 베어 규소 기판은 특허권 독점적인 BLACK DIAMONDTM 공정을 이용하여 블랭킷 BLACK DIAMONDTM 층을 증착시켰고, 대략 6,500Å의 두께를 가졌다(이후에는 BDTM임). 제2 베어 규소 기판은 특허권 독점적인 증착 공정을 이용하여 블랭킷 BD 유도체 물질을 증착시켰고, 대략 13,000Å의 두께를 가졌다(이후에는 BDD1임). 제3 베어 규소 기판은 또 다른 특허권 독점적인 증착 공정을 이용하여 블랭킷 BD 유도체 물질을 증착시켰고, 대략 12,000Å의 두께를 가졌다(이후에는 BDD2임).
정적 침지(static soak)의 결과는 하기 표 1에 요약 기재되어 있고, 이에 따라 "예"는 특정 BD 물질이 특정 시간 및 특정 온도에서 조성물 중에 실질적으로 용해되었다는 것을 나타낸다.
[표 1]
희석된 제제 A 중에서 BD 물질의 정적 침지의 결과
40℃ 50℃ 60℃
BDTM BDD1 BDD2 BDTM BDD1 BDD2 BDTM BDD1 BDD2
2분 아니오 아니오 아니오 아니오
3분 아니오
4분
5분
기판의 표면으로부터 BD 물질의 용해는 침지 시간, 침지 온도 뿐만 아니라 BD 물질의 두께에 따라 좌우되었다는 점을 이해할 수 있다.
실시예 2
블랭킷 다결정 규소는 다양한 온도에서 30 분 동안 제제 A 중에 정적 침지하였고, 다결정 규소의 에칭률을 측정하였다. 그 결과는 하기 표 2에 요약 기재되어 있다.
[표 2]
제제 A 중에서 다결정 규소의 정적 침지의 결과
온도/℃ 다결정 규소 에칭률/Åㆍmin-1
40 1.1
50 1.4
60 1.7
제제 A 중에서 다결정 규소의 에칭률은 극도의 침지 조건, 예를 들어 30 분의 침지에서 본 실시예에 연구된 온도 범위에서 무시할 정도였다는 점을 이해할 수 있다. 이는 제제 A가 이면 다결정 규소 물질에 손상을 가하지 않는다는 점을 보여준다.
실시예 3
베어 규소, BDTM, BDD1 및 BDD2의 샘플은 극도의 침지 조건을 모방하도록 60℃에서 200 분 동안 제제 A 중에 정적 침지하였다. 특정 시간 동안 함침을 수행한 후, 샘플은 정적 침지 배스로부터 제거하고, 세정하며, 건조시켰고, AFM 표면 조도 를 측정하였다. 그 결과는 하기 표 3에 기록되어 있다.
[표 3]
제제 A 중에서 베어 규소 및 BD 물질의 정적 침지의 결과
대조군 제제 A
베어 규소 (nm) 베어 규소 (nm) BDTM (nm) BDD1 (nm) BDD2 (nm)
1 m 스캔 0.174 0.402 0.336 0.405 0.380
5 m 스캔 0.145 0.688 0.296 0.571 0.503
베어 규소 기판은 제제 A 중에서 각 기판의 극도의 침지 동안 유의적으로 손상되지 않았다는 점을 이해할 수 있다. 예를 들어, 0.145 nm∼0.688 nm에 이르는 가장 큰 수치 변화는 베어 규소 표면의 표면에 대한 무시할 정도의 손상과 동등하다. 결과는 제제 A가 이면 베어 규소 기판에도 손상을 가하지 않는다는 점을 보여준다.
실시예 4
개방 및 폐쇄 환경 하에 60℃에서 제제 A의 배스 수명을 평가하였고, 제제 A의 질량을 4일의 과정에 걸쳐 24 시간 간격으로 측정하였다. t = 0, 24, 48, 72 및 92 시간에서, 표면에 BDTM을 갖는 베어 규소 기판을 10 분 동안 제제 중에 함침시키고, 상기 기판으로부터 BDTM을 제거하기 위한 제제의 유효성을 시각적으로 평가하였다. 결과는 하기 표 4에 요약 기재되어 있다.
[표 4]
제제 A의 배스 수명 시험의 결과
시간 개방된 병 폐쇄된 병
중량 손실/g BDTM 제거되어 깨끗한지? 중량 손실/g BDTM 제거되어 깨끗한지?
0 시간 - -
24 시간 52.50 0.38
48 시간 11.69 0.09
72 시간 4.58 0.06
96 시간 4.33 0.01
결과는 제제 A가 4 일 과정에 걸쳐 더 농축되었는지의 여부와는 상관없이 제제 A가 유용한 배스 수명을 갖는다는 점을 보여준다(즉, 물이 경시적으로 증발되었던 개방된 병). 또한, 그 제제는 실험의 길이에 걸쳐 눈에 띄게 잔류되어 4일 이후에도 베어 규소 기판으로부터 BDTM을 계속 제거하였다. 중요하게도, 60℃에서 96 시간 후 또는 병을 실온으로 냉각했을 때, 병에는 고형물이 전혀 검출되지 않았다.
실시예 5
탈이온수에 20:1로 희석된 제제 B의 pH는 3.3인 것으로 측정되었다.
(상기 실시예 1에서 기술되어 있는 바와 같이) 표면에 블랭킷 BD 물질을 갖는 베어 규소 기판은 표 5에 나타난 바와 같이 30℃∼50℃에서 1 분∼2 분 동안 농축 제제 B 중에 정적 침지하였다. 이 정적 침지의 결과는 하기 표 5에 요약 기재되어 있으며, 이에 따라 "예"는 특정 BD 물질이 특정 시간 동안 침지 중에 특정 온도에서 조성물 중에 실질적으로 용해되었다는 것을 나타낸다.
[표 5]
희석된 제제 B 중에서 BD 물질의 정적 침지의 결과
30℃ 40℃ 50℃
BDTM BDD1 BDD2 BDTM BDD1 BDD2 BDTM BDD1 BDD2
1분 아니오
2분
기판의 표면으로부터 BD 물질의 용해는 BD 물질의 침지 시간, 침지 온도 뿐만 아니라 BD 물질의 두께에 따라 좌우되었다는 것을 이해할 수 있다. 또한, 용해 정도는 시험된 제제에 따라 좌우되었다. 예를 들어, 침지의 2 분 및 40℃에서 제제 A는 BDTM을 완전히 용해시키지 못하였지만, 제제 B는 동일한 조건 하에서 베어 규소 기판으로부터 BDTM을 실질적으로 용해시켰다.
실시예 6
블랭킷 다결정 규소는 다양한 온도에서 30 분 동안 제제 B 중에 정적 침지하였고, 다결정 규소의 에칭률을 측정하였다. 그 결과는 하기 표 6에 요약 기재되어 있다.
[표 6]
제제 B 중에서 다결정 규소의 정적 침지의 결과
온도/℃ 다결정 규소 에칭률/Åㆍmin-1
30 0.7
40 0.9
50 1.3
60 1.8
제제 B 중에서 다결정 규소의 에칭률은 극도의 침지 조건, 예를 들어 30 분 동안 침지에서 본 실시예에 연구된 온도 범위에서 무시할 정도였다는 점을 이해할 수 있다. 이는 제제 B가 이면 다결정 규소 물질에 손상을 가하지 않는다는 점을 보여준다. 또한, 다결정 규소가 베어 규소보다 더 빠르게 에칭되어야 하기 때문에, 제제 B는 베어 규소에도 손상을 가하지 않는다는 것을 추측할 수 있다.
실시예 7
베어 규소, BDTM, BDD1 및 BDD2의 샘플은 극도의 침지 조건을 모방하도록 60℃에서 200 분 동안 제제 B 중에 정적 침지하였다. 특정 시간 동안 함침을 수행한 후, 그 샘플은 정적 침지 배스로부터 제거하고, 세정하며, 건조시켰고, AFM 표면 조도를 측정하였다. 그 결과는 하기 표 7에 기록하였다.
[표 7]
제제 B 중에서 베어 규소 및 BD 물질의 정적 침지의 결과
대조군 제제 A
베어 규소 (nm) 베어 규소 (nm) BDTM (nm) BDD1 (nm) BDD2 (nm)
5 m 스캔 0.145 0.360 0.232 0.280 0.432
베어 규소 기판은 제제 B 중에서 각 기판의 극도의 침지 동안 유의적으로 손상되지 않았다는 점을 이해할 수 있다. 예를 들어, 0.145 nm∼0.432 nm에 이르는 가장 큰 수치 변화는 베어 규소 표면의 표면에 대한 무시할 정도의 손상과 동등하다.
실시예 8
개방된 환경 및 폐쇄된 환경 하에 50℃에서 제제 B의 배스 수명을 평가하였고, 제제 B의 질량을 4일의 과정에 걸쳐 24 시간 간격으로 측정하였다. t = 0, 24, 48, 72 및 92 시간에서, 표면에 BDTM을 갖는 베어 규소 기판을 5 분 동안 제제 중에 함침시키고, 상기 기판으로부터 BDTM을 제거하기 위한 제제의 유효성을 시각적 으로 평가하였다. 그 결과는 하기 표 8에 요약 기재되어 있다.
[표 8]
제제 B의 배스 수명 시험의 결과
시간 개방된 병 폐쇄된 병
중량 손실/g BDTM 제거되어 깨끗한지? 중량 손실/g BDTM 제거되어 깨끗한지?
0 시간 - -
24 시간 28.93 0.05
48 시간 20.93 0.21
72 시간 13.47 0.16
96 시간 6.25 0.17
결과는 제제 B가 4 일 과정에 걸쳐 더 농축되었는지의 여부와는 상관없이 제제 B가 유용한 배스 수명을 갖는다는 점을 보여준다(즉, 물이 경시적으로 증발되었던 개방된 병). 또한, 그 제제는 실험의 길이에 걸쳐 눈에 띄게 잔류되어 4일 이후에도 베어 규소 기판으로부터 BDTM을 계속 제거하였다. 중요하게도, 60℃에서 96 시간 후 또는 병을 실온으로 냉각했을 때, 병에는 고형물이 전혀 검출되지 않았다.
실시예 9
탈이온수에 20:1로 희석된 제제 C의 pH는 3.1인 것으로 측정되었다.
(상기 실시예 1에서 기술되어 있는 바와 같이) 표면에 블랭킷 BD 물질을 갖는 베어 규소 기판은 표 9에 나타난 바와 같이 30℃∼50℃에서 1 분∼2 분 동안 농축 제제 C 중에 정적 침지하였다. 이 정적 침지의 결과는 하기 표 9에 요약 기재되어 있으며, 이에 따라 "예"는 특정 BD 물질이 특정 시간 동안 침지 중에 특정 온도에서 조성물 중에 실질적으로 용해되었다는 것을 나타낸다.
[표 9]
희석된 제제 B 중에서 BD 물질의 정적 침지의 결과
30℃ 40℃ 50℃
BDTM BDD1 BDD2 BDTM BDD1 BDD2 BDTM BDD1 BDD2
1분 아니오 아니오 아니오
2분
기판의 표면으로부터 BD 물질의 용해는 침지 시간, 침지 온도 뿐만 아니라 BD 물질의 두께에 따라 좌우되었다는 점을 이해할 수 있다. 또한, 용해 정도는 시험된 제제에 따라 좌우되었다. 예를 들어, 침지의 2 분 및 40℃에서 제제 A는 BDTM을 완전히 용해시키지 못하였지만, 제제 C는 동일한 조건 하에서 베어 규소 기판으로부터 BDTM을 실질적으로 용해시켰다.
실시예 10
블랭킷 다결정 규소는 다양한 온도에서 30 분 동안 제제 B 중에 정적 침지하였고, 다결정 규소의 에칭률을 측정하였다. 그 결과는 하기 표 10에 요약 기재되어 있다.
[표 6]
제제 C 중에서 다결정 규소의 정적 침지의 결과
온도/℃ 다결정 규소 에칭률 /Åㆍmin-1
30 0.5
40 0.7
50 0.9
60 1.2
제제 C 중에서 다결정 규소의 에칭률은 극도의 침지 조건, 예를 들어 30 분 동안 침지에서 본 실시예에 연구된 온도 범위에서 무시할 정도였다는 점을 이해할 수 있다. 이는 제제 C가 이면 다결정 규소 물질에 손상을 가하지 않는다는 점을 보여준다. 또한, 다결정 규소가 베어 규소보다 더 빠르게 에칭되어야 하기 때문에, 제제 B는 베어 규소에도 손상을 가하지 않는다는 점을 추측할 수 있다.
실시예 11
표면에 대략 22,000 ± 1,000 Å의 두께를 갖는 블랭킷 CORALTM 층을 보유하고 있는 베어 규소 기판은 60℃∼70℃ 범위에 이르는 온도에서 3 분∼5 분 동안 제제 D-H 중에 정적 침지하였다. 특정 시간 동안 함침을 수행한 후, 그 기판을 탈이온수로 세정하고, 건조시켰고, 제거된 CORALTM의 양 및 에칭률은 Nanospec을 이용하여 측정하였다. 그 결과는 하기 표 11에 요약 기재되어 있다.
[표 11]
제제 D-H 중에서 CORALTM의 정적 침지의 결과
제제 시간 60℃ 70℃
총 손실/Å 에칭률/Åㆍmin-1 총 손실/Å 에칭률/Åㆍmin-1
D 3분 6,956 2,319 14,727 4,909
5분 11,901 2,380 22,211(깨끗해짐) >4,442
E 3분 7,427 2,476 12.025 4,008
5분 14,099 2,820 21,886(깨끗해짐) >4,377
F 3분 5,349 1,783 - -
5분 7,422 1,484 - -
G 3분 5,612 1,871 8,739 2,913
5분 8,522 1,704 15,673 3,135
H 3분 7,336 2,445 - -
5분 13,051 2,610 - -
여기서 제제 D∼제제 H는 적절히 우수한 CORAL 에칭률을 제공하는 것으로 결론 내릴 수 있다.
실시예 12
표면에 대략 22,000 ± 1,000 Å의 두께를 갖는 블랭킷 CORALTM 층을 보유하고 있는 베어 규소 기판은 30℃∼60℃ 범위에 이르는 온도에서 3 분 및/또는 5 분 동안 제제 I-O 중에 정적 침지하였다. 특정 시간 동안 함침을 수행한 후, 그 기판을 탈이온수로 세정하고, 건조시켰고, 제거된 CORALTM의 양 및 에칭률은 Nanospec을 이용하여 측정하였다. 그 결과는 하기 표 12에 요약 기재되어 있다.
[표 12]
제제 I-Q 중에서 CORALTM의 정적 침지의 결과
제 제 시 간 30℃ 40℃ 50℃ 60℃
총 손실 /Å 에칭률/ Åㆍmin-1 총 손실 /Å 에칭률/ Åㆍmin-1 총 손실 /Å 에칭률/ Åㆍmin-1 총 손실/ Å 에칭률/ Åㆍmin-1
I 3분 5,764 1,921 16,302 5,434 21,995 (깨끗해짐) >7,332 22,855 (깨끗해짐) >7,298
5분 15,263 3,053 21,762 (깨끗해짐) >4,352 22,123 (깨끗해짐) >4,425 22,110 (깨끗해짐) >4,422
J 3분 2,424 808 7,479 2,492 10,565 3,521 13,463 4,488
5분 10,143 2,029 14,869 2,974 19,742 3,948 22,283 >4,457
K 3분 10,818 3,606 21,881 7,294 21,655 (깨끗해짐) >7,218 22,476 (깨끗해짐) >7,492
L 3분 11,603 3,868 22,420(깨끗해짐) >7,473 22,270 (깨끗해짐) >7,423 22,278 (깨끗해짐) >7,426
M 3분 6,981 2,327 18,537 6,139 21,826 (깨끗해짐) >7,275 21,170 (깨꿋해짐) >7,390
N 3분 9,545 3,182 18,907 6,302 22,260 (깨끗해짐) >7,420 22,484 (깨끗해짐) >7,495
O 3분 13,554 4,518 21,933 7,310 22,066 (깨끗해짐) 7,355 22,479 (깨끗해짐) >7,492
피리딘 대신에 이속사졸 및 TAZ의 사용(즉, 제제 D-H)은 이속사졸 및 TAZ를 사용하지 않는 것에 비하여 CORAL 에칭률을 증가시킨다는 점, 및 첨가되는 유기 용매와는 상관없이 그 에칭률은 대략 동일하다는 점을 이해할 수 있다.
실시예 13
블랭킷 다결정 규소는 다양한 온도에서 30 분 동안 제제 I-O 중에 정적 침지하였고, 다결정 규소의 에칭률을 측정하였다. 그 결과는 하기 표 13에 요약 기재되어 있다.
[표 13]
제제 I-O 중에서 다결정 규소의 정적 침지의 결과
제제 30℃에서 다결정 Si 에칭률/Åㆍmin-1 40℃에서 다결정 Si 에칭률/Åㆍmin-1 50℃에서 다결정 Si 에칭률/Åㆍmin-1 60℃에서 다결정 Si 에칭률/Åㆍmin-1
I 0.3 0.5 0.6 0.9
J 0.9 1.7 2.8 3.9
K - 0.3 - -
L - 0.9 - -
M - 0.4 - -
N - 0.4 - -
O - 0.3 - -
제제 I-O 중에서 다결정 규소의 에칭률은 극도의 침지 조건, 예를 들어 30 분 동안 침지에서 본 실시예에 연구된 온도 범위에서 무시할 정도였다는 점을 이해할 수 있다. 이는 제제 I-O가 이면 다결정 규소 물질에 손상을 가하지 않는다는 점을 보여준다. 또한, 다결정 규소가 베어 규소보다 더 빠르게 에칭되어야 하기 때문에, 제제 I-O는 베어 규소에도 손상을 가하지 않는다는 점을 추측할 수 있다.
실시예 14
표면에 대략 22,000 ± 1,000 Å의 두께를 갖는 블랭킷 CORALTM 층을 보유하고 있는 베어 규소 기판은 30℃∼50℃ 범위에 이르는 온도에서 3 분 동안 제제 P-R 중에 정적 침지하였다. 특정 시간 동안 함침을 수행한 후, 그 기판을 탈이온수로 세정하며, 건조시켰고, 제거된 CORALTM의 양 및 에칭률은 Nanospec을 이용하여 측정하였다. 그 결과는 하기 표 14에 요약 기재되어 있다.
[표 14]
제제 P-R 중에서 CORALTM의 정적 침지의 결과
제제 시간 30℃ 50℃
총 손실/Å 에칭률/Åㆍmin-1 총 손실/Å 에칭률/Åㆍmin-1
P 3분 16,098 5,366 21,907(깨끗해짐) >7,302
Q 3분 21,648 7,216 22,127(깨끗해짐) >7,376
R 3분 19,546 6,515 22,056(깨끗해짐) >7,352
여기서 제제 P∼R은 비교적 낮은 온도에서 매우 우수한 CORAL 에칭률을 제공하는 것으로 결론 내릴 수 있다.
실시예 15
블랭킷 다결정 규소는 30℃에서 30 분 동안 제제 P-R 중에 정적 침지하였고, 다결정 규소의 에칭률을 측정하였다. 그 결과는 하기 표 15에 요약 기재되어 있다.
[표 15]
제제 P-R 중에서 다결정 규소의 정적 침지의 결과
제제 시간 다결정 규소 에칭률/Åㆍmin-1
P 3분 0.3
Q 3분 0.2
R 3분 0.3
제제 P-R 중에서 다결정 규소의 에칭률은 극도의 침지 조건, 예를 들어 30 분 동안 침지에서 본 실시예에 연구된 온도에서 무시할 정도였다는 점을 이해할 수 있다. 또한, 다결정 규소가 베어 규소보다 더 빠르게 에칭되어야 하기 때문에, 제제 P-Q는 베어 규소에도 손상을 가하지 않을 것이라는 점을 추측할 수 있다.
실시예 16
표면에 대략 22,000 ± 1,000 Å의 두께를 갖는 블랭킷 CORALTM 층을 보유하고 있는 베어 규소 기판은 30℃에서 3 분 동안 제제 S-X 중에 정적 침지하였다. 특정 시간 동안 함침을 수행한 후, 그 기판을 탈이온수로 세정하고, 건조시켰고, 제거된 CORALTM의 양 및 에칭률은 Nanospec을 이용하여 측정하였다. 그 결과는 하기 표 15에 요약 기재되어 있다.
[표 16]
제제 S-X 중에서 CORALTM의 정적 침지의 결과
제제 시간 30℃
총 손실/Å 에칭률/Åㆍmin-1
S 3분 22,183 7,394
T 3분 22,239 7,446
U 3분 22,123 7,374
V 3분 22,262 7,421
W 3분 22,344 7,448
X 3분 22,440 7,480
여기서 제제 S-X는 비교적 낮은 온도에서 매우 우수한 CORAL 에칭률을 제공하는 것으로 결론 내릴 수 있다.
실시예 17
블랭킷 다결정 규소는 30℃에서 30 분 동안 제제 S-X 중에 정적 침지하였고, 다결정 규소의 에칭률을 측정하였다. 그 결과는 하기 표 17에 요약 기재되어 있다.
[표 17]
제제 S-X 중에서 다결정 규소의 정적 침지의 결과
제제 다결정 규소 에칭률/Åㆍmin-1
S 0.1
T 0.2
U 0.2
V 0.3
W 0.3
X 0.3
제제 S-X 중에서 다결정 규소의 에칭률은 극도의 침지 조건, 예를 들어 30 분 동안 침지에서 본 실시예에 연구된 온도에서 무시할 정도였다는 점을 이해할 수 있다. 이는 제제 S-X가 이면 다결정 규소 물질에 손상을 가하지 않는다는 점을 보여준다. 또한, 다결정 규소가 베어 규소보다 더 빠르게 에칭되어야 하기 때문에, 제제 S-X는 베어 규소에도 손상을 가하지 않는다는 점을 추측할 수 있다.
실시예 18
탈이온수에 20:1로 희석된 제제 CC의 pH는 3.0인 것으로 측정되었다.
(실시예 1에 설명되어 있는 바와 같이) 표면에 블랭킷 BD 물질을 갖는 베어 규소 기판은 표 18에 나타난 바와 같이 30℃∼50℃에서 1 분 동안 농축 제제 CC 중에 정적 침지하였다. 정적 침지의 결과는 하기 표 18에 요약 기재되어 있고, 이에 따라 "예"는 특정 BD 물질이 특정 시간 및 특정 온도에서 조성물 중에 실질적으로 용해되었다는 것을 나타낸다.
[표 18]
희석된 제제 CC 중에서 BD 물질의 정적 침지의 결과
30℃ 40℃ 50℃
BDTM BDD1 BDD2 BDTM BDD1 BDD2 BDTM BDD1 BDD2
1분
BD 물질은 기판의 표면으로부터 에칭되었고, 침지 시간, 침지 온도 또는 BD 물질의 두께를 비롯한 평가된 공정 조건에 따라 좌우되지 않았다는 점을 이해할 수 있다.
실시예 19
블랭킷 다결정 규소(대략 970Å의 초기 두께)는 다양한 온도에서 30 분 동안 제제 CC 중에 정적 침지하였고, 다결정 규소의 에칭률을 측정하였다. 그 결과는 하기 표 19에 요약 기재되어 있다.
[표 19]
제제 CC 중에서 다결정 규소의 정적 침지의 결과
온도/℃ 다결정 규소 에칭률/Åㆍmin-1
30 0.96
40 0.99
50 1.83
제제 CC 중에서 다결정 규소의 에칭률은 극도의 침지 조건, 예를 들어 30 분 동안 침지에서 본 실시예에 연구된 온도 범위에서 낮았다는 점을 이해할 수 있다. 이는 제제 CC가 이면 다결정 규소 물질에 손상을 가하지 않는다는 점을 보여준다.
실시예 20
베어 규소, BDTM, BDD1 및 BDD2의 샘플은 극도의 침지 조건을 모방하도록 40℃에서 15 분 동안 및/또는 60℃에서 200 분 동안 제제 CC 중에 정적 침지하였다. 특정 시간 동안 함침을 수행한 후, 그 샘플은 정적 침지 배스로부터 제거하고, 세정하며, 건조시키고, AFM 표면 조도를 측정하였다. 그 결과는 하기 표 20에 기록하였다.
[표 20]
제제 CC 중에서 베어 규소 및 BD 물질의 정적 침지의 결과
온도 대조군 제제 CC
베어 규소 (nm) 베어 규소 (nm) BDTM (nm) BDD1 (nm) BDD2 (nm)
5 m 스캔 40℃ 0.145 0.144 0.204 - -
5 m 스캔 60℃ 0.145 1.060 0.606 0.681 0.534
베어 규소 기판은 제제 CC 중에서 각 기판의 극도의 침지 동안 유의적으로 손상되지 않았다는 점을 이해할 수 있다. 예를 들어, 0.145 nm∼1.060 nm에 이르는 가장 큰 수치 변화는 베어 규소 표면의 표면에 대한 무시할 정도의 손상과 동등하다. 이 결과는 제제 CC가 이면 베어 규소 기판에도 손상을 가하지 않는다는 점을 보여준다.
실시예 21
개방된 환경 및 폐쇄된 환경 하에 40℃에서 제제 CC의 배스 수명을 평가하였는데, 상기 배스 수명은 48 시간 초과였다. 표면에 BDTM을 갖는 Si 기판을 제제 중에 5 분 동안 함침시켰으며, 측정된 바에 따르면, 제제가 5 분 이내에 상기 기판으로부터 BDTM을 효과적으로 제거하였다.
추가로, 그 결과는 제제 CC가 2 일의 과정에 걸쳐 보다 더 농축되었는지와는 상관없이 유용한 배스-수명을 가졌다는 점을 보여준다(물이 경시적으로 증발되었던 개방된 병). 또한, 그 제제는 실험 길이에 걸쳐 눈에 띄게 잔류하여 2일 이후에도 Si 기판으로부터 BDTM을 계속 제거하였다. 중요하게도 40℃에서 48 시간 이후 또는 병을 실온으로 냉각했을 때, 병에는 고형물이 전혀 검출되지 않았다.
실시예 22
표면에 두께가 약 22,000±1,000 Å인 블랭킷 CORAL(상표명) 층이 있는 규소 기판을 40℃에서 2분 동안 제제 CC 내에 정적 침지하였다. 특정 시간 동안 침지한 후, 상기 기판은 탈이온수로 세정하고, 건조시키고, Nanospec을 이용하여 제거된 CORAL(상표명)의 양 및 에칭률을 측정하였다. 22,042 Å의 CORAL(상표명)이 제거되었고, 따라서 에칭률은 11,021 Åㆍmin-1인 것으로 확인되었다. 이로부터 제제 CC는 상대적으로 낮은 온도에서 탁월한 CORAL 에칭률을 제공하는 것으로 결론 내릴 수 있었다.
실시예 23
블랭킷 CORAL(상표명) 층이 있는 규소 기판을 60℃에서 200분 동안 제제 CC 내에 정적 침지하여 극단의 침지 조건을 모의하였다. 침지 후, 상기 샘플을 정적 침지 배스로부터 꺼내어 세정하고, 건조시키고, 원자력 현미경(AFM)으로 표면 조도를 측정하였다. 처리 후 베어(bare) Si의 RMS 조도(5 ㎛ 스캔)는 0.845 nm로 측정되었다.
실시예 24
표면에 블랭킷 TEOS, 질화규소, AURORA(상표명), CORAL(상표명), BLACK DIAMOND(상표명), OSG, FSG, 초 저유전(ULK) 또는 구리 막이 있는 별도의 베어 Si 기판을 여러 가지 온도에서 제제 CC 내 정적 침지하였다. 특정 시간 동안 침지 후, 상기 기판을 탈이온수로 세정하고, 건조시키고, Nanospec을 이용하여 제거된 막의 양 및 에칭률을 측정하였다. 측정 결과는 하기 표 24에 요약 정리하였고, 또한 도 1에도 도시하였다.
[표 24]
제제 CC 내에 막 층을 정적 침지한 결과
물질 30℃/Åㆍmin-1에서 에칭률 40℃/Åㆍmin-1에서 에칭률 50℃/Åㆍmin-1에서 에칭률 60℃/Åㆍmin-1에서 에칭률
TEOS 10,751±1269 16,010±508 19,394±477 25,193±455
질화규소 166±3 319±20 473±19 755±43
AURORA(상표명) 9,422±127 10,612±104 15,836±164 27,797±760
CORAL(상표명) 4,161±214 12,177±360 20,269±1206 25,793±797
BLACK DIAMOND (상표명) 13,038±450 15,656±430 19,497±420 26,031±490
OSG 6,498±200 8,670±180 12,401±180 17,062±150
FSG 20,928±572 26,244±3421 36,601±823 49,596±3400
ULK 41,548±317 - - -
구리 1.4±0.2 1.0±0.4 0.1±0.1 0.4±0.6
제제 CC는 선택된 제거 온도에 따라 전체적인 효율로 다수의 저유전 변형물을 효과적으로 제거하는 것을 확인할 수 있었다.
실시예 25
블랭킷 Ta, TaN, TiN, Cu, 다결정 Si, SiC, SiCN(A) 및 SiCN(B)[여기서, SiCN(A) 및 SiCN(B)는 두 개의 상이한 특성의 질화탄소규소 샘플을 의미함]의 에칭률은 30℃∼60℃ 범위의 온도에서 제제 CC 내에 각각의 샘플을 정적 침지한 후 측정하였다. 에칭률은 Nanospec을 이용하여 측정하였다. 측정 결과는 하기 표 25에 요약 정리하였고, 또한 도 2 및 도 3에 모식적으로 도시하였다.
[표 25]
제제 CC 내에 막 층을 정적 침지한 결과
물질 30℃/Åㆍmin-1에서 에칭률 40℃/Åㆍmin-1에서 에칭률 50℃/Åㆍmin-1에서 에칭률 60℃/Åㆍmin-1에서 에칭률
Cu 0±0 0±0 0±0 0±0
Ta 133±27 476±111 1839±54 2798±76
TaN 20±13 26±2 49±15 57±3
TiN 85±23 130±10 257±28 391±20
다결정 Si 0.54±0.08 0.90±0.19 1.11±0.14 1.67±0.07
SiC 0.20±0.03 0.20±0.01 0.21±0.02 0.26±0.02
SiCN(A) 1.11±0.02 1.19±0.03 1.32±0.05 1.58±0.13
S9CN(B) 3.37±0.09 7.64±1.13 12.67±0.67 33.98±3.78
Ta, TaN 및 TiN 금속 적층 물질은 본 발명의 제제를 이용하여 제거될 수 있으나, 구리 물질은 조사된 온도에서 용이하게 제거될 수 없는 것을 확인할 수 있었다. 구리 층의 제거를 지원하기 위해, 제제 CC 40 g과 30% 과산화수소 4 g 및 그 내에 침지된 저유전 물질 및 금속 적층 물질과 혼합하였다(본 명세서의 제제 II를 참조할 것). 저유전 물질의 에칭률은 제제 CC 내에 과산화수소를 포함시킨 후에도 변화가 없었으나, 구리의 에칭률은 측정할 수 없었는데, 그 이유는 상기 구리 층은 매우 빠르게 에칭되었기 때문이다. 또한, 제제 II에 침지하는 경우, TiN의 에칭률은 증가하였다.
제제 CC의 존재 하에서 SiCN의 에칭률을 고려하면, SiCN 층의 산소 함량이 상기 물질의 에칭률에 영향을 미친다는 가설을 세울 수 있었다. 이론에 의해 제한되는 것을 원하는 것은 아니지만, SiCN 막의 산소 함량이 많으면 많을수록 막 물질은 더욱 더 강해지고, 따라서 에칭률이 더 낮아지는 것으로 생각된다.
베어 Si, SiC 및 SiCN(A) 샘플들은 극단의 정적 조건(60℃에서 200분 동안)에서 제제 CC 내에서 추가로 처리하고, 건조시키고, AFM을 이용하여 분석하였다. 처리된 웨이퍼는 낮은 RMS 조도를 보유하였으며, 그에 의해 베어 Si, SiC 및 SiCN(A)는 제제 CC의 존재하에서 유의적인 손상은 없을 것으로 확인되었다.
실시예 26
세 가지 별도의 실험을 수행하여 소자 웨이퍼를 수중에서 세정하기 이전에 상기 소자 웨이퍼 상에 존재하는 제거용 조성물을 중화하는 것이 이점이 있는지를 확인하였다.
첫 번째 실험은 50℃에서 3분 동안 제제 CC를 이용하여 소자 웨이퍼를 닦고, 탈이온(DI)수 배스 중에서 1분 동안 상기 소자 웨이퍼를 세정하는 과정을 포함하였는데, 이때 제제 CC의 부피는 세정 배스 내 탈이온수의 부피와 동일하였다. 탈이온수의 pH는 세정 단계 이전 및 이후에 측정하였다. 세정 단계 이전의 탈이온수의 pH는 5.82이고, 세정 단계 이후의 탈이온수의 pH는 2.98이었는데, 이는 상기 잔류물 제거용 조성물(즉, 제제 CC)이 세정 용액의 pH에 유의적인 영향을 미친다는 것을 나타내는 것이다(후술하는 표 26을 참조할 것).
두 번째 실험은 50℃에서 3분 동안 제제 CC 내에 상기 소자 웨이퍼를 침지하고, 이어서 1분 동안 중화 완충액 배스에 상기 소자 웨이퍼를 침지한 후, 마지막으로 탈이온수 세정 배스에 1분 동안 침지시키는 과정을 포함하였다. 상기 소자 웨이퍼를 침지하기 이전에 상기 중화 완충액 배스의 pH는 약 7이었다. 첫 번째 실험과 유사하게, 제거용 조성물 배스의 부피, 중화 완충액 배스의 부피 및 세정 배스의 부피는 거의 동일하였다. 탈이온수 배스와 중화 완충액 배스의 pH는 세정 단계 이전 및 이후에 측정하였다.
측정 결과는 하기 표 26에 요약 정리하였다.
[표 26]
소자 웨이퍼의 침지 후 침지 배스의 pH
실험 번호 세정 용액 세정 전 pH 세정 후 pH
1 탈이온수 5.82 2.98
2 pH 7 완충액 탈이온수 7 5.9 6.985 6.852
3 pH 10 완충액 탈이온수 10 5.91 9.907 8.704
두 번째 실험에서 탈이온수 배스의 pH는, 표면에 중화된 제거용 조성물을 보유하는 소자 웨이퍼의 침지 후에 실질적으로 증가함을 확인할 수 있었다.
세 번째 실험은 50℃에서 3분 동안 제제 CC 내에 소자 웨이퍼를 침지하고, 이어서 1분 동안 중화 완충액 배스 내에 상기 소자 웨이퍼를 침지하고, 마지막으로 1분 동안 탈이온수 세정 배스에 침지하는 과정을 포함하였다. 상기 중화 완충액 배스의 pH는 약 10이었다. 첫 번째 실험과 유사하게, 제거용 조성물 배스의 부피, 중화 완충액 배스의 부피 및 세정 배스의 부피는 거의 동일하였다. 탈이온수 배스 및 중화 완충액 배스의 pH는 세정 단계 이전 및 이후에 측정하였으며, 측정된 결과는 하기 표 26에 요약 정리하였다. 세 번째 실험에서 탈이온수 배스의 pH는, 표면에 중화된 제거용 조성물을 보유하는 소자 웨이퍼의 침지 후에 실질적으로 증가한다는 것을 확인할 수 있었다.
중요한 점은, 이들 실험을 통해 불화물원은 완충액 배스를 이용하여 용이하게 중화되고, 따라서 탈이온 폐수는, 본 발명의 배경기술에서 논의한 바와 같이, 소각할 수 있다는 것이다. 본 발명의 완충액 배스가 하부의 규소 함유 층(예를 들어, 베어 Si, SiC, SiCN, 다결정 규소 등)을 손상시키지 않는다는 것도 유리한 점이다.
완충액 배스에 대한 로딩의 효과를 조사하기 위해, 6개의 샘플을 제거용 조성물, 예를 들어 제제 CC를 이용하여 50℃에서 3분 동안 닦고, 동일한 pH 7의 중화조 내에 표면에 제거용 조성물을 보유하는 샘플을 침지한 다음, 동일한 탈이온수 배스 내에 표면에 중화된 제거용 조성물을 보유하는 샘플을 침지하는 과정을 포함하는 실험을 수행하였다. 상기 3 단계 실험은 연속적으로 6회 수행하였다. 실험 결과는 하기 표 27에 요약 정리하였다.
[표 27]
6개의 샘플의 일련의 침지 후 탈이온수의 pH
실험 번호 세정 용액 세정 전 pH 세정 후 pH
완충액 7.0 탈이온수 7.003 5.933
1 pH 7 완충액 (단계 1) 탈이온수(단계 2) 6.973 6.903
2 pH 7 완충액 (단계 1) 탈이온수(단계 2) 6.895 8.903
3 pH 7 완충액 (단계 1) 탈이온수(단계 2) 6.882 6.903
4 pH 7 완충액 (단계 1) 탈이온수(단계 2) 6.813 6.894
5 pH 7 완충액 (단계 1) 탈이온수(단계 2) 6.74 6.883
6 pH 7 완충액 (단계 1) 탈이온수(단계 2) 6.698 6.885
상기 완충액 배스는, 다수의 일련의 세정 단계 이후에도, 탈이온수 배스의 pH를 약 pH 7로 유지하는 데 도움을 주는 것을 확인할 수 있었다.
실시예 27
몇 개의 상이한 실험을 수행하여 처리 조건에 따라 달라지나 하부의 규소 함유 기판에 손상을 주지 않고 미세 전자 소자로부터 저유전 물질을 효과적으로 제거하는 제거용 조성물을 배합하였다.
실시예 27A
제제 CC는 수산화테트라메틸암모늄(TMAH) EH는 모노에탄올아민(MEA)으로 완충처리하고, 생성된 용액의 pH 측정 결과, pH는 6이었다. 불행하게도, 상기 용액은 상 분리가 일어났기 때문에 폐기하였다. 이어서, 제제 CC를 트리에틸아민(TEA)으로 완충처리하여 pH 7.4의 용액과 pH 5.5의 용액을 제조하였다. 양자의 경우에, TEA를 함유하는 용액은 하나의 상으로 유지되었으며, CORAL(등록상표), BD 및 다결정 Si의 블랭킷 샘플을 50℃에서 각각의 용액에 침지하고, Nanospec을 이용하여 각각의 에칭률을 측정하였다. 각각의 경우에, 완충처리된 제제 CC는 하부 규소 함유 층의 허용할 수 없을 정도로 빠른 에칭률을 나타냈으며(Si 손상이 관찰되었음), 또한 저유전 물질의 허용할 수 없을 정도로 느린 에칭률을 나타냈다.
실시예 27B
제제 CC 내의 HF는 약 20 중량%의 불화테트라부틸암모늄(TBAH) 또는 약 20 중량%의 보로플루오르산으로 대체하였다. CORAL(등록상표), BD 및 다결정 Si의 블랭킷 샘플을 50℃에서 각각의 용액 내에 침지시키고, Nanospec을 이용하여 각각의 에칭률을 측정하였다. 제제 CC 내에서 최상의 불화물원은 HF임을 확인하였다.
실시예 27C
pH가 약 13.7인 수산화물계 제제는 불화물계 제거용 조성물에 대한 대체물로서 조사하였다. CORAL(등록상표), BD 및 다결정 Si의 블랭킷 샘플을 50℃에서 각각의 용액 내에 침지시키고, Nanospec을 이용하여 각각의 에칭률을 측정하였다. 산성의 불화물계 제제는 미세 전자 소자의 표면으로부터 저유전 물질을 제거하는데 있어서 수산화물계 제제보다 뛰어나다는 것을 확인할 수 있었다.
실시예 27D
제제 CC는 물, 디에틸렌 글리콜 모노부틸 에테르(BC) 또는 1-페녹시-2-프로판올(PPh)로 희석하여 전체적인 HF 농도를 감소시켰다(희석 용매 x 부에 대해 제제 CC 1부). 이들 용액은 HF 농도가 낮다는 장점을 갖고 있었고, 따라서 소각에 의해 처리할 수 있었다. CORAL(등록상표), BD 및 다결정 Si의 블랭킷 샘플을 특정 온도에서 특정 시간 동안 각각의 용액 내에 침지시키고, Nanospec을 이용하여 각각의 에칭률을 측정하였다. 물, BC 및 PPh로 희석한 제제를 이용한 결과는 각각 하기 표 28, 표 29 및 표 30에 요약 정리하였다.
[표 28]
60℃에서 물로 희석한 제제 내에 침지한 샘플의 에칭률(Åㆍmin-1)
샘플 시간/분 희석비 에칭률/Åㆍmin-1
CORAL 2 2 1:10 1:1 0 2356
BD 2 2 1:10 1:1 잔류물 잔류 잔류물 잔류
다결정 Si 30 1:1 2.5
[표 29]
60℃에서 BC로 희석한 제제 내에 침지한 샘플의 에칭률(Åㆍmin-1)
샘플 시간/분 희석비 에칭률/Åㆍmin-1
CORAL 2 2 1:10 1:1 0 962
BD 2 2 1:10 1:1 0 ∼6500
다결정 Si 30 1:1 1.6
[표 30]
60℃에서 PPh로 희석한 제제 내에 침지한 샘플의 에칭률(Åㆍmin-1)
샘플 시간/분 희석비 에칭률/Åㆍmin-1
CORAL 10 5 3 2 1:9 1:4 1:2 1:1 0 2457 >7000 9884
BD 3 3 3 1:4 1:2 1:1 ∼6500 ∼6500 ∼6500
다결정 Si 30 1:9 1:4 1:2 1:1 0.43 0.33 0.30 0.33
물로 희석한 제제 내 하부 층 에칭률(다결정 Si)은 본 발명의 목적을 위해서는 너무 높다는 것을 확인할 수 있었다. 또한, 1:10 또는 1:9의 비율로 희석된 모든 제제는 허용할 수 있는 속도로 저유전 물질을 에칭시키지 않았다.
중요한 점은, PPh를 이용한 1:1 희석액 및 1:2 희석액 모두는 허용할 수 있는 저유전 에칭률 및 무시할 수 있는 규소 함유 층 에칭률을 보유하였다는 것이다. 유리하게도, PPh로 희석된 이들 조성물은 제제 CC보다 훨씬 더 낮은 HF 농도를 보유하였으며, 따라서, 처리 문제는 감소되었다.
실시예 27E
미세 전자 소자의 표면으로부터 저유전 물질을 제거하는 3 단계 방법을 조사하였다. BD 웨이퍼를 20 중량%의 HF 용액 내에 50℃에서 1분 또는 5분 동안 침지하였다. 두 경우에, BD 잔류물은 침지 후 상기 웨이퍼 상에서 육안 관찰되었다. 그 후, 상기 웨이퍼는 97.5 중량% BC/2.5 중량% 설포란 조성물 내에 50℃에서 1분 또는 5분 동안 침지하였다. 다시, BD 잔류물은 상기 웨이퍼의 표면에서 육안 관찰되었다. 그 후, 상기 웨이퍼를 물에 침지하고, 건조시키고, SEM으로 표면 사진을 촬 영하였다. 현미경 사진으로부터 명백히 확인할 수 있는 바와 같이, 3 단계 방법의 결론으로서 상기 웨이퍼의 표면 상에 잔류하는 BD 잔류물은, 본 발명에서 기술한 바와 같은 제제 CC의 성분을 이용하는 3 단계 방법이 실행할 수 있는 선택은 아니라는 것을 나타낸다.
실시예 27F
제제 DD는 제제 CC의 변형물로서, 낮은 유기 용매 농도 및 높은 불화물 농도를 보유하고, 따라서 상기 제제 DD는 더 낮은 COD 계수를 갖는 반면, 높은 저유전 에칭률 및 낮은 하부 층 에칭률을 보유하였다.
CORAL(등록상표), BD 및 다결정 Si의 블랭킷 샘플을 제제 DD 내에 50℃에서 특정 시간 동안 침지하고, Nanospec을 이용하여 각각의 에칭률을 측정하였다. 측정 결과는 하기 표 31에 요약 정리하였다.
[표 31]
60℃에서 제제 DD 내에 침지한 샘플의 에칭률(Åㆍmin-1)
샘플 시간/분 에칭률/Åㆍmin-1
CORAL 1 21,973
BD 1 >6400
다결정 Si 30 0.47
제제 DD는 높은 저유전물 제거율 및 낮은 다결정 Si 제거율을 제공하고, 고농도의 HF가 허용되는 경우 실행할 수 있는 선택이라는 것을 확인할 수 있었다.
실시예 27G
제제 EE는 제제 DD의 변형물로서, 후술하는 바와 같이 낮은 불화물 농도 및 동일한 유기 용매 농도를 보유하였다.
CORAL(등록상표), BD 및 다결정 Si의 블랭킷 샘플을 여러 가지 온도에서 제제 EE 내에 침지시키고, Nanospec을 이용하여 각각의 에칭률을 측정하였다. 측정 결과는 하기 표 32에 요약 정리하였다.
[표 32]
여러 가지 온도에서 제제 EE 내에 침지된 샘플의 에칭률(Åㆍmin-1)
샘플 온도/℃ 에칭률/Åㆍmin-1
CORAL 50 60 25,000 28,633
BD 30 40 50 60 >2184(3분내 완료됨) >3214(2분내 완료됨) >6500(1분내 완료됨) >6500(1분내 완료됨)
다결정 Si 30 40 50 60 0.64(30분) 0.89(30분) 0.93(30분) 1.28(30분)
제제 EE는 높은 저유전물 제거율 및 낮은 다결정 Si 제거율을 제공하였고, 또한, 제제 EE는 동일물의 재생을을 위해 미세 전자 소자로부터 저유전 물질의 제거를 위한 실행할 수 있는 제제임을 확인할 수 있었다. 놀라운 것은, 제제 EE는, 60℃에서 10분 동안 침지한 후, Ta 및TiN을 제거하였지만, 불리하게도 탈적층이 있었고, 동일한 조건 하에서 TaN 또는 Cu를 용해시킬 수 없었다는 것이다.
베어 Si 및 BD 웨이퍼 샘플은 극단의 정적 조건(60℃에서 200분)에서 제제 EE 내에서 추가로 처리하고, 세정하고, 건조시키고, AFM으로 분석하였다. 처리된 웨이퍼의 베어 Si는 제제 EE에 의해 유의적인 손상을 입지 않았는데, 이는 낮은 rms 표면 조도를 통해 확인할 수 있었다.
실시예 28
실시예 27G에서 확인할 수 있는 바와 같이, 제제 EE는 하부 소자 기판, 예를 들어 다결정 Si에 실질적으로 손상을 입히지 않으면서 BD 및 CORAL을 제거할 수 있다. 즉, 제제 EE는 금속 막을 용이하게 제거하진 않았다. 상기한 바와 같이, 산화제, 예를 들어 과산화수소 및 질산을 제제에 첨가하여 소자 기판에 손상을 주지 않고 상기 기판의 표면으로부터 금속 막의 동시 제거를 증강시킬 수 있다. 제제 JJ 및 제제 KK는 산화제를 포함하는 제제 CC의 변형물임을 나타낸다.
Cu(∼1166Å), TaN(∼1600Å), TiN(∼1200Å), Ta(∼1200Å), BD(∼6400Å) 및 CORAL(등록상표)(∼21000Å)의 블랭킷 샘플을 여러 가지 온도에서 여러 가지 시간 동안 제제 JJ 내에 침지하고, Nanospec을 이용하여 각각의 에칭률을 측정하였다. 측정 결과는 하기 표 33에 요약 정리하였다.
[표 33]
제제 JJ 내에 블랭킷 샘플을 정적 침지한 결과
샘플 시간 30℃ 40℃ 50℃ 60℃
제거? 에칭률/ Åㆍmin-1 제거? 에칭률/Åㆍmin-1 제거? 에칭률/ Åㆍmin-1 제거? 에칭률/ Åㆍmin-1
Cu 10분 >1,166 >1,166 >583 >389
5분
3분 - -
1분 아니오 아니오
TaN 3분 >1,600 >1,600 >530 >530
1분 아니오 아니오
TiN 5분 - >1,200 - >1,200 - >1,200 >1,200
3분 - - 아니오
1분 아니오 아니오
Ta 1분 >1,200 >1,200 >400 >240
BD 5분 - >6,400 - >6,400 - >6,400 >6,400
3분 - - 아니오
1분 some res some res
CORAL 5분 - >21,000 - >21,000 - >21,000 >21,000
3분 - -
1분 some res
제제 JJ는 60℃에서 1분 내에 저유전 물질 및 금속 막을 용이하게 제거하였지만, Cu 샘플의 경우에는 재증착 및/또는 침전이 관찰됨을 확인할 수 있었다.
중요한 점은, 제조사는 상기 조성물에 산화제를 도입할 수 있는데, 상기 조성물을 상기 소자 웨이퍼에 도입하기 이전에 도입하거나, 또는 소자 웨이퍼에서, 즉 인 시튜 도입할 수 있다. 산화제(들) 이외에, 다른 성분을 상기 조성물에 첨가하여 상기 조성물 내에서 다른 성분들의 농도를 희석, 유지 및/또는 증가시키는 것을 추가로 고려할 수 있다.
실시예 29
실시예 28의 재증착 결과에 기초하여, 킬레이트제를 산화제를 포함하는 제제에 첨가하였다. 본 발명에서 고려한 킬레이트제의 예로는 에틸렌디아민테트라아세트산(EDTA), (1,2-시클로헥실렌디니크릴로)테트라아세트산(CDTA), 모노에탄올아 민(MEA) 및 아세틸아세톤(acac)를 들 수 있다. 각각의 경우에, 상기한 바와 같이 각 킬레이트제의 제시된 양을 제제 JJ에 첨가하여 제제 LL∼제제 QQ를 형성하고, Cu, Tan, TiN, Ta, BD 및 CORAL(등록상표)의 블랭킷 샘플을 상기 제제 내에 여러 가지 온도에서 여러 가지 시간 동안 침지시키고, Nanospec을 이용하여 각각의 에칭률을 측정하였다.
제제 LL을 이용한 경우, CORAL, BD, Cu, TiN, Ta 및 TaN은 50℃에서 3분 내에 상기 소자 기판으로부터 모두 제거되었다. 중요한 점은 상기 소자 기판의 단면이나 뒷면에서 금속 침전이 관찰되지 않았다는 것이다. 다결정 Si의 에칭률은 측정할 수 없었는데, 그 이유는 다결정 Si 막의 탈적층 때문이다.
제제 MM을 이용한 경우, CORAL, BD, Cu, TiN, Ta 및 TaN은 50℃에서 3분 내에 상기 소자 기판으로부터 모두 제거되었으나, 상기 장치의 단면에서 금속 침전이 관찰되었다. 다결정 Si의 에칭률은 제제 MM 및 제제 NN에 대해 각각 2 Åㆍmin-1 및 2.1 Åㆍmin-1로 측정되었다.
제제 OO를 이용한 경우, CORAL, BD, Cu, TiN, Ta 및 TaN은 50℃에서 5분 내에 상기 소자 기판으로부터 모두 제거되었고, 상기 소자 기판의 단면이나 뒷면에서 금속 침전은 관찰되지 않았다. 다결정 Si의 에칭률은 2.1 Åㆍmin-1로 측정되었다.
제제 PP를 이용한 경우, CORAL, BD, Cu, TiN, Ta 및 TaN은 50℃에서 3분 내에 상기 소자 기판으로부터 모두 제거되었으나, 상기 장치의 단면에서 금속 침전이 관찰되었다. 다결정 Si의 에칭률은 약 3.2 Åㆍmin-1 및 2.1 Åㆍmin-1로 측정되었고, 상기 장치 표면은 평탄하지 않았다.
상기 결과를 비교하면, 제제 OO는 가장 바람직한 결과를 제공함으로써 저유전 물질 및 금속 물질은 상기 소자 기판으로부터 용이하게 제거되고, 처리된 기판 상에서 Cu 재증착 또는 침전은 관찰되지 않았음을 확인할 수 있다. 중요한 점은, 제제 EE(즉, 산화제가 없음)에 킬레이트제를 첨가하는 것 및 그 중에서의 블랭킷 Cu 웨이퍼의 정적 침지에 대한 별도의 테스트를 통해 Cu는 산화제가 아니라 킬레이트제를 포함하는 조성물 내에서 용해되지 않았음을 확인한 것이다.
산화제와 킬레이트제의 조합에 대해 추가로 조사하여 금속 막 및/또는 저유전 물질의 에칭 공정 중 불화수소산계 조성물 내에서 Cu 재증착이 예방됨을 확인하였다. 각각의 경우에, 0.2 중량%의 CuCl2, CuSO4, Cu(NO3)2 또는 Cu(acac)2를 10.04% HF, 10.8% 부틸 카비톨, 2.2% 설포란 및 76.76% 물을 포함하는 별개의 조성물에 첨가하였다. 베어 규소 웨이퍼를 각각의 별개의 조성물 내에 실온(RT) 및 50℃에서 5분 동안 침지시키고, 1% H2O2 및/또는 0.5% CDTA를 첨가하고, 표면에 존재하는 구리 잔류물의 정도를 관찰하였다. 그 결과는 하기 표 34에 요약 정리하였다.
[표 34]
여러 가지 첨가제의 존재 하에서 베어 Si 웨이퍼의 표면에서 구리의 침전
첨가제? CuCl2를 포함하는 제제 CuSO4를 포함하는 제제 Cu(NO3)2를 포함하는 제제 Cu(acac)2를 포함하는 제제
없음 실온 및 50℃에서 웨이퍼 상에 Cu 침착 실온 및 50℃에서 웨이퍼 상에 Cu 침착 50℃에서 웨이퍼 및 실온에서 웨이퍼의 부분 상에 Cu 침착 50℃에서 웨이퍼 및 실온에서 웨이퍼의 부분 상에 Cu 침착
1% H2O2 실온 및 50℃에서 웨이퍼 상에 Cu 침착 50℃에서 웨이퍼 상에 Cu 침착, 그러나 실온에서 웨이퍼 상에 Cu는 존재하지 않음(약간의 잔류물이 존재함) 실온 또는 50℃에서 웨이퍼 상에 Cu는 존재하지 않음(약간의 잔류물이 존재함) 실온 또는 50℃에서 웨이퍼 상에 Cu는 존재하지 않음(약간의 잔류물이 존재함)
0.5% CDTA** 실온 및 50℃에서 웨이퍼 상에 Cu 침착 실온 및 50℃에서 웨이퍼 상에 적갈색 침전이 관찰됨; 침전은 물에 가용성임 실온 및 50℃에서 웨이퍼 상에 적갈색 침전이 관찰됨; 침전은 물에 가용성임 실온 및 50℃에서 웨이퍼 상에 적갈색 침전이 관찰됨; 침전은 물에 가용성임
1% H2O2 및 0.5% CDTA 둘 다 실온 및 50℃ 둘 다에서 웨이퍼 상에 적갈색 침전; 침전은 물에 가용성임 실온에서 웨이퍼 상에 Cu 또는 잔류물은 존재하지 않음; 50℃에서 웨이퍼 상에 Cu는 존재하지 않음(약간의 잔류물이 존재함) 실온 및 50℃에서 웨이퍼 상에 Cu 또는 잔류물은 존재하지 않음 실온 및 50℃에서 웨이퍼 상에 Cu 또는 잔류물은 존재하지 않음
** 0.5% CuCl2 대신에 0.6% CuCl2
조성물 내에 산화제 및 킬레이트제 둘 다가 존재하는 경우, 어떠한 구리 함유 조성물을 이용하여도 베어 Si 웨이퍼에서 구리 증착은 관찰되지 않았다. 중요한 점은, 관찰된 적갈색 침전은 재증착된 구리 화합물이 아니라는 것인데, 그 이유는 이들이 물에서 쉽게 용해되기 때문이다. 달리 표현하면, 상기 소자 웨이퍼 상에 금속, 예를 들어 구리의 재증착은 본 발명의 조성물을 이용하여 무시할 수 있다.
또한, 산화제 및 킬레이트제 둘 다가 본 발명의 조성물에 존재하는 경우, 상기 조성물은 연장된 저장 수명을 갖는다는 놀라운 사실이 확인되었다. 예를 들어, 제제 QQ는 실온에서 49일 동안 저장하고도, 여전히 ∼5000Å의 구리를 10초 이내에, 그리고 ∼9000Å의 구리/TaN/저유전 물질을 포함하는 3층 막을 1분 내에 제거하였다. 동일한 제제는 40℃에서 35일 동안 저장하고도, ∼5000Å의 구리를 10초 이내에, 그리고 ∼9000Å의 구리/TaN/저유전 물질을 포함하는 3층 막을 1분 내에 제거하였다.
실시예 30
Cu(∼1166Å), TaN(∼1600Å), TiN(∼1200Å), Ta(∼1200Å), BD(∼6400Å) 및 CORAL(등록상표)(∼21000Å)의 블랭킷 샘플을 50℃에서 5분 동안 제제 AA, 제제 EE 또는 제제 FF 내에 침지하고, Nanospec을 이용하여 각각의 에칭률(ER; etch rates)을 측정하였다. 측정 결과는 하기 표 35에 요약 정리하였다.
[표 35]
제제 BD CORAL Cu TaN Ta TiN
AA 탈적층; 웨이퍼 상에 잔류물 탈적층; 웨이퍼 상에 잔류물 ER = 2 Åㆍmin-1 ER = 27 Åㆍmin-1 막은 부분적으로 용해됨;웨이퍼 상에 잔류물 ER = 74 Åㆍmin-1;막은 일정하지 않게 탈적층
EE 2분 내에 제거됨 2분 내에 제거됨 ER = 3 Åㆍmin-1 ER = 18 Åㆍmin-1 ER > 276 Åㆍmin-1; 5분 내에 제거됨 ER = 98 Åㆍmin-1;막은 일정하지 않게 탈적층
FF 5분 내에 제거됨 5분 내에 제거됨 ER = 15 Åㆍmin-1 ER = 15 Åㆍmin-1 부분적으로 용해됨 ER = 55 Åㆍmin-1;막은 일정하지 않게 탈적층
제제 AA, 제제 EE 및 제제 FF는 금속 막과 장벽층 물질을 공격한다는 것을 확인할 수 있었다. 중요한 것은, HF 및 부틸 카비톨의 농도가 감소하는 경우(제제 FF), 구리의 에칭률은 증가하는 반면, TaN, Ta 및 TiN의 에칭률은 모두 감소하였다는 것이다. 따라서, HF + 장벽층 억제제의 농도가 낮은 조성물은 장벽층 물질, 특히 Ta 및 TiN의 에칭률을 감소시킬 것으로 예상된다.
Ta 및 TiN의 에칭률을 더 감소시키기 위해, HF, 부틸 카비톨 및 NMMO의 농도를 변화시키고, 몇몇 금속 억제제를 첨가하였다. HF의 농도를 낮추는 것 및/또는 폴리아크릴산 및 1,2,4-트리아졸과 같은 억제제를 첨가하는 것은 Ta의 에칭률을 감소시키는 반면, NMMO의 농도는 TiN의 에칭률을 감소시키는 데 결정적인 요인이라는 것을 확인하였다. 그러나, 중요한 것은, HF의 최소 농도가 약 10 중량% 이하라는 것이며, 이 농도는 BD 및 CORAL이 50℃의 처리 조건에서 5분 동안 완전히 용해될 수 없는 농도이다.
실시예 31
BD 및 다결정 Si의 블랭킷 샘플을 각각의 용액에 60℃에서 30분 동안 침지하였고, Nanospec을 이용하여 각각의 에칭률을 측정하였다. BD는 제제 GG 및 HH 모두 내에 60℃에서 1분 미만 내에 용해되었고, 제제 GG 및 HH 내의 다결정 Si의 에칭률은 각각 1.42 Åㆍmin-1 및 0.54 Åㆍmin-1임을 확인하였다.
지금까지, 본 발명은 특정의 관점, 특징 및 예시적인 실시형태에 의해 기술되었지만, 본 발명의 유용성은 이들로 제한되는 것은 아니며, 오히려 여러 가지 다양한 다름 관점, 특징 및 실시형태로 확장되고 이들을 포함하는 것으로 이해되어야 할 것이다. 따라서, 후술하는 특허청구범위는, 본 발명의 기술적 사상과 범위 내에서 이러한 모든 관점, 특징 및 구체예를 포함하도록 넓은 범위로 작성된 것임을 밝혀둔다.

Claims (73)

  1. 불화수소산 및 물을 포함하고, 하기 성분 (I) 및 (II) 중 적어도 하나:
    (I) 1종 이상의 아민; 또는
    (II) 1종 이상의 유기 용매(이때, 조성물은 아민 종을 실질적으로 포함하지 않음)
    를 포함하는 것을 추가 특징으로 하며, 저유전 물질, 에칭 중단 물질, 금속 적층 물질 및 이들의 조합으로 구성된 군에서 선택되는 물질이 표면에 있는 미세 전자 소자로부터 상기 물질을 제거하는 데 적합한 제거용 조성물.
  2. 제1항에 있어서, 성분 (I)을 포함하고, 1종 이상의 유기 용매, 1종 이상의 추가 산 및 이들의 조합으로 구성된 군에서 선택되는 1종 이상의 추가 종을 더 포함하는 제거용 조성물.
  3. 제1항에 있어서, 성분 (II)를 포함하고, 1종 이상의 산화제, 1종 이상의 킬레이트제 및 이들의 조합으로 구성된 군에서 선택되는 1종 이상의 추가 종을 더 포함하는 제거용 조성물.
  4. 제1항 또는 제2항에 있어서, 성분 (I)을 포함하고, 상기 1종 이상의 아민은 직쇄형 C1-C20 알킬아민, 분지형 C1-C20 알킬아민, 치환된 C6-C10 아릴아민, 비치환된 C6-C10 아릴아민, 글리콜아민, 알칸올아민, 아민-N-옥시드, 트리아졸 및 이들의 조합으로 구성된 군에서 선택되는 종을 포함하는 것인 제거용 조성물.
  5. 제1항 또는 제2항에 있어서, 성분 (I)을 포함하고, 상기 1종 이상의 아민 종은 피리딘; 2-에틸피리딘; 2-메톡시피리딘; 3-메톡시피리딘; 2-피콜린; 피리딘 유도체; 디메틸피리딘; 피페리딘; 피페라진; 트리에틸아민; 트리에탄올아민; 에틸아민; 메틸아민; 이소부틸아민; tert-부틸아민; 트리부틸아민; 디프로필아민; 디메틸아민; 디글리콜 아민; 모노에탄올아민; 피롤; 이속사졸; 1,2,4-트리아졸; 비피리딘; 피리미딘; 피라진; 피리다진; 퀴놀린; 이소퀴놀린; 인돌; 이미다졸; N-메틸모르폴린-N-옥시드(NMMO); 트리메틸아민-N-옥시드; 트리에틸아민-N-옥시드; 피리딘-N-옥시드; N-에틸모르폴린-N-옥시드; N-메틸피롤리딘-N-옥시드; N-에틸피롤리딘-N-옥시드; 1-메틸이미다졸; 디이소프로필아민; 디이소부틸아민; 아닐린; 아닐린 유도체; 및 이들의 조합으로 구성된 군에서 선택되는 종을 포함하는 것인 제거용 조성물.
  6. 제1항 또는 제2항에 있어서, 성분 (I)을 포함하고, 상기 1종 이상의 아민은 1종 이상의 아민-N-옥시드를 포함하는 것인 제거용 조성물.
  7. 제1항 또는 제2항에 있어서, 성분 (I)을 포함하고, 상기 1종 이상의 아민은 N-메틸모르폴린-N-옥시드를 포함하는 것인 제거용 조성물.
  8. 제1항 또는 제3항에 있어서, 성분 (II)를 포함하고, 상기 1종 이상의 유기 용매는 알코올, 에테르, 피롤리디논, 글리콜, 황 함유 용매, 글리콜 에테르, 카복실산 및 이들의 조합으로 구성된 군에서 선택되는 종을 포함하는 것인 제거용 조성물.
  9. 제1항 또는 제3항에 있어서, 성분 (II)를 포함하고, 상기 1종 이상의 유기 용매는 메탄올, 에탄올, 이소프로판올, 부탄올, 디올, 트리올, 2,2,3,3,4,4,5,5-옥타플루오로-1-펜탄올, 1H,1H,9H-퍼플루오로-1-노난올, 퍼플루오로헵탄산, 1H,1H,7H-도데카플루오로-1-헵탄올, 퍼플루오로펜탄산, 1H,1H,8H,8H-도데카플루오로-1,8-옥탄디올, 2,2,3,3,4,4,5,5-옥타플루오로-1,6-헥산디올, 5H-퍼플루오로펜탄산, n-부틸 헵타플루오로부티레이트, 테트라히드로퓨란(THF), N-메틸피롤리디논(NMP), 시클로헥실피롤리디논, N-옥틸피롤리디논, N-페닐피롤리디논, 메틸 포르메이트, 디메틸 포름아미드(DMF), 디메틸설폭시드(DMSO), 테트라메틸렌 설폰(설포란), 디에틸 에테르, 페녹시-2-프로판올(PPh), 프로프리오페네온, 에틸 락테이트, 에틸 아세테이트, 에틸 벤조에이트, 아세토니트릴, 아세톤, 에틸렌 글리콜, 프로필렌 글리콜, 디옥산, 부티릴 락톤, 부틸렌 카보네이트, 에틸렌 카보네이트, 프로필렌 카보네이트, 디프로필렌 글리콜, 디에틸렌 글리콜 모노메틸 에테르, 트리에틸렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 모노에틸 에테르, 트리에틸렌 글리콜 모노에틸 에테르, 에틸렌 글리콜 모노프로필 에테르, 에틸렌 글리콜 모노부틸 에테르, 디에틸렌 글리콜 모노부틸 에테르, 트리에틸렌 글리콜 모노부틸 에테르, 에틸렌 글리콜 모노헥실 에테르, 디에틸렌 글리콜 모노헥실 에테르, 에틸렌 글리콜 페닐 에테르, 프로필렌 글리콜 메틸 에테르, 디프로필렌 글리콜 메틸 에테르, 트리프로필렌 글리콜 메틸 에테르, 디프로필렌 글리콜 디메틸 에테르, 디프로필렌 글리콜 에틸 에테르, 프로필렌 글리콜 n-프로필 에테르, 디프로필렌 글리콜 n-프로필 에테르(DPGPE), 트리프로필렌 글리콜 n-프로필 에테르, 프로필렌 글리콜 n-부틸 에테르, 디프로필렌 글리콜 n-부틸 에테르, 트리프로필렌 글리콜 n-부틸 에테르, 프로필렌 글리콜 페닐 에테르 및 이들의 조합으로 구성된 군에서 선택되는 화합물을 포함하는 것인 제거용 조성물.
  10. 제1항 또는 제3항에 있어서, 성분 (II)를 포함하고, 상기 1종 이상의 유기 용매는 디에틸렌 글리콜 부틸 에테르, 테트라메틸렌 설폰 및 이들의 조합으로 구성된 군에서 선택되는 종을 포함하는 것인 제거용 조성물.
  11. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 미세 전자 소자는 반도체 기판, 평판 디스플레이 및 미세 전자 기계 시스템(MEMS)으로 구성된 군에서 선택되는 물품을 포함하는 것인 제거용 조성물.
  12. 제1항에 있어서, 성분 (I)을 포함하고, 그 안에 용해된 물질을 더 포함하며, 상기 물질은 저유전 물질, 금속 적층 물질 및 이들의 조합으로 구성된 군에서 선택되는 것인 제거용 조성물.
  13. 제1항에 있어서, 성분 (II)를 포함하고, 그 안에 용해된 물질을 더 포함하며, 상기 물질은 저유전 물질, 금속 적층 물질, 에칭 중단 물질 및 이들의 조합으로 구성된 군에서 선택되는 것인 제거용 조성물.
  14. 제1항 내지 제3항, 제12항 또는 제13항 중 어느 한 항에 있어서, 상기 저유전 물질은 규소 함유 유기 중합체, 규소 함유 하이브리드 유기 물질, 규소 함유 하이브리드 무기 물질, 유기 실리케이트 유리(OSG), TEOS, 불화 실리케이트 유리(FSG), 질화규소 및 탄소 도핑 산화물(CDO) 유리로 구성된 군에서 선택되는 유전 물질을 포함하는 것인 제거용 조성물.
  15. 제14항에 있어서, 상기 저유전 물질은 규소를 포함하는 것인 제거용 조성물.
  16. 제1항 내지 제3항 또는 제13항 중 어느 한 항에 있어서, 상기 에칭 중단 물질은 탄화규소(SiC), 질화탄소규소(SiCN), 산화탄소규소(SiCO), 산질화규소(SiON), 구리, 규소게르마늄(SiGe), SiGeB, SiGeC, AlAs, InGaP, InP, InGaAs 및 이들의 조합으로 구성된 군에서 선택되는 물질을 포함하는 것인 제거용 조성물.
  17. 제1항 내지 제3항, 제12항 또는 제13항 중 어느 한 항에 있어서, 상기 금속 적층 물질은 탄탈, 질화탄탈, 질화티탄, 티탄, 니켈, 코발트, 텅스텐 및 이들의 규화물; 구리; 알루미늄; Al/Cu; Al의 합금; Cu의 합금; 산화하프늄; 옥시규산하프늄; 산화지르코늄; 란탄족 산화물; 티타네이트; 및 이들의 조합으로 구성된 군에서 선택되는 물질을 포함하는 것인 제거용 조성물.
  18. 제1항에 있어서, 성분 (I)을 포함하고, HF 대 아민의 중량 백분율 비가 약 1:1∼약 5:1 범위이고, 물 대 아민의 중량 백분율 비가 약 5:1∼약 20:1 범위인 제거용 조성물.
  19. 제1항에 있어서, 성분 (I)을 포함하고, HF 대 아민의 중량 백분율 비가 약 2:1∼약 3:1 범위이고, 물 대 아민의 중량 백분율 비가 약 10:1∼약 15:1 범위인 제거용 조성물.
  20. 제1항에 있어서, 성분 (I)을 포함하고, HF, 물 및 1종 이상의 아민-N-옥시드를 포함하는 제거용 조성물.
  21. 제1항에 있어서, 성분 (I)을 포함하고, HF, 물 및 N-메틸모르폴린-N-옥시드를 포함하는 제거용 조성물.
  22. 제1항에 있어서, 성분 (II)를 포함하고, 용매 대 HF의 중량 백분율 비가 약 0.5:1∼약 5:1 범위이고, 물 대 HF의 중량 백분율 비가 약 0.5:1∼약 5:1 범위인 제거용 조성물.
  23. 제1항에 있어서, 성분 (II)를 포함하고, 용매 대 HF의 중량 백분율 비가 약 1:1∼약 3:1 범위이고, 물 대 HF의 중량 백분율 비가 약 1:1∼약 3:1 범위인 제거용 조성물.
  24. 제1항에 있어서, 성분 (II)를 포함하고, HF, 물, 테트라메틸렌 설폰 및 1종 이상의 글리콜 에테르를 포함하는 제거용 조성물.
  25. 제1항에 있어서, 성분 (II)를 포함하고, HF, 물, 테트라메틸렌 설폰 및 디에틸렌 글리콜 부틸 에테르를 포함하는 제거용 조성물.
  26. 제1항 또는 제22항 내지 제25항 중 어느 한 항에 있어서, 상기 물의 양이 조성물의 총 중량을 기준으로 80 중량% 미만인 제거용 조성물.
  27. 제1항 또는 제22항 내지 제25항 중 어느 한 항에 있어서, 상기 HF의 양이 조성물의 총 중량을 기준으로 약 10 중량%를 초과하는 것인 제거용 조성물.
  28. 제3항에 있어서, 킬레이트제를 포함하고, 상기 킬레이트제는 acac, hfac, tfac, 포르메이트, 아세테이트, 비스(트리메틸실릴아미드) 사량체, 아민, 글리신, 알라닌, 시트르산, 아세트산, 말레산, 옥살산, 말론산, 숙신산, 니트릴로트리아세트산, 이미노디아세트산, 에티드론산, 에틸렌디아민, EDTA, CDTA, 모노에탄올아민 및 이들의 조합으로 구성된 군에서 선택되는 종을 포함하는 것인 제거용 조성물.
  29. 제3항에 있어서, 킬레이트제를 포함하고, 상기 킬레이트제는 CDTA를 포함하는 것인 제거용 조성물.
  30. 제3항, 제28항 또는 제29항 중 어느 한 항에 있어서, 산화제를 포함하고, 상기 산화제는 과산화수소, 옥손, 옥손 테트라부틸암모늄 염, 질산철, 요오드산칼륨, 과망간산칼륨, 질산, 아염소산암모늄, 염소산암모늄, 요오드산암모늄, 과붕산암모늄, 과염소산암모늄, 과요오드산암모늄, 과황산암모늄, 과황산나트륨, 과황산칼륨, 아염소산테트라메틸암모늄, 염소산테트라메틸암모늄, 요오드산테트라메틸암모늄, 과붕산테트라메틸암모늄, 과염소산테트라메틸암모늄, 과요오드산테트라메틸암모늄, 과황산테트라메틸암모늄, 요소 과산화수소, 과아세트산 및 이들의 조합으로 구성된 군에서 선택되는 종을 포함하는 것인 제거용 조성물.
  31. 제3항, 제28항 또는 제29항 중 어느 한 항에 있어서, 산화제를 포함하고, 상 기 산화제는 과산화수소를 포함하는 것인 제거용 조성물.
  32. 제3항에 있어서, HF, 물, 설포란, CDTA, 과산화수소 및 디에틸렌 글리콜 부틸 에테르를 포함하는 제거용 조성물.
  33. 제1항 내지 제3항 중 어느 한 항에 있어서, 물에 20:1로 희석된 제거용 조성물의 pH가 약 2.5∼약 4.5 범위인 제거용 조성물.
  34. 하나 이상의 용기에, 제거용 조성물을 형성하기 위한 하기 반응물 중 하나 이상을 포함하는 키트로서, 상기 제거용 조성물은 불화수소산 및 물을 포함하고, 하기 성분 (I)∼(II) 중 적어도 하나:
    (I) 1종 이상의 아민; 또는
    (II) 1종 이상의 유기 용매(이때, 상기 조성물은 아민 종을 실질적으로 포함하지 않음)
    를 포함하는 것을 추가 특징으로 하며, 상기 키트는 저유전 물질, 에칭 중단 물질, 금속 적층 물질 및 이들의 조합으로 구성된 군에서 선택되는 물질이 표면에 있는 미세 전자 소자로부터 상기 물질을 제거하는 데 적합한 제거용 조성물을 형성하도록 구성된 것인 키트.
  35. 물질이 표면에 있는 미세 전자 소자로부터 상기 물질을 제거하는 방법으로 서, 미세 전자 소자 구조체로부터 저유전 물질, 에칭 중단 물질, 금속 적층 물질 및 이들의 조합으로 구성된 군에서 선택되는 물질을 적어도 부분적으로 제거하기에 충분한 시간 동안 상기 미세 전자 소자 구조체를 제거용 조성물과 접촉시키는 단계를 포함하며, 상기 제거용 조성물은 불화수소산 및 물을 포함하고, 하기 성분 (I)∼(II) 중 적어도 하나:
    (I) 1종 이상의 아민; 또는
    (II) 1종 이상의 유기 용매(이때, 상기 조성물은 아민 종을 실질적으로 포함하지 않음)
    를 포함하는 것을 추가 특징으로 하는 것인 방법.
  36. 제35항에 있어서, 상기 접촉 단계는 약 30 초∼약 60 분의 시간 동안 수행하는 것인 방법.
  37. 제35항에 있어서, 상기 접촉 단계는 약 20℃∼약 90℃ 범위의 온도에서 수행하는 것인 방법.
  38. 제35항에 있어서, 상기 접촉 단계는 상기 제거용 조성물을 상기 미세 전자 소자의 표면에 분무하는 공정; 상기 미세 전자 소자를 충분한 양의 제거용 조성물에 침지하는 공정; 상기 미세 전자 소자의 표면을 상기 제거용 조성물이 표면에 흡수되어 있는 다른 물질과 접촉시키는 공정; 및 상기 미세 전자 소자를 순환하는 제 거용 조성물과 접촉시키는 공정으로 구성된 군에서 선택되는 공정을 포함하는 것인 방법.
  39. 제35항에 있어서, 상기 제거용 조성물이 성분 (I)을 포함하고 1종 이상의 유기 용매, 1종 이상의 추가 산 및 이들의 조합으로 구성된 군에서 선택되는 1종 이상의 추가 종을 더 포함하는 것인 방법.
  40. 제35항에 있어서, 상기 제거용 조성물이 성분 (II)를 포함하고 1종 이상의 산화제, 1종 이상의 킬레이트제 및 이들의 조합으로 구성된 군에서 선택되는 1종 이상의 추가 종을 더 포함하는 것인 방법.
  41. 제35항 또는 제39항에 있어서, 상기 제거용 조성물이 성분 (I)을 포함하고, 상기 1종 이상의 아민 종이 피리딘; 2-에틸피리딘; 2-메톡시피리딘; 3-메톡시피리딘; 2-피콜린; 피리딘 유도체; 디메틸피리딘; 피페리딘; 피페라진; 트리에틸아민; 트리에탄올아민; 에틸아민; 메틸아민; 이소부틸아민; tert-부틸아민; 트리부틸아민; 디프로필아민; 디메틸아민; 디글리콜 아민; 모노에탄올아민; 피롤; 이속사졸; 1,2,4-트리아졸; 비피리딘; 피리미딘; 피라진; 피리다진; 퀴놀린; 이소퀴놀린; 인돌; 이미다졸; N-메틸모르폴린-N-옥시드(NMMO); 트리메틸아민-N-옥시드; 트리에틸아민-N-옥시드; 피리딘-N-옥시드; N-에틸모르폴린-N-옥시드; N-메틸피롤리딘-N-옥시드; N-에틸피롤리딘-N-옥시드; 1-메틸이미다졸; 디이소프로필아민; 디이소부틸아 민; 아닐린; 아닐린 유도체; 및 이들의 조합으로 구성된 군에서 선택되는 종을 포함하는 것인 방법.
  42. 제35항 또는 제39항에 있어서, 상기 제거용 조성물이 성분 (I)을 포함하고, 상기 1종 이상의 아민이 아민-N-옥시드를 포함하는 것인 방법.
  43. 제35항에 있어서, 상기 제거용 조성물이 성분 (II)를 포함하고, 상기 1종 이상의 유기 용매가 메탄올, 에탄올, 이소프로판올, 부탄올, 디올, 트리올, 2,2,3,3,4,4,5,5-옥타플루오로-1-펜탄올, 1H,1H,9H-퍼플루오로-1-노난올, 퍼플루오로헵탄산, 1H,1H,7H-도데카플루오로-1-헵탄올, 퍼플루오로펜탄산, 1H,1H,8H,8H-도데카플루오로-1,8-옥탄디올, 2,2,3,3,4,4,5,5-옥타플루오로-1,6-헥산디올, 5H-퍼플루오로펜탄산, n-부틸 헵타플루오로부티레이트, 테트라히드로퓨란(THF), N-메틸피롤리디논(NMP), 시클로헥실피롤리디논, N-옥틸피롤리디논, N-페닐피롤리디논, 메틸 포르메이트, 디메틸 포름아미드(DMF), 디메틸설폭시드(DMSO), 테트라메틸렌 설폰(설포란), 디에틸 에테르, 페녹시-2-프로판올(PPh), 프로프리오페네온, 에틸 락테이트, 에틸 아세테이트, 에틸 벤조에이트, 아세토니트릴, 아세톤, 에틸렌 글리콜, 프로필렌 글리콜, 디옥산, 부티릴 락톤, 부틸렌 카보네이트, 에틸렌 카보네이트, 프로필렌 카보네이트, 디프로필렌 글리콜, 디에틸렌 글리콜 모노메틸 에테르, 트리에틸렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 모노에틸 에테르, 트리에틸렌 글리콜 모노에틸 에테르, 에틸렌 글리콜 모노프로필 에테르, 에틸렌 글리콜 모노부틸 에테르, 디에틸렌 글리콜 모노부틸 에테르, 트리에틸렌 글리콜 모노부틸 에테르, 에틸렌 글리콜 모노헥실 에테르, 디에틸렌 글리콜 모노헥실 에테르, 에틸렌 글리콜 페닐 에테르, 프로필렌 글리콜 메틸 에테르, 디프로필렌 글리콜 메틸 에테르, 트리프로필렌 글리콜 메틸 에테르, 디프로필렌 글리콜 디메틸 에테르, 디프로필렌 글리콜 에틸 에테르, 프로필렌 글리콜 n-프로필 에테르, 디프로필렌 글리콜 n-프로필 에테르(DPGPE), 트리프로필렌 글리콜 n-프로필 에테르, 프로필렌 글리콜 n-부틸 에테르, 디프로필렌 글리콜 n-부틸 에테르, 트리프로필렌 글리콜 n-부틸 에테르, 프로필렌 글리콜 페닐 에테르 및 이들의 조합으로 구성된 군에서 선택되는 화합물을 포함하는 것인 방법.
  44. 제35항에 있어서, 상기 제거용 조성물이 성분 (II)를 포함하고, 상기 1종 이상의 유기 용매가 디에틸렌 글리콜 부틸 에테르, 테트라메틸렌 설폰 및 이들의 조합으로 구성된 군에서 선택되는 종을 포함하는 것인 방법.
  45. 제35항, 제39항 또는 제40항 중 어느 한 항에 있어서, 상기 미세 전자 소자가 반도체 기판, 평판 디스플레이 및 미세 전자 기계 시스템(MEMS)으로 구성된 군에서 선택되는 물품을 포함하는 것인 방법.
  46. 제35항에 있어서, 상기 제거용 조성물이 성분 (I)을 포함하고 그 안에 용해된 물질을 더 포함하며, 상기 물질은 저유전 물질, 금속 적층 물질 및 이들의 조합 으로 구성된 군에서 선택되는 것인 방법.
  47. 제35항에 있어서, 상기 제거용 조성물이 성분 (II)를 포함하고 그 안에 용해된 물질을 더 포함하며, 상기 물질은 저유전 물질, 금속 적층 물질, 에칭 중단 물질 및 이들의 조합으로 구성된 군에서 선택되는 것인 방법.
  48. 제35항, 제39항, 제40항, 제46항 또는 제47항 중 어느 한 항에 있어서, 상기 저유전 물질은 규소 함유 유기 중합체, 규소 함유 하이브리드 유기 물질, 규소 함유 하이브리드 무기 물질, 유기 실리케이트 유리(OSG), TEOS, 불화 실리케이트 유리(FSG), 질화규소 및 탄소 도핑 산화물(CDO) 유리로 구성된 군에서 선택되는 유전 물질을 포함하는 것인 방법.
  49. 제48항에 있어서, 상기 저유전 물질이 규소를 포함하는 것인 방법.
  50. 제35항, 제39항, 제40항 또는 제47항 중 어느 한 항에 있어서, 상기 에칭 중단 물질이 탄화규소(SiC), 질화탄소규소(SiCN), 산화탄소규소(SiCO), 산질화규소(SiON), 구리, 규소게르마늄(SiGe), SiGeB, SiGeC, AlAs, InGaP, InP, InGaAs 및 이들의 조합으로 구성된 군에서 선택되는 물질을 포함하는 것인 방법.
  51. 제35항, 제39항, 제40항, 제46항 또는 제47항 중 어느 한 항에 있어서, 상기 금속 적층 물질이 탄탈, 질화탄탈, 질화티탄, 티탄, 니켈, 코발트, 텅스텐 및 이들의 규화물; 구리; 알루미늄; Al/Cu; Al의 합금; Cu의 합금; 산화하프늄; 하프늄 옥시실리케이트; 산화지르코늄; 란탄족 산화물; 티타네이트; 및 이들의 조합으로 구성된 군에서 선택되는 물질을 포함하는 것인 방법.
  52. 제35항에 있어서, 상기 제거용 조성물이 성분 (I)을 포함하고, HF 대 아민의 중량 백분율 비가 약 1:1∼약 5:1 범위이고, 물 대 아민의 중량 백분율 비가 약 5:1∼약 20:1 범위인 방법.
  53. 제35항에 있어서, 상기 제거용 조성물이 성분 (I)을 포함하고, HF, 물 및 1종 이상의 아민-N-옥시드를 포함하는 것인 방법.
  54. 제35항에 있어서, 상기 제거용 조성물이 성분 (II)를 포함하고, 용매 대 HF의 중량 백분율 비가 약 0.5:1∼약 5:1 범위이고, 물 대 HF의 중량 백분율 비가 약 0.5:1∼약 5:1 범위인 방법.
  55. 제35항에 있어서, 상기 제거용 조성물이 성분 (II)를 포함하고, HF, 물, 테트라메틸렌 설폰 및 1종 이상의 글리콜 에테르를 포함하는 것인 방법.
  56. 제35항, 제54항 또는 제55항 중 어느 한 항에 있어서, 상기 물의 양이 상기 조성물의 총 중량을 기준으로 80 중량% 미만인 방법.
  57. 제35항, 제54항 또는 제55항 중 어느 한 항에 있어서, 상기 HF의 양이 상기 조성물의 총 중량을 기준으로 약 10 중량%를 초과하는 것인 방법.
  58. 제40항에 있어서, 상기 제거용 조성물이 킬레이트제를 포함하고, 상기 킬레이트제가 acac, hfac, tfac, 포르메이트, 아세테이트, 비스(트리메틸실릴아미드) 사량체, 아민, 글리신, 알라닌, 시트르산, 아세트산, 말레산, 옥살산, 말론산, 숙신산, 니트릴로트리아세트산, 이미노디아세트산, 에티드론산, 에틸렌디아민, EDTA, CDTA, 모노에탄올아민 및 이들의 조합으로 구성된 군에서 선택되는 종을 포함하는 것인 방법.
  59. 제40항에 있어서, 상기 제거용 조성물이 킬레이트제를 포함하고, 상기 킬레이트제가 CDTA를 포함하는 것인 방법.
  60. 제40항, 제58항 또는 제59항 중 어느 한 항에 있어서, 상기 제거용 조성물이 산화제를 포함하고, 상기 산화제가 과산화수소, 옥손, 옥손 테트라부틸암모늄 염, 질산철, 요오드산칼륨, 과망간산칼륨, 질산, 아염소산암모늄, 염소산암모늄, 요오드산암모늄, 과붕산암모늄, 과염소산암모늄, 과요오드산암모늄, 과황산암모늄, 과황산나트륨, 과황산칼륨, 아염소산테트라메틸암모늄, 염소산테트라메틸암모늄, 요 오드산테트라메틸암모늄, 과붕산테트라메틸암모늄, 과염소산테트라메틸암모늄, 과요오드산테트라메틸암모늄, 과황산테트라메틸암모늄, 요소 과산화수소, 과아세트산 및 이들의 조합으로 구성된 군에서 선택되는 종을 포함하는 것인 방법.
  61. 제40항, 제58항 또는 제59항 중 어느 한 항에 있어서, 상기 제거용 조성물이 산화제를 포함하고, 상기 산화제가 과산화수소를 포함하는 것인 방법.
  62. 제40항에 있어서, 상기 제거용 조성물이 HF, 물, 설포란, CDTA, 과산화수소 및 디에틸렌 글리콜 부틸 에테르를 포함하는 것인 방법.
  63. 제35항, 제39항 또는 제40항 중 어느 한 항에 있어서, 물에 20:1로 희석된 상기 제거용 조성물의 pH가 약 2.5∼약 4.5 범위인 방법.
  64. 제35항에 있어서, 상기 미세 전자 소자를 상기 제거용 조성물과 접촉시킨 후에 탈이온수로 세정하는 단계를 더 포함하는 방법.
  65. 제35항에 있어서, 상기 미세 전자 소자로부터 상기 저유전 물질을 적어도 부분적으로 제거한 후에 또 다른 다층 미세 전자 소자 제조 공정에서 상기 미세 전자 소자를 재사용하는 단계를 더 포함하는 방법.
  66. 제35항에 있어서, 상기 미세 전자 소자 구조체를 상기 미세 전자 소자로 통합하는 단계를 더 포함하는 방법.
  67. 저유전 물질이 표면에 있는 미세 전자 소자로부터 상기 저유전 물질을 제거하는 방법으로서,
    상기 미세 전자 소자로부터 상기 저유전 물질을 적어도 부분적으로 제거하기에 충분한 시간 동안 상기 미세 전자 소자를 제거용 조성물과 접촉시키는 단계로서, 상기 제거용 조성물은 불화수소산 및 물을 포함하고, 물에 20:1로 희석된 상기 제거용 조성물의 pH는 약 2.5∼약 4.5 범위인 단계;
    제거용 조성물이 표면에 있는 상기 미세 전자 소자를 중화 조성물과 접촉시켜 상기 미세 전자 소자 상의 제거용 조성물을 중화시키는 단계; 및
    중화된 제거용 조성물이 표면에 있는 미세 전자 소자를 물로 세정하여 그로부터 중화된 제거용 조성물을 제거하는 단계
    를 포함하는 방법.
  68. 제67항에 있어서, 상기 제거용 조성물이 하기 성분 (I)∼(II) 중 적어도 하나:
    (I) 1종 이상의 아민; 또는
    (II) 1종 이상의 유기 용매(이때, 상기 조성물은 아민 종을 실질적으로 포함하지 않음)
    를 포함하는 것을 추가 특징으로 하는 것인 방법.
  69. 미세 전자 소자 기판을 재생하는 방법으로서,
    저유전 물질, 에칭 중단 물질, 금속 적층 물질 및 이들의 조합으로 구성된 군에서 선택되는 물질을 포함하는 미세 전자 소자 구조체를, 이 미세 전자 소자 구조체로부터 상기 물질을 적어도 부분적으로 제거하기에 충분한 시간 동안 제거용 조성물과 접촉시켜 재생된 미세 전자 소자 구조체를 얻는 단계; 및
    상기 재생된 미세 전자 소자 구조체 상에 하나 이상의 저유전 물질층을 도포하는 단계
    를 포함하며, 상기 제거용 조성물은 불화수소산 및 물을 포함하고, 하기 성분 (I)∼(II) 중 적어도 하나:
    (I) 1종 이상의 아민; 또는
    (II) 1종 이상의 유기 용매(이때, 상기 조성물은 실질적으로 아민 종을 포함하지 않음)
    를 포함하는 것을 추가 특징으로 하는 것인 방법.
  70. 제69항에 있어서, 상기 제거용 조성물이 불화수소산 및 물을 포함하고, 상기 재생된 미세 전자 소자 구조체는 약 3% 미만의 전체 두께 편차; 약 1×1010 금속 원자ㆍcm-2 미만; 0.12 ㎛에서 50개 미만의 입자; 5% 미만의 전면 피팅(pitting); 5% 미만의 이면 피팅 및 이들의 조합으로 구성된 군에서 선택되는 파라미터를 포함하며, 단, 하나 이상의 저유전 물질층을 도포하기 전에 상기 재생된 미세 전자 소자 구조체에 대해 화학 기계 연마를 수행하지 않는 것인 방법.
  71. 제69항에 있어서, 상기 미세 전자 소자 구조체가 다결정 규소, 베어 규소(bare silicon) 또는 이들의 조합을 포함하는 것인 방법.
  72. 제69항에 있어서, 물에 20:1로 희석된 상기 제거용 조성물의 pH가 약 2.5∼약 4.5 범위인 방법.
  73. 제69항의 방법을 이용하여 제조한 미세 전자 소자 기판.
KR1020087013846A 2005-11-09 2006-11-09 표면에 저유전 물질이 있는 반도체 웨이퍼를 재생하기 위한조성물 및 방법 KR20080072905A (ko)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US73522505P 2005-11-09 2005-11-09
US60/735,225 2005-11-09
US76096906P 2006-01-20 2006-01-20
US60/760,969 2006-01-20
US80582606P 2006-06-26 2006-06-26
US60/805,826 2006-06-26
US82163106P 2006-08-07 2006-08-07
US60/821,631 2006-08-07

Publications (1)

Publication Number Publication Date
KR20080072905A true KR20080072905A (ko) 2008-08-07

Family

ID=38541581

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087013846A KR20080072905A (ko) 2005-11-09 2006-11-09 표면에 저유전 물질이 있는 반도체 웨이퍼를 재생하기 위한조성물 및 방법

Country Status (8)

Country Link
US (2) US7960328B2 (ko)
EP (1) EP1946358A4 (ko)
JP (1) JP2009515055A (ko)
KR (1) KR20080072905A (ko)
CN (1) CN101356629B (ko)
AU (1) AU2006340825A1 (ko)
TW (1) TWI513799B (ko)
WO (1) WO2007111694A2 (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011109078A2 (en) * 2010-03-05 2011-09-09 Lam Research Corporation Cleaning solution for sidewall polymer of damascene processes
KR20150126637A (ko) * 2013-03-04 2015-11-12 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 티타늄 나이트라이드를 선택적으로 에칭하기 위한 조성물 및 방법
KR20150129864A (ko) * 2013-05-02 2015-11-20 후지필름 가부시키가이샤 에칭액 및 에칭액의 키트, 이를 이용한 에칭 방법 및 반도체 기판 제품의 제조 방법
KR20160051184A (ko) * 2014-10-31 2016-05-11 엘티씨에이엠 주식회사 포스트-에칭 포토레지스트 에칭 중합체 및 잔류물을 제거하기 위한 스트리퍼 조성물
KR20160104045A (ko) * 2013-12-31 2016-09-02 엔테그리스, 아이엔씨. 규소 및 게르마늄을 선택적으로 에칭하기 위한 배합물

Families Citing this family (107)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7632796B2 (en) 2005-10-28 2009-12-15 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
US9329486B2 (en) 2005-10-28 2016-05-03 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
US8263539B2 (en) 2005-10-28 2012-09-11 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and methods for its use
US20070243773A1 (en) * 2005-10-28 2007-10-18 Phenis Michael T Dynamic multi-purpose composition for the removal of photoresists and method for its use
US20090301996A1 (en) * 2005-11-08 2009-12-10 Advanced Technology Materials, Inc. Formulations for removing cooper-containing post-etch residue from microelectronic devices
EP1946358A4 (en) * 2005-11-09 2009-03-04 Advanced Tech Materials COMPOSITION AND METHOD FOR RECYCLING SEMICONDUCTOR WAFERS WITH LOW DIELECTRICITY CONSTANT MATERIALS
US20100104824A1 (en) * 2006-10-23 2010-04-29 Phenis Michael T Dynamic multi-purpose composition for the removal of photoresists
TWI611047B (zh) * 2006-12-21 2018-01-11 恩特葛瑞斯股份有限公司 用以移除蝕刻後殘餘物之液體清洗劑
TWI516573B (zh) * 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
US8153019B2 (en) 2007-08-06 2012-04-10 Micron Technology, Inc. Methods for substantially equalizing rates at which material is removed over an area of a structure or film that includes recesses or crevices
KR20100056537A (ko) * 2007-08-20 2010-05-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 이온-주입된 포토레지스트를 제거하기 위한 조성물 및 방법
JP4918939B2 (ja) * 2007-08-22 2012-04-18 ダイキン工業株式会社 半導体ドライプロセス後の残渣除去液及びそれを用いた残渣除去方法
US7851374B2 (en) 2007-10-31 2010-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon wafer reclamation process
US20090120457A1 (en) * 2007-11-09 2009-05-14 Surface Chemistry Discoveries, Inc. Compositions and method for removing coatings and preparation of surfaces for use in metal finishing, and manufacturing of electronic and microelectronic devices
US8168577B2 (en) * 2008-02-29 2012-05-01 Avantor Performance Materials, Inc. Post plasma etch/ash residue and silicon-based anti-reflective coating remover compositions containing tetrafluoroborate ion
SG188848A1 (en) * 2008-03-07 2013-04-30 Advanced Tech Materials Non-selective oxide etch wet clean composition and method of use
CN201219685Y (zh) * 2008-04-16 2009-04-15 韩广民 组装结构产品及庭院椅
TWI450052B (zh) * 2008-06-24 2014-08-21 Dynaloy Llc 用於後段製程操作有效之剝離溶液
CA2740027A1 (en) 2008-10-09 2010-04-15 Avantor Performance Materials, Inc. Aqueous acidic formulations for copper oxide etch residue removal and prevention of copper electrodeposition
JP4790786B2 (ja) * 2008-12-11 2011-10-12 信越化学工業株式会社 塗布型ケイ素含有膜の剥離方法
DE102009006886B4 (de) * 2009-01-30 2012-12-06 Advanced Micro Devices, Inc. Verringerung von Dickenschwankungen einer schwellwerteinstellenden Halbleiterlegierung durch Verringern der Strukturierungsungleichmäßigkeiten vor dem Abscheiden der Halbleiterlegierung
US8754021B2 (en) 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
JP5535583B2 (ja) * 2009-05-25 2014-07-02 AzエレクトロニックマテリアルズIp株式会社 トレンチ・アイソレーション構造の形成方法
WO2011009764A1 (en) * 2009-07-22 2011-01-27 Basf Se Etchant composition and etching process for titanium-aluminum complex metal layer
EP2355138B1 (en) * 2010-01-28 2016-08-24 Canon Kabushiki Kaisha Liquid composition, method of producing silicon substrate, and method of producing liquid discharge head substrate
US8128755B2 (en) * 2010-03-03 2012-03-06 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Cleaning solvent and cleaning method for metallic compound
TWI539493B (zh) 2010-03-08 2016-06-21 黛納羅伊有限責任公司 用於摻雜具有分子單層之矽基材之方法及組合物
KR101608873B1 (ko) * 2010-03-18 2016-04-05 삼성디스플레이 주식회사 금속 배선 식각액 및 이를 이용한 금속 배선 형성 방법
US8058221B2 (en) * 2010-04-06 2011-11-15 Samsung Electronics Co., Ltd. Composition for removing a photoresist and method of manufacturing semiconductor device using the composition
TWI568859B (zh) * 2010-04-15 2017-02-01 恩特葛瑞斯股份有限公司 廢棄印刷電路板之回收利用方法
KR101825493B1 (ko) 2010-04-20 2018-02-06 삼성디스플레이 주식회사 금속 배선용 식각액 조성물 및 이를 이용한 박막 트랜지스터 표시판의 제조방법
US8883701B2 (en) * 2010-07-09 2014-11-11 Air Products And Chemicals, Inc. Method for wafer dicing and composition useful thereof
TWI548738B (zh) 2010-07-16 2016-09-11 安堤格里斯公司 用於移除蝕刻後殘餘物之水性清潔劑
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
US9238850B2 (en) 2010-08-20 2016-01-19 Advanced Technology Materials, Inc. Sustainable process for reclaiming precious metals and base metals from e-waste
WO2012031194A2 (en) * 2010-09-03 2012-03-08 Georgia Tech Research Corporation Compositions and methods for the separation of metals
WO2012040202A1 (en) * 2010-09-20 2012-03-29 Nantero Inc. Methods for purifying nanotube solutions
WO2012048079A2 (en) 2010-10-06 2012-04-12 Advanced Technology Materials, Inc. Composition and process for selectively etching metal nitrides
KR101891363B1 (ko) 2010-10-13 2018-08-24 엔테그리스, 아이엔씨. 티타늄 니트라이드 부식을 억제하기 위한 조성물 및 방법
CN102109777B (zh) * 2010-12-15 2012-08-22 绵阳艾萨斯电子材料有限公司 一种等离子显示用障壁浆料的再生液
JP2012238849A (ja) * 2011-04-21 2012-12-06 Rohm & Haas Electronic Materials Llc 改良された多結晶テクスチャ化組成物および方法
KR101983202B1 (ko) * 2011-06-01 2019-05-28 아반토 퍼포먼스 머티리얼즈, 엘엘씨 구리, 텅스텐, 및 다공성의 유전 상수 κ가 낮은 유전체들에 대한 양립성이 향상된 반수성 중합체 제거 조성물
EP2554612A1 (en) * 2011-08-01 2013-02-06 Basf Se A process for the manufacture of semiconductor devices comprising the chemical mechanical polishing of elemental germanium and/or Si1-xGex material in the presence of a CMP composi-tion having a pH value of 3.0 to 5.5
US9257270B2 (en) * 2011-08-15 2016-02-09 Ekc Technology Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
US20130053291A1 (en) * 2011-08-22 2013-02-28 Atsushi Otake Composition for cleaning substrates post-chemical mechanical polishing
JP5913869B2 (ja) * 2011-08-31 2016-04-27 林純薬工業株式会社 エッチング液組成物およびエッチング方法
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
CN103975052B (zh) 2011-10-05 2016-11-09 安万托特性材料股份有限公司 具有铜/唑类聚合物抑制作用的微电子衬底清洁组合物
US8987181B2 (en) 2011-11-08 2015-03-24 Dynaloy, Llc Photoresist and post etch residue cleaning solution
WO2013101907A1 (en) 2011-12-28 2013-07-04 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
EP2814895A4 (en) 2012-02-15 2015-10-07 Entegris Inc POST-CMP DISPOSAL USING COMPOSITIONS AND USE PROCESSES
JP2015517691A (ja) 2012-05-18 2015-06-22 インテグリス,インコーポレイテッド 窒化チタンを含む表面からフォトレジストを剥離するための組成物およびプロセス
CN102747375A (zh) * 2012-06-15 2012-10-24 兰州理工大学 一种铝质易拉罐内外表面漆膜的除漆剂及使用方法
US20140102486A1 (en) * 2012-10-16 2014-04-17 Kyle J. Doyel Cleaning agent for removal of contaminates from manufactured products
TWI572711B (zh) * 2012-10-16 2017-03-01 盟智科技股份有限公司 半導體製程用的清洗組成物及清洗方法
US9029268B2 (en) 2012-11-21 2015-05-12 Dynaloy, Llc Process for etching metals
US9158202B2 (en) 2012-11-21 2015-10-13 Dynaloy, Llc Process and composition for removing substances from substrates
KR102118964B1 (ko) * 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Iii-v 반도체 물질을 세척하기 위한 조성물 및 이를 사용하는 방법
JP6130810B2 (ja) * 2013-05-02 2017-05-17 富士フイルム株式会社 エッチング液およびエッチング液のキット、これを用いたエッチング方法および半導体基板製品の製造方法
JP2015159264A (ja) * 2013-05-02 2015-09-03 富士フイルム株式会社 エッチング方法、これに用いるエッチング液およびエッチング液のキット、ならびに半導体基板製品の製造方法
WO2014178426A1 (ja) * 2013-05-02 2014-11-06 富士フイルム株式会社 エッチング方法、これに用いるエッチング液およびエッチング液のキット、ならびに半導体基板製品の製造方法
WO2014178423A1 (ja) 2013-05-02 2014-11-06 富士フイルム株式会社 エッチング方法、これに用いるエッチング液、ならびに半導体基板製品の製造方法
WO2014178424A1 (ja) * 2013-05-02 2014-11-06 富士フイルム株式会社 エッチング方法、これに用いるエッチング液およびエッチング液のキット、ならびに半導体基板製品の製造方法
CN103295881B (zh) * 2013-06-04 2016-08-31 上海华力微电子有限公司 去除硅片表面低介电材料的方法
CN111394100A (zh) 2013-06-06 2020-07-10 恩特格里斯公司 用于选择性蚀刻氮化钛的组合物和方法
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
SG10201801575YA (en) 2013-08-30 2018-03-28 Entegris Inc Compositions and methods for selectively etching titanium nitride
US9291910B2 (en) * 2013-09-27 2016-03-22 Dynaloy, Llc Aqueous solution and process for removing substances from substrates
US20150104952A1 (en) * 2013-10-11 2015-04-16 Ekc Technology, Inc. Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper
CN103589526B (zh) * 2013-11-07 2015-05-06 天津大学 铜精矿过滤所用滤布的清洗剂
TWI528877B (zh) * 2013-11-08 2016-04-01 長興材料工業股份有限公司 鈍化組合物及其應用
WO2015095175A1 (en) * 2013-12-16 2015-06-25 Advanced Technology Materials, Inc. Ni:nige:ge selective etch formulations and method of using same
TWI662379B (zh) * 2013-12-20 2019-06-11 美商恩特葛瑞斯股份有限公司 移除離子植入抗蝕劑之非氧化強酸類之用途
CN103757633B (zh) * 2014-01-15 2015-11-18 成都青元表面技术有限责任公司 一种镁合金镍镀层退镀方法
TWI642763B (zh) * 2014-01-27 2018-12-01 三菱瓦斯化學股份有限公司 氮化鈦除去用液體組成物、利用該液體組成物之半導體元件之洗滌方法、及半導體元件之製造方法
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
JP2016027186A (ja) * 2014-06-24 2016-02-18 東京応化工業株式会社 チタン又はチタン化合物用の剥離液、及び配線形成方法
TWI690780B (zh) * 2014-12-30 2020-04-11 美商富士軟片電子材料美國股份有限公司 用於自半導體基板去除光阻之剝離組成物
US10301580B2 (en) * 2014-12-30 2019-05-28 Versum Materials Us, Llc Stripping compositions having high WN/W etching selectivity
JP6348994B2 (ja) * 2015-02-12 2018-06-27 富士フイルム株式会社 Iii−v族元素の酸化物の除去液および除去方法、iii−v族元素の化合物の処理液、iii−v族元素の酸化防止液、ならびに、半導体基板の処理液および半導体基板製品の製造方法
KR102360224B1 (ko) * 2015-02-16 2022-03-14 삼성디스플레이 주식회사 세정용 조성물
US10538718B2 (en) * 2015-04-13 2020-01-21 Mitsubishi Gas Chemical Company, Inc. Cleaning solution and cleaning method for material comprising carbon-incorporated silicon oxide for use in recycling wafer
TWI819694B (zh) * 2015-07-14 2023-10-21 美商富士軟片電子材料美國股份有限公司 清潔組成物及其使用方法
US10233413B2 (en) * 2015-09-23 2019-03-19 Versum Materials Us, Llc Cleaning formulations
US10538846B2 (en) * 2015-12-11 2020-01-21 Dongwoo Fine-Chem Co., Ltd. Etching solution composition for tungsten layer, method for preparing electronic device using the same and electronic device
CN106244349A (zh) * 2016-07-07 2016-12-21 如皋市大昌电子有限公司 一种适用于二极管的清洗液
JP6860276B2 (ja) * 2016-09-09 2021-04-14 花王株式会社 樹脂マスク剥離用洗浄剤組成物
WO2018058341A1 (en) 2016-09-28 2018-04-05 Dow Global Technologies Llc Sulfoxide/glycol ether based solvents for use in the electronics industry
JP6886042B2 (ja) * 2017-04-11 2021-06-16 インテグリス・インコーポレーテッド シリコンに対してシリコンゲルマニウムを選択的にエッチングするための配合物
US20180371292A1 (en) * 2017-06-21 2018-12-27 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Buffered cmp polishing solution
FR3068509B1 (fr) * 2017-06-30 2020-02-28 Technic France Composition chimique de nettoyage pour le retrait d'une couche de passivation amorphe a la surface de materiaux cristallins
WO2019026677A1 (ja) * 2017-07-31 2019-02-07 三菱瓦斯化学株式会社 コバルト、アルミナ、層間絶縁膜、窒化シリコンのダメージを抑制した組成液及びこれを用いた洗浄方法
US10879076B2 (en) * 2017-08-25 2020-12-29 Versum Materials Us, Llc Etching solution for selectively removing silicon-germanium alloy from a silicon-germanium/silicon stack during manufacture of a semiconductor device
US10889757B2 (en) * 2017-10-19 2021-01-12 Fujifilm Electronic Materials U.S.A., Inc. Etching compositions
IL274880B2 (en) * 2017-12-08 2024-04-01 Basf Se Composition and process for selectively burning a layer containing an aluminum compound in the presence of layers of materials with low K, copper and/or cobalt
SG11202004421WA (en) * 2018-01-25 2020-06-29 Merck Patent Gmbh Photoresist remover compositions
JP7105084B2 (ja) * 2018-03-30 2022-07-22 ナガセケムテックス株式会社 エッチング液組成物
CN109012726B (zh) * 2018-07-25 2021-09-24 江苏理工学院 一种共聚改性氮化碳/氧化锌复合可见光催化剂的熔盐法制备方法及应用
CN108906061A (zh) * 2018-07-25 2018-11-30 吉林大学 一种镍基催化剂及其在生产空间位阻胺叔丁胺基乙氧基乙醇中的应用
CN109267072A (zh) * 2018-09-28 2019-01-25 五邑大学 一种水溶性助焊剂和一种铜材的酸洗方法
CN109234752A (zh) * 2018-10-30 2019-01-18 广东坚美铝型材厂(集团)有限公司 一种铝合金表面处理剂及其制备方法和应用
CA3039235A1 (en) * 2019-04-05 2020-10-05 Fluid Energy Group Ltd. Novel mud acid composition and methods of using such
CA3039238A1 (en) * 2019-04-05 2020-10-05 Fluid Energy Group Ltd. Novel inhibited hydrofluoric acid composition
CA3083522A1 (en) * 2020-06-12 2021-12-12 Fluid Energy Group Ltd. Process to manufacture novel inhibited hydrofluoric acid composition
US11605544B2 (en) * 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures

Family Cites Families (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3559281A (en) 1968-11-27 1971-02-02 Motorola Inc Method of reclaiming processed semiconductior wafers
US3923567A (en) 1974-08-09 1975-12-02 Silicon Materials Inc Method of reclaiming a semiconductor wafer
US4101425A (en) * 1975-04-21 1978-07-18 Union Oil Company Of California Non-aqueous acid emulsion composition and method for acid-treating siliceous geological formations
US4032621A (en) * 1975-11-24 1977-06-28 E. I. Du Pont De Nemours And Company Preparation of hydrogen fluoride with low levels of arsenic, iron and sulfite
US5981454A (en) 1993-06-21 1999-11-09 Ekc Technology, Inc. Post clean treatment composition comprising an organic acid and hydroxylamine
TW263531B (ko) * 1992-03-11 1995-11-21 Mitsubishi Gas Chemical Co
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
US5622875A (en) 1994-05-06 1997-04-22 Kobe Precision, Inc. Method for reclaiming substrate from semiconductor wafers
US5855735A (en) 1995-10-03 1999-01-05 Kobe Precision, Inc. Process for recovering substrates
JP3236220B2 (ja) 1995-11-13 2001-12-10 東京応化工業株式会社 レジスト用剥離液組成物
US5801146A (en) 1996-05-03 1998-09-01 Abbott Laboratories Compound and method for inhibiting angiogenesis
US5968848A (en) 1996-12-27 1999-10-19 Tokyo Ohka Kogyo Co., Ltd. Process for treating a lithographic substrate and a rinse solution for the treatment
KR100234541B1 (ko) * 1997-03-07 1999-12-15 윤종용 반도체장치 제조용 웨이퍼의 세정을 위한 세정조성물 및 그를 이용한 세정방법
JPH1167632A (ja) 1997-08-18 1999-03-09 Mitsubishi Gas Chem Co Inc 半導体装置用洗浄剤
US5962384A (en) * 1997-10-28 1999-10-05 International Business Machines Corporation Method for cleaning semiconductor devices
US6331490B1 (en) 1998-03-13 2001-12-18 Semitool, Inc. Process for etching thin-film layers of a workpiece used to form microelectric circuits or components
JP3500063B2 (ja) 1998-04-23 2004-02-23 信越半導体株式会社 剥離ウエーハを再利用する方法および再利用に供されるシリコンウエーハ
US6140211A (en) 1998-07-24 2000-10-31 Lucent Technologies Inc. Method for recycling wafers used for quality assurance testing of integrated circuit fabrication equipment
US6242165B1 (en) 1998-08-28 2001-06-05 Micron Technology, Inc. Supercritical compositions for removal of organic material and methods of using same
TW466728B (en) 1999-05-21 2001-12-01 Cfmt Inc Methods for wet processing electronic components having copper containing surfaces
US6235693B1 (en) * 1999-07-16 2001-05-22 Ekc Technology, Inc. Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices
US20020127859A1 (en) 1999-10-27 2002-09-12 Biao Wu Compositions and methods for the selective etching of polysilicon for wafer reclamation
EP1138726B1 (en) 2000-03-27 2005-01-12 Shipley Company LLC Polymer remover
US6831048B2 (en) 2000-04-26 2004-12-14 Daikin Industries, Ltd. Detergent composition
US7456140B2 (en) * 2000-07-10 2008-11-25 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductor devices
US6406923B1 (en) 2000-07-31 2002-06-18 Kobe Precision Inc. Process for reclaiming wafer substrates
US6762132B1 (en) 2000-08-31 2004-07-13 Micron Technology, Inc. Compositions for dissolution of low-K dielectric films, and methods of use
US20020119245A1 (en) * 2001-02-23 2002-08-29 Steven Verhaverbeke Method for etching electronic components containing tantalum
US6547647B2 (en) 2001-04-03 2003-04-15 Macronix International Co., Ltd. Method of wafer reclaim
US6627587B2 (en) 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
TWI297102B (en) 2001-08-03 2008-05-21 Nec Electronics Corp Removing composition
JP3403187B2 (ja) 2001-08-03 2003-05-06 東京応化工業株式会社 ホトレジスト用剥離液
JP2003243403A (ja) 2002-02-13 2003-08-29 Mitsubishi Electric Corp 半導体ウェハの再生方法
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
WO2003104900A2 (en) 2002-06-07 2003-12-18 Mallinckrodt Baker Inc. Microelectronic cleaning compositions containing oxidizers and organic solvents
JP2004029276A (ja) * 2002-06-25 2004-01-29 Mitsubishi Gas Chem Co Inc 銅配線基板向け含フッ素レジスト剥離液
JP2004029346A (ja) 2002-06-25 2004-01-29 Mitsubishi Gas Chem Co Inc レジスト剥離液組成物
JP4443864B2 (ja) 2002-07-12 2010-03-31 株式会社ルネサステクノロジ レジストまたはエッチング残さ物除去用洗浄液および半導体装置の製造方法
US20040050406A1 (en) 2002-07-17 2004-03-18 Akshey Sehgal Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
AU2003257636A1 (en) * 2002-08-22 2004-03-11 Daikin Industries, Ltd. Removing solution
US7300601B2 (en) 2002-12-10 2007-11-27 Advanced Technology Materials, Inc. Passivative chemical mechanical polishing composition for copper film planarization
US6693047B1 (en) 2002-12-19 2004-02-17 Taiwan Semiconductor Manufacturing Co. Ltd. Method for recycling semiconductor wafers having carbon doped low-k dielectric layers
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
US7736405B2 (en) 2003-05-12 2010-06-15 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for copper and associated materials and method of using same
CN101371339A (zh) 2003-05-12 2009-02-18 高级技术材料公司 用于步骤ⅱ的铜衬里和其他相关材料的化学机械抛光组合物及其使用方法
US6761625B1 (en) 2003-05-20 2004-07-13 Intel Corporation Reclaiming virgin test wafers
US7119052B2 (en) 2003-06-24 2006-10-10 Advanced Technology Materials, Inc. Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers
JPWO2005019499A1 (ja) * 2003-08-20 2006-10-19 ダイキン工業株式会社 金属変質層の除去液及び金属変質層の除去方法
US7056648B2 (en) 2003-09-17 2006-06-06 International Business Machines Corporation Method for isotropic etching of copper
US7419911B2 (en) 2003-11-10 2008-09-02 Ekc Technology, Inc. Compositions and methods for rapidly removing overfilled substrates
US7888301B2 (en) 2003-12-02 2011-02-15 Advanced Technology Materials, Inc. Resist, barc and gap fill material stripping chemical and method
JP4464125B2 (ja) 2003-12-22 2010-05-19 ソニー株式会社 構造体の作製方法及びシリコン酸化膜エッチング剤
JP4396267B2 (ja) * 2003-12-25 2010-01-13 東ソー株式会社 エッチング剤
US20050183740A1 (en) * 2004-02-19 2005-08-25 Fulton John L. Process and apparatus for removing residues from semiconductor substrates
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US20060009011A1 (en) 2004-07-06 2006-01-12 Gary Barrett Method for recycling/reclaiming a monitor wafer
DK1789527T3 (da) 2004-08-03 2010-03-08 Mallinckrodt Baker Inc Rensningssammensætninger til mikroelektroniksubstrater
US20060063687A1 (en) 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
KR100670919B1 (ko) 2005-01-12 2007-01-19 삼성전자주식회사 저유전율막 제거 방법 및 이를 이용한 웨이퍼 재생 방법
US7208325B2 (en) 2005-01-18 2007-04-24 Applied Materials, Inc. Refreshing wafers having low-k dielectric materials
US7923423B2 (en) 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
CN101228481B (zh) 2005-02-25 2012-12-05 Ekc技术公司 从包括铜和低k电介体的基片上除去抗蚀剂、蚀刻残余物和氧化铜的方法
US7722468B2 (en) * 2005-03-09 2010-05-25 Igt Magnetoresistive memory units as read only memory devices in gaming machines
WO2006110645A2 (en) 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
EP1877530A4 (en) 2005-04-15 2010-06-09 Advanced Tech Materials REMOVAL OF HIGH-TECH PHOTO LACK WITH SELF-ORGANIZED MONOSLAYS IN SOLVENT SYSTEMS
CN101198416A (zh) 2005-04-15 2008-06-11 高级技术材料公司 从微电子器件上清除离子注入光致抗蚀剂层的配方
US7452481B2 (en) * 2005-05-16 2008-11-18 Kabushiki Kaisha Kobe Seiko Sho Polishing slurry and method of reclaiming wafers
JP2008543060A (ja) 2005-05-26 2008-11-27 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅不活性化化学機械研磨後洗浄組成物及び使用方法
TWI622639B (zh) 2005-06-07 2018-05-01 恩特葛瑞斯股份有限公司 金屬及介電相容犠牲抗反射塗層清洗及移除組成物
JP2008547202A (ja) 2005-06-13 2008-12-25 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 金属ケイ化物の形成後の金属または金属合金の選択的な除去のための組成物および方法
KR100685738B1 (ko) 2005-08-08 2007-02-26 삼성전자주식회사 절연 물질 제거용 조성물, 이를 이용한 절연막의 제거 방법및 기판의 재생 방법
JP2009512195A (ja) 2005-10-05 2009-03-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド ゲートスペーサ酸化物材料を選択的にエッチするための組成物および方法
KR101444468B1 (ko) 2005-10-05 2014-10-30 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제
EP1945748A4 (en) 2005-10-13 2009-01-07 Advanced Tech Materials PHOTORESIN REMOVAL AND / OR SACRIFICIAL ANTIREFLECTION COATING COMPOSITION COMPATIBLE WITH METALS
KR100706822B1 (ko) 2005-10-17 2007-04-12 삼성전자주식회사 절연 물질 제거용 조성물, 이를 이용한 절연막의 제거 방법및 기판의 재생 방법
EP1946358A4 (en) * 2005-11-09 2009-03-04 Advanced Tech Materials COMPOSITION AND METHOD FOR RECYCLING SEMICONDUCTOR WAFERS WITH LOW DIELECTRICITY CONSTANT MATERIALS
WO2008039730A1 (en) 2006-09-25 2008-04-03 Advanced Technology Materials, Inc. Compositions and methods for the removal of photoresist for a wafer rework application
US20080125342A1 (en) 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
KR100839355B1 (ko) 2006-11-28 2008-06-19 삼성전자주식회사 기판의 재생 방법
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
KR20080113479A (ko) 2007-06-25 2008-12-31 엘지이노텍 주식회사 웨이퍼 재활용 방법

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011109078A2 (en) * 2010-03-05 2011-09-09 Lam Research Corporation Cleaning solution for sidewall polymer of damascene processes
WO2011109078A3 (en) * 2010-03-05 2012-01-26 Lam Research Corporation Cleaning solution for sidewall polymer of damascene processes
KR20150126637A (ko) * 2013-03-04 2015-11-12 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 티타늄 나이트라이드를 선택적으로 에칭하기 위한 조성물 및 방법
KR20150129864A (ko) * 2013-05-02 2015-11-20 후지필름 가부시키가이샤 에칭액 및 에칭액의 키트, 이를 이용한 에칭 방법 및 반도체 기판 제품의 제조 방법
KR20160104045A (ko) * 2013-12-31 2016-09-02 엔테그리스, 아이엔씨. 규소 및 게르마늄을 선택적으로 에칭하기 위한 배합물
KR20160051184A (ko) * 2014-10-31 2016-05-11 엘티씨에이엠 주식회사 포스트-에칭 포토레지스트 에칭 중합체 및 잔류물을 제거하기 위한 스트리퍼 조성물

Also Published As

Publication number Publication date
US8642526B2 (en) 2014-02-04
EP1946358A2 (en) 2008-07-23
CN101356629A (zh) 2009-01-28
JP2009515055A (ja) 2009-04-09
WO2007111694A3 (en) 2007-12-06
CN101356629B (zh) 2012-06-06
AU2006340825A1 (en) 2007-10-04
EP1946358A4 (en) 2009-03-04
WO2007111694A2 (en) 2007-10-04
US20080261847A1 (en) 2008-10-23
TWI513799B (zh) 2015-12-21
US7960328B2 (en) 2011-06-14
US20110275164A1 (en) 2011-11-10
TW200722506A (en) 2007-06-16

Similar Documents

Publication Publication Date Title
KR20080072905A (ko) 표면에 저유전 물질이 있는 반도체 웨이퍼를 재생하기 위한조성물 및 방법
KR101444468B1 (ko) 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제
EP1888735B1 (en) Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US20100112728A1 (en) Methods for stripping material for wafer reclamation
EP1975987A2 (en) Methods for stripping material for wafer reclamation
JP2012504871A (ja) 高度な金属負荷及びシリコン基板の表面パッシベーションのための界面活性剤/消泡剤混合物の使用
US20080076688A1 (en) Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US20090301996A1 (en) Formulations for removing cooper-containing post-etch residue from microelectronic devices
CN110777381A (zh) 用于TiN硬掩模去除和蚀刻残留物清洁的组合物
TW201542772A (zh) 蝕刻組成物
JP2010515246A (ja) エッチング後残留物を除去するための液体洗浄剤
WO2008157345A2 (en) Wafer reclamation compositions and methods
CN110997643B (zh) 清洁组合物
CN111225965B (zh) 蚀刻组合物
KR102375342B1 (ko) Tin 풀-백 및 클리닝 조성물
CN114651317A (zh) 蚀刻组合物
KR20190016093A (ko) 포스트 화학적-기계적-폴리싱 세정용 조성물
EP2687589A2 (en) Copper passivating post-chemical mechanical polishing cleaning composition and method of use
TWI839349B (zh) 用以去除乾蝕刻殘渣之洗淨液及使用此洗淨液之半導體基板之製造方法
CN117903887A (zh) 一种蚀刻后清洗液
TW202325827A (zh) 可移除氮化鈦的蝕刻後殘渣清理溶液

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid