JP2015517691A - 窒化チタンを含む表面からフォトレジストを剥離するための組成物およびプロセス - Google Patents

窒化チタンを含む表面からフォトレジストを剥離するための組成物およびプロセス Download PDF

Info

Publication number
JP2015517691A
JP2015517691A JP2015512891A JP2015512891A JP2015517691A JP 2015517691 A JP2015517691 A JP 2015517691A JP 2015512891 A JP2015512891 A JP 2015512891A JP 2015512891 A JP2015512891 A JP 2015512891A JP 2015517691 A JP2015517691 A JP 2015517691A
Authority
JP
Japan
Prior art keywords
acid
composition
resist
cerium
methylenephosphonic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2015512891A
Other languages
English (en)
Inventor
アイ. クーパー,エマニュエル
アイ. クーパー,エマニュエル
コナー,マーク
オーウェンズ,マイケル
Original Assignee
インテグリス,インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by インテグリス,インコーポレイテッド filed Critical インテグリス,インコーポレイテッド
Publication of JP2015517691A publication Critical patent/JP2015517691A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/042Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/36Organic compounds containing phosphorus
    • C11D3/364Organic compounds containing phosphorus containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Wood Science & Technology (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)
  • Manufacturing Of Steel Electrode Plates (AREA)

Abstract

マイクロ電子デバイスからバルクおよび/または硬化レジストを除去するための方法および低pH組成物が開発された。低pH組成物は、硫酸と、少なくとも1種のリン含有酸とを含む。低pH組成物は、硬化フォトレジスト材料を効果的に除去しながら、その下層の1以上のシリコン含有層または金属ゲート材料にダメージを与えることがない。【選択図】 図1

Description

[0001] 本発明は、全体として、レジスト、詳細には、高ドーズ注入されたレジストを含むマイクロ電子デバイスから該レジストを除去する組成物およびプロセスに関する。開示される組成物および方法は、該レジストを窒化チタンに対して選択的に除去する。
[0002] フォトレジストを含むレジストは、半導体デバイス製造の過程で基板(例えば、半導体ウェーハ)上にパターニングされた層を形成するために使用される放射感応性(例えば、光放射感応性)材料である。レジストコートされた基板の一部分を放射で露光した後、(ポジ型レジストにおいては)レジストの露光部分、または(ネガ型レジストにおいては)レジストの非露光部分を除去することにより、その下の基板表面を露出させつつ、基板表面の残りの部分はレジストでコートおよび保護されたままとする。レジストは、より一般的にマスキング材料と呼ばれることもある。イオン注入、エッチング、または成膜といった他の製造プロセスは、基板の被覆されていない表面および残留するレジストに対して行い得る。これらの他の製造プロセスを行った後、残留するレジストは剥離処理において除去される。
[0003] イオン注入において、ドーパントイオン(例えば、ホウ素イオン、二フッ化ホウ素イオン、ヒ素イオン、インジウムイオン、ガリウムイオン、リンイオン、ゲルマニウムイオン、アンチモンイオン、キセノンイオン、またはビスマスイオン)は基板に向けて加速されて注入される。これらイオンは、基板の露光領域とともに残留するレジストにも注入される。イオン注入は、例えば、トランジスタのチャネル領域ならびにソースおよびドレイン領域などの、基板内の注入領域を形成するために使用し得る。また、イオン注入は、低濃度にドープされたドレイン領域および二重拡散されたドレイン領域を形成するためにも使用し得る。しかし、レジストに高ドーズイオンが注入されると、レジスト表面から水素が奪われてレジストが外層またはクラストを形成することとなる場合があり、これが、レジスト層の下層部分(すなわち、レジスト層のバルク部分)より硬度の高い炭化層となる場合がある。外層とバルク部分とは異なる熱膨張率を有し、かつ異なる速度で剥離プロセスに反応する。
[0004] トランジスタの一種は電界効果トランジスタ(FET)として知られている。FETは金属酸化物半導体FET(MOSFET)としても知られる場合があるが、MOSFETは金属ゲートの代わりにシリコンゲートを有するFETについての誤った呼称である。FETトランジスタは、ソース領域と、ドレイン領域と、ソース領域とドレイン領域の間のチャネル領域と、チャネル領域の上方のゲート絶縁体と、ゲート絶縁体の上方のゲート電極とを備える。極めて初期の技術による初期のFETでは、ゲート電極は一般的に金属を含んでいた。その後の技術では、ゲート電極は一般的に(例えば、ポリシリコンの形態の)半導体シリコンを含んでいた。シリコンは、ゲート絶縁体として用いられる二酸化シリコンと親和性があること、およびFETやFETを含む集積回路の製造に有用な高温に耐え得ることから使用されていた。しかしながら、ごく最近の技術の中には再び金属ゲート電極を使用しているものがある。金属には、ポリシリコンより電気抵抗が低く、そのため信号伝搬時間が低減されるという利点がある。さらに、これまでの技術における寸法より小型のトランジスタ寸法を有するごく最近の技術では、ゲート誘電体層を非常に薄く(例えば、1ナノメートル)する必要がある。非常に薄いゲート誘電体層は、ポリシリコンゲート電極において、トランジスタのチャネル領域が反転状態にある場合にゲート誘電体に隣接するゲートポリシリコン電極に空乏層が形成される、ポリ・デプリーション(poly depletion)と呼ばれる問題を引き起こす場合がある。ポリ・デプリーションを回避するためには金属ゲートが望ましい。通常、高誘電率(high-k)誘電体として知られる比較的高い誘電率のゲート絶縁体材料とともに様々な金属ゲート材料を使用し得る。金属ゲート材料としては、例えば、タンタル、タングステン、窒化タンタル、および窒化チタン(TiN)が挙げられる。
[0005] レジスト剥離の重要な一側面は、レジスト剥離によって生じ得る基板へのダメージ、または基板の一部分の望ましくない除去に関係する。そのようなダメージは、基板内または基板上に形成される構造およびデバイス(例えば、半導体ウェーハまたはシリコンウェーハ内、またはその上に形成されるトランジスタまたはその他の電子デバイス)が機能しなくなる、あるいは機能が低下することがあるため、望ましくない。基板材料へのダメージまたはその除去の例としては、シリコンまたは窒化チタン(TiN)、例えば、FETの金属ゲートに含まれるTiNや半導体と金属との間のバリアに含まれるTiNへのダメージまたはその除去が挙げられるがこれらに限定されない。ダメージは、溶解(エッチング)、酸化物などの異なる固相への転換、または両者の組み合わせを伴い得る。例えば、硫酸は高ドーズ注入されたレジストを除去するのに効果的であることが知られているが、硫酸はTiNに対して強い攻撃性を持ち得る。残念ながら、通常の界面活性試薬は、溶解度が非常に低いこと、および/または、非常に強い酸によるプロトン化のため、硫酸においては阻害剤としてうまく機能しない。
[0006] したがって、高ドーズ注入されたレジストを剥離しながら基板上のその他の材料に実質的なダメージを与えることのない組成物が引き続き望まれている。高ドーズ注入されたレジストを剥離する組成物は、効果的かつ効率的にレジストを除去しつつ、TiNといった金属ゲート材料に実質的なダメージを与えないことがもっとも好ましい。
[0008] 本発明は、全体として、レジスト、詳細には、高ドーズ注入されたレジストを含むマイクロ電子デバイスから該レジストを除去する組成物および方法に関する。開示される組成物および方法は、デバイス表面上に存在する他の材料、例えば、窒化チタンに実質的なダメージを与えることなく、上記レジストを効果的に除去する。
[0009] 一態様において、レジストを剥離するための組成物が記載され、この組成物は、硫酸と、少なくとも1種のリン含有酸とを含むが、リン含有酸がリン酸それ自体を含むことはできない。
[0010] 別の態様において、レジストを剥離するための組成物が記載され、この組成物は、硫酸と、少なくとも1種のホスホン酸またはホスホン酸誘導体とを含む。
[0011] さらに別の態様において、高ドーズイオン注入されたレジストを含むマイクロ電子デバイスの表面から該レジストを除去する方法は、レジストの少なくとも部分的な除去を達成するために必要な時間および温度でマイクロ電子デバイスを組成物と接触させることを含み、この組成物は、硫酸と、少なくとも1種のリン含有酸とを含むが、リン含有酸がリン酸それ自体を含むことはできない。
[0012] さらに別の態様において、高ドーズイオン注入されたレジストを含むマイクロ電子デバイスの表面から該レジストを除去する方法は、レジストの少なくとも部分的な除去を達成するために必要な時間および温度でマイクロ電子デバイスを組成物と接触させることを含み、この組成物は、硫酸と、少なくとも1種のホスホン酸またはホスホン酸誘導体とを含む。
[0013] 別の態様において、高ドーズイオン注入されたレジストを含むマイクロ電子デバイスの表面から該レジストを除去する方法が記載され、この方法は、
レジストの少なくとも部分的な除去を達成するために必要な時間および温度で、硫酸と、少なくとも1種のリン含有酸とを含むが、リン含有酸がリン酸それ自体を含むことはできない第1の組成物にマイクロ電子デバイスを接触させることと、
レジストの追加的除去を達成するためにマイクロ電子デバイスをセリウム含有組成物に接触させることと、
任意選択で、デバイスからのレジストの実質的な除去を完了するためにマイクロ電子デバイスを第1の組成物に接触させることと、を含む。
[0014] 本発明のその他の態様、特徴および利点は、以下に続く開示および添付の請求の範囲からさらに十分に明らかになるであろう。
[0007] 図1は、表面上の異なる位置からフォトレジストを除去する場合の組成物A、D、E、GおよびHの除去有効性を1〜10の段階に等級付けして示す。
[0015] 本発明は、全体として、レジスト、詳細には、高ドーズ注入されたレジストを含むマイクロ電子デバイスから該レジストを除去する組成物および方法に関する。開示される組成物および方法は、デバイス表面上に存在する他の材料、例えば、金属ゲート材料に実質的なダメージを与えることなく、上記レジストを効果的に除去する。
[0016] 参照を容易にするため、「マイクロ電子デバイス」は、マイクロエレクトロニクス、集積回路、エネルギー回収、またはコンピュータチップ用途での使用のために製造される、半導体基板、フラットパネルディスプレイ、相変化メモリデバイス、ソーラーパネル、ならびにソーラーセルデバイス、太陽電池、微小電気機械システム(MEMS)を含むその他の製品に相当する。「マイクロ電子デバイス」、「マイクロ電子基板」および「マイクロ電子材料」との用語はいかなる意味においても制限的であることを意図しておらず、最終的にマイクロ電子デバイスまたはマイクロ電子アセンブリとなるあらゆる基板または構造を含む。
[0017] 「イオン注入」とは、通常固体であるターゲット材料内にドーパント材料のイオンを注入することができるプロセスである。イオン注入は、半導体デバイス製造、例えば、集積回路やシリコン半導体デバイスの製造において使用される。注入されたイオンは、このイオンがターゲットと異なる元素であることからターゲット内に化学的変化を導入する、または引き起こすことができ、かつ/または、イオン注入によってターゲットが変性され、ダメージを受け、あるいはさらに破壊され得るという点で構造的変化を導入する、または引き起こすことができる。単なる例示として、半導体製造において注入される種として通常使用される元素には、ホウ素、二フッ化ホウ素、ヒ素、インジウム、ガリウム、ゲルマニウム、ビスマス、キセノン、リンおよびアンチモンが含まれる。ホウ素は、シリコン内に「正孔」(すなわち電子の空孔)を提供する、または引き起こすため、シリコンにおけるp型ドーパントである。ヒ素は、シリコン内に余分な電子を提供する、または引き起こすため、シリコンにおけるn型ドーパントである。真性シリコンに注入されたホウ素やヒ素などのドーパントは、この真性シリコンが半導体として導電性を有するようにすることができる。1以上のドーパント材料がターゲット材料内に注入されてもよい。
[0018] イオン注入は、通常、ドーズ量とエネルギーとによって特徴付けられる。ドーズ量とは、ターゲット材料の単位面積あたりに注入されるイオンの数である。エネルギーとは、注入されているイオンのエネルギーである。より進歩した半導体処理または製造技術では、通常、従前の技術よりも高いドーズ量および/または高いエネルギーを使用する。「高ドーズイオン注入」(HDII)では、イオンドーズ量が約5×1014イオン/cmより高く、かつ/または、ターゲットまたは基板に衝突する前のイオンの平均エネルギーが5KeVから100KeVより大きい場合がある。
[0019] 「フォトレジスト」を含む「レジスト」とは、表面上、例えば、基板またはターゲットの表面上にパターニングされた被膜を形成するために使用される放射感応性材料である。レジストは、例えば、集積回路やシリコン半導体デバイスなどの半導体デバイスの製造において使用される。半導体デバイス製造におけるレジストの使用として、半導体基板内にドーパントを選択的にイオン注入するためのマスクとしての使用がある。レジスト層は、半導体基板の表面に、あるいは、例えば、半導体層上部の絶縁体層のような、基板上または基板内のある層の表面に塗布される。レジストの一部分が放射で露光されるが、そのようなレジストの一部分は、半導体のうちの注入されるべきエリア(ポジ型レジスト)か、半導体のうちの注入されるべきでないエリア(ネガ型レジスト)のいずれかに相当する。次いで、レジストは、レジストの所望部分だけが残るようにレジストの一部分を除去することを助ける現像液にさらされる。「ポジ型レジスト」とは、放射で露光されたレジスト部分がレジスト現像液に対して可溶性となり、レジスト現像液によって除去されるタイプのレジストである。レジストの非露光部分はレジスト現像液に対して不溶性のままであり、レジスト現像液によって除去されない。「ネガ型レジスト」とは、放射で露光されたレジスト部分がフォトレジスト現像液に対して不溶性となり、フォトレジスト現像液によって除去されないタイプのレジストである。レジストの放射で露光されない部分はレジスト現像液に対して可溶性のままとなり、レジスト現像液によって除去される。レジストの可溶性部分はレジスト現像液によって溶解される。イオン注入は、放射による露光によってレジストがパターニングされ、現像液によって現像処理された後に生じる。レジストの残留部分は、注入されたイオンがレジストの下部の半導体またはその他の材料まで到達しないようブロックする。レジストによってブロックされたイオンは、下層基板の代わりにレジスト内に注入される。半導体のうちのレジストによって覆われていない部分はイオン注入を受ける。
[0020] 様々なレジストが感応性を有する放射には、比較的広範囲のものが包含される。単なる例示として、放射は、紫外線(例えば、約300〜400nm(ナノメートル))、遠紫外線(DUV、例えば、約10〜300nm)、水銀灯のG、HおよびI線(それぞれ、およそ436nm、404.7nm、365.4nm)、およびx線(例えば、およそ0.01〜10nm)の範囲であってよい。放射は、代替的に、電子ビーム(eビーム)放射を含んでもよい。およそ193nmの波長を有するDUV光、およびおよそ248nmの波長を有する光が放射としてしばしば使用される。およそ193nmおよび248nmの放射を含むフォトリソグラフィ技術は、それぞれ、193nmリソグラフィおよび248nmリソグラフィと呼ばれる。
[0021] レジストによってブロックされる注入イオンが比較的高いドーズ量および/または高いエネルギーを有するため、レジストは、イオンが衝突し、かつ吸収されるレジストの外側部分または外側側面にクラストまたは硬いシェルを形成する。レジスト硬化は、炭化、重合またはポリマー架橋から生じ得るか、またはそのように呼ばれ得る。すなわち、レジストの外側領域に浸透したイオンは、レジストの外側領域(例えば、レジストの上部および側面)をクラスト化し、レジストの内側領域であって外側領域に近い部分における化学結合を架橋させる原因となり得る。このようなクラストは、レジスト剥離プロセス中に除去することが難しい(例えば、クラストは剥離に使用されるいくつかの公知の溶媒に対して不溶性である)ことが知られている。イオンはレジスト材料内のわずかな距離を浸透するだけであるため、クラストは、大部分がレジストの外側部分に形成される。レジストの底部は注入された材料または基板によって覆われるため、クラストはレジストの上面および側面に形成され得るが、レジストの底部部分上または内部部分中には形成され得ない。通常、イオンは主に下向きの入射方向で注入されるため、一般のレジストにおいては上部のクラストが側面のクラストより厚い。レジストクラストの厚さは注入イオンの投入量およびイオン注入エネルギーに依存する。クラスト内部またはクラスト下部のレジスト材料、つまり、全般的にイオンによる影響を受けないレジスト部分をバルクレジストまたはバルクレジスト材料と呼ぶ。レジストの硬化またはクラスト化は、例えば、レジストの外側部分を水またはその他のいくつかの水溶液(ただし、必ずしも他の全ての水溶液または全ての有機溶媒に対してではない)に対して不溶性とするか、または溶解性を低くする。
[0022] 「高ドーズイオン注入剥離」(HDIS)とは、HDIIを受けた露光レジストを剥離するプロセスである。HDISプロセスのいくつかは、プラズマプロセスや真空プロセスなどのドライプロセス、またはウェット化学プロセスを含み得る。
[0023] 本明細書において使用される「金属ゲート」または「金属ゲート電極」との用語の意味には、金属を含むトランジスタ(例えば、FET)のゲート電極が含まれる。金属は他の材料と組み合わせられてもよい。金属ゲートの金属としては、Ti、Ta、W、Mo、Ru、Al、La、窒化チタン、窒化タンタル、炭化タンタル、炭化チタン、窒化モリブデン、窒化タングステン、酸化ルテニウム(IV)、窒化タンタルケイ素(tantalum silicon nitride)、窒化チタンケイ素(titanium silicon nitride)、窒化タンタル炭素(tantalum carbon nitride)、窒化チタン炭素(titanium carbon nitride)、チタンアルミナイド、タンタルアルミナイド、窒化チタンアルミニウム、窒化タンタルアルミニウム、酸化ランタン、またはこれらの組み合わせが含まれるがこれらに限定されない。金属ゲートの具体的な一例は窒化チタン(TiN)を含む。TiNは、電子デバイスにおいて他の用途を有する、例えば、シリコンと金属接点との間のバリア金属として、および導電体としての用途を有することに留意する。金属ゲート材料として開示される化合物は異なる化学量論を有し得ることが理解されるべきである。したがって、窒化チタンは本明細書においてTiNと表され、窒化タンタルは本明細書においてTaNと表され、その他も同様である。
[0024] 「シリコン」は、Si、多結晶Si、単結晶SiおよびSiGe、ならびに、酸化シリコン、熱酸化物、SiOHおよびSiCOHなどの他のシリコン含有材料を含むものとして定義してよい。シリコンは、例えば、FETや集積回路といった電子デバイスの基板としてまたは基板の一部として使用され得るシリコン・オン・インシュレータ(SOI)ウェーハ内に含まれる。その他のタイプのウェーハがシリコンを含んでいてもよい。
[0025] 本明細書において使用される「下層シリコン含有」層とは、シリコン、ゲート酸化物(例えば、熱成長または化学成長SiO)およびTEOSを含む酸化シリコン、窒化シリコン、および低誘電率(low-k)誘電体材料を含む、バルクおよび/または硬化フォトレジストの直下にある1以上の層に相当する。本明細書において定義される「低誘電率(low-k)誘電体材料」とは、積層マイクロ電子デバイスにおいて誘電体材料として使用される材料であって、約3.5未満の誘電率を有するあらゆる材料に相当する。低誘電率(low-k)誘電体材料は、シリコン含有有機ポリマー、シリコン含有ハイブリッド有機/無機材料、有機ケイ酸塩ガラス(OSG)、TEOS、フッ素化ケイ酸塩ガラス(FSG)、二酸化シリコン、および炭素ドープ酸化物(CDO)ガラスなどの低極性材料を含むことが好ましい。低誘電率(low-k)誘電体材料は異なる密度および異なる空隙率を有してよいことが理解されるべきである。
[0026] 本明細書において定義される「実質的に含まない(substantially devoid)」とは、組成物の全重量に対して、組成物の約2重量%未満、より好ましくは1重量%未満、もっとも好ましくは0.1重量%未満であることに相当する。
[0027] 本明細書において使用される「フッ化物」種とは、イオン化したフッ化物(F)または共有結合フッ素を含む種に相当する。このようなフッ化物種は、1つのフッ化物種として含まれていてもよいし、その場で生成されてもよいことが理解されるべきである。
[0028] レジスト剥離の4つの重要な側面は、(i)比較的低温での剥離、(ii)許容されるウェーハスループットを可能にするための比較的短時間でのレジスト剥離、(iii)マイクロ電子デバイス表面からの実質的に完全なレジスト除去、(iv)レジスト剥離から生じ得る、他の材料(例えば、シリコン、金属ゲート、またはその両方)に対するダメージ、またはマイクロ電子デバイス表面からのそれら他の材料の望ましくない除去を最小限に抑える、あるいは実質的に排除すること、である。材料へのダメージまたはその除去の例としては、シリコン、あるいは窒化チタン(TiN)、例えば、FETの金属ゲートに含まれるTiNまたは半導体と金属との間のバリアに含まれるTiNへのダメージまたはそれらの除去が含まれるが、これらに限定されない。ダメージは、例えば、溶解(エッチング)、酸化物などの異なる固相への転換、または両者の組み合わせを伴い得る。
[0029] 第1の態様において、レジスト、詳細には、高ドーズ注入されたレジストを含むマイクロ電子デバイスから該レジストを剥離するための組成物が記載される。このレジストを剥離するための組成物は、硫酸と、少なくとも1種のリン含有酸とを含むか、これらからなるか、または基本的にこれらからなる。ただし、リン含有酸はリン酸それ自体を含むことができない。別の実施形態において、レジストを剥離するための組成物は、硫酸と、少なくとも1種のホスホン酸またはホスホン酸誘導体とを含むか、これらからなるか、または基本的にこれらからなる。さらに別の実施形態において、レジストを剥離するための組成物は、硫酸と、少なくとも1種のホスホン酸またはホスホン酸誘導体と、少なくとも1種の酸化剤とを含むか、これらからなるか、または基本的にこれらからなる。ただし、少なくとも1種の酸化剤は過酸化水素それ自体を含むことができない。一般に、当該分野の技術知識の範囲内で過度の負担を必要とせず容易に決定することができるように、各成分同士の具体的割合および量は、レジストおよび/または処理設備にとって望ましい組成物の除去作用が提供されるように適宜変更してよい。
[0030] 硫酸は、濃硫酸であることが好ましく、市販品としては95%〜98%HSOである。硫酸は、組成物の全重量に対して約50重量%から約99重量%の範囲、より好ましくは約80重量%から約99重量%の範囲で組成物中に存在し得る。
[0031] リン含有酸は、ホスホン酸、ホスホン酸誘導体およびリン酸誘導体を含むことができる。ホスホン酸およびその誘導体としては、1,5,9−トリアザシクロドデカン−N,N',N''−トリス(メチレンホスホン酸)(DOTRP)、1,4,7,10−テトラアザシクロドデカン−N,N',N'',N'''−テトラキス(メチレンホスホン酸)(DOTP)、ニトリロトリス(メチレン)トリホスホン酸(すなわち、Dequest2000)、ジエチレントリアミンペンタ(メチレンホスホン酸)(DETAP)、アミノトリ(メチレンホスホン酸)、1−ヒドロキシエチリデン−1,1−ジホスホン酸(HEDP)、ビス(ヘキサメチレン)トリアミンホスホン酸、ビス(ヘキサメチレントリアミンペンタ(メチレンホスホン酸))(すなわち、Dequest2090)、1,4,7−トリアザシクロノナン−N,N',N''−トリス(メチレンホスホン酸)(NOTP)、N−(ホスホノメチル)−イミノ二酢酸、2−アミノ−2−プロピルホスホン酸、イミノビス(メチレンホスホン酸)、5−(リン酸二水素)−ピリドキサール、アミノ(フェニル)メチレン−ジホスホン酸、エチレンビス(イミノ−(2−ヒドロキシフェニル)メチレン(メチル)−ホスホン酸))、2−ホスホノブタン−1,2,4−トリカルボン酸、エチレンジアミンテトラ(メチレンホスホン酸)(EDTMPA)、これらの塩およびこれらの組み合わせが含まれるが、上記に限定されない。リン酸誘導体としては、リン酸トリブチルエステル;リン酸トリエチルエステル;リン酸トリス(2−エチルヘキシル)エステル;リン酸モノメチルエステル;リン酸イソトリデシルエステル;リン酸2−エチルヘキシルジフェニルエステル;およびリン酸トリフェニルエステルなどのリン酸エステルが含まれるがこれらに限定されない。もっとも好ましくは、リン含有酸は、Dequest2000、Dequest2090またはEDTMPAを含む。リン含有酸は、組成物の全重量に対して約1重量%から約50重量%の範囲、好ましくは約1重量%から約20重量%の範囲で組成物中に存在してよい。
[0032] 少なくとも1種の酸化剤は、フォトレジストの除去を助けるために加えることができる。考えられる酸化剤としては、過ヨウ素酸、過ヨウ素酸塩(例えば、過ヨウ素酸アンモニウム、過ヨウ素酸テトラメチルアンモニウム)、過硫酸アンモニウム、過塩素酸、過塩素酸塩(例えば、過塩素酸アンモニウム、過塩素酸テトラメチルアンモニウム)、オキソン(2KHSO・KHSO・KSO)、オゾン、セリウム(IV)塩または配位錯体、およびこれらの組み合わせが含まれるがこれらに限定されない。セリウム(IV)塩は、例えば、硝酸セリウムアンモニウム(CAN)であってよい。硝酸セリウムアンモニウムの化学式は、Ce(NH(NOまたは(NHCe(NOと表し得る。使用し得る他のセリウム(IV)塩としては、硝酸セリウム、硫酸セリウムアンモニウム、硫酸セリウム、重硫酸セリウム、過塩素酸セリウム、メタンスルホン酸セリウム、トリフルオロメタンスルホン酸セリウム、塩化セリウム、水酸化セリウム、カルボン酸セリウム、セリウムβ−ジケトン、トリフルオロ酢酸セリウムおよび酢酸セリウムが含まれるがこれらに限定されない。1種以上の酸化剤が存在する場合、組成物中のそれらの量は、組成物の全重量に対して約0.1重量%から約25重量%である。少なくとも1種の酸化剤はCANを含むことが好ましい。
[0033] 第1の態様の組成物は、付加水および有機溶媒を実質的に含まない。濃縮HSOは少量の水を含むが、本明細書に記載される組成物には追加の水が付加されないことが好ましいことが理解されるだろう。したがって、第1の態様の組成物は、組成物の全重量に対して、約5重量%未満の付加水を含み、より好ましくは3重量%未満の付加水を含み、もっとも好ましくは2重量%未満の付加水を含む。本明細書において定義される「付加水」とは、製造者または第1の態様の組成物の使用者が希釈やその他の目的のために意図的に当該組成物の成分に対して加える水に相当する。購入された市販の成分(例えば、濃縮HSO)に存在する水は、「付加水」とはみなされない。さらに、第1の態様の組成物は、研磨材料、過酸化水素、湿潤剤(例えば、酢酸、クエン酸またはカルボン酸基を含む他の化合物)、タングステン、フッ化物イオン、銅イオンまたは銅含有残基、および硫酸カリウムを実質的に含まないことが好ましい。
[0034] 第1の態様の組成物のpHは約2未満であり、より好ましくは約1未満である。第1の態様の組成物のpHは、使用される成分およびその量によってはゼロ未満であってもよいことが理解されるべきである。
[0035] 別の実施形態において、第1の態様の組成物は、バルクおよび硬化レジスト材料をさらに含み、このバルクおよび硬化レジスト材料はホウ素、ヒ素、二フッ化ホウ素、インジウム、アンチモン、ゲルマニウム、炭素および/またはリンイオンを含み得る。例えば、第1の態様の組成物は、硫酸と、ホスホン酸またはホスホン酸誘導体と、バルクおよび硬化レジスト材料とを含んでよい。別の実施形態において、第2の態様の組成物は、硫酸と、少なくとも1種のリン含有酸と、バルクおよび硬化レジスト材料とを含んでよい。ただし、リン含有酸はリン酸それ自体を含むことはできない。さらに別の実施形態において、第1の態様の組成物は、硫酸と、ホスホン酸またはホスホン酸誘導体と、少なくとも1種の酸化剤と、バルクおよび硬化レジスト材料とを含んでよい。ただし、少なくとも1種の酸化剤は過酸化水素そのものを含むことはできない。レジスト材料および注入イオンは第1の態様の組成物中に溶解および/または懸濁されてよい。
[0036] 第1の態様の組成物は、マイクロ電子デバイス上の下層シリコン含有材料および金属ゲート材料と親和性を有する。
[0037] 第1の態様の組成物は、単一パッケージの調合物として、または、使用時および/または使用前に混ぜ合わされる複部構成の調合物として容易に調合され得る。例えば、複部構成の調合物の個々の部分は、ツールにおいて、ツールより上流の貯蔵槽内において、あるいは混ぜ合わされた調合物をツールに直接供給する輸送容器内において混ぜ合わされてよい。例えば、1つの輸送パッケージが少なくとも2つの別個の容器または内袋(bladder)を含み、これらが製造工場において使用者によって混ぜ合わされ、混ぜ合わされた調合物が直接ツールに供給されてもよい。輸送パッケージおよびパッケージ内部の容器または内袋は、当該組成物成分の貯蔵および輸送に適したものでなければならず、例えば、アドバンスド・テクノロジー・マテリアルズ,インコーポレイテッド(米国コネティカット州ダンブリー)が提供するパッケージングがある。
[0038] あるいは、第1の態様の組成物の濃縮物を調合し、この濃縮物を、輸送のため、ならびに使用前および/または使用中におけるオンサイトでの成分混合のために1つの容器に詰めてもよく、かかる使用方法が本明細書中に記載される。例えば、使用前および/または使用中に硫酸を添加するように濃縮物を調合することができる。組成物が硫酸と、ホスホン酸またはホスホン酸誘導体と、少なくとも1種の酸化剤とを含み、ただし、少なくとも1種の酸化剤は過酸化水素それ自体を含むことはできない場合、ホスホン酸(またはホスホン酸誘導体)および少なくとも1種の酸化剤は、調合物の貯蔵寿命および浴寿命を延ばすようにツールにおいて混合されることが考えられる。
[0039] 別の態様は、本明細書中に記載されるように、第1の態様の組成物を形成するように適合された1種以上の成分を1以上の容器内に含むキットに関する。このキットの容器は、当該組成物の貯蔵および輸送に適したものでなければならず、例えば、NOWPak(登録商標)容器(アドバンスド・テクノロジー・マテリアルズ,インコーポレイテッド、米国コネティカット州ダンブリー)がある。本明細書中に記載される組成物の成分を収容する1以上の容器は、当該1以上の容器内の成分を混合および分配のために流体連通させる手段を含むことが好ましい。例えば、NOWPak(登録商標)容器を参照すると、上記1以上の容器内のライナの外側にガス圧を加えることで、ライナの中身の少なくとも一部分が排出され、それにより混合および分配のための流体連通が可能となるようにすることができる。あるいは、従来の加圧可能容器の先端スペースにガス圧を加える、またはポンプを使用することで流体連通を可能にしてもよい。また、このシステムは、混合した組成物をプロセスツールに分配するための分配ポートを含むことが好ましい。
[0040] 上記1以上の容器のライナを製造するために、実質的に化学的に不活性であり、不純物を含まず、柔軟性かつ弾性であるポリマー膜材料、例えば、PTFEまたはPTFAが好ましく使用される。望ましいライナ材料は、共押出しまたはバリア層を必要とすることなく、かつ、ライナ内に配置されるべき成分の純度要件に悪影響を及ぼし得るあらゆる顔料、紫外線抑制剤、または処理剤を使用することなく処理される。望ましいライナ材料の一覧には、再生品でないポリテトラフルオロエチレン(PTFE)、PFA、Halar(登録商標)などを含む膜類が含まれる。このようなライナ材料の好ましい厚さは、約5ミル(0.005インチ)から約30ミル(0.030インチ)の範囲であり、例えば、20ミル(0.020インチ)の厚さである。
[0041] 上記キットのための容器に関し、以下の特許および特許出願、米国特許第7,188,644号(発明の名称:「超高純度液体中の粒子の生成を最小限にするための装置および方法」)、米国特許第6,698,619号(発明の名称:「返却可能かつ再使用可能なバッグインドラム流体貯蔵および分配容器システム」)、2008年5月9日にジョン イー. キュー. ヒューズの名前で出願された国際特許出願第PCT/US08/63276号(発明の名称:「材料混合および分配システムおよび方法」)および2008年12月8日にジョン イー. キュー. ヒューズらの名前で出願された国際特許出願第PCT/US08/85826号(発明の名称:「流体を含む加工材料混合物を搬送するシステムおよび方法」)の開示が、参照により、それぞれその全体が本明細書に組み込まれる。
[0042] 第3の態様において、本明細書中に記載される組成物は、マイクロ電子デバイスの表面からバルクおよび硬化レジストを取り除くために有用に採用される。第3の態様のマイクロ電子デバイスは、その上またはその内部に電子デバイスが形成されるウェーハ、例えば、半導体ウェーハであってよい。マイクロ電子デバイスは、トランジスタ、例えば、金属ゲート(例えば、TiNを含む金属ゲート)を備えるFETなどのFETを備え得る。マイクロ電子デバイスによっては、ウェーハが提供される際に部分的に形成されてもよく、例えば、ウェーハが提供される前にソース/ドレイン領域またはチャネル領域のイオン注入が行われていてもよい。レジストはウェーハの上面に付着されてよい。レジストによって覆われていないウェーハ部分のイオン注入がなされる間に、レジストがイオン注入(例えば、HDII)されてもよい。このレジストに対するイオン注入は、硬化し、クラスト化し、重合化し、かつ/または炭化した外層の形成をレジスト内に引き起してしまう場合がある。組成物は、デバイス表面の低誘電率(low-k)誘電体材料または金属ゲート材料、例えば、TiNに対して選択的にダメージを与えないように調合される。本明細書に記載される組成物は、レジスト除去前にデバイス上に存在したバルクおよび硬化レジストの少なくとも85%を除去することが好ましく、より好ましくは少なくとも90%、さらに好ましくは少なくとも95%、もっとも好ましくは少なくとも99%を除去する。
[0043] 除去用途において、第1の態様の組成物は、その上にレジスト材料を有するマイクロ電子デバイスに対してあらゆる好適な方法で塗布することができ、例えば、デバイス表面に組成物を噴霧する、レジスト材料を含むデバイスを(一定量の組成物中に)浸漬する、組成物を含浸させた別の材料、例えば、パッドまたは繊維状吸着剤アプリケータ要素にデバイスを接触させる、レジスト材料を含むデバイスを循環する組成物に接触させる、あるいは組成物をマイクロ電子デバイス上のレジスト材料に接触させるその他の好適な手段、方法または技術により塗布することができる。動的または静的クリーニングのため、塗布はバッチまたは単一ウェーハ装置において行い得る。
[0044] その上にバルクおよび硬化レジストを有するマイクロ電子デバイスから該レジストを除去するための第1の態様の組成物の使用において、通常、約10秒から約60分の時間、約20℃から約200℃の範囲、好ましくは約40℃から約100℃の範囲の温度で組成物をデバイスに接触させる。このような接触時間および温度は例示であり、デバイスからバルクおよび硬化レジストを少なくとも部分的に取り除くために効果的なその他の好適な時間および温度条件を採用してよい。「少なくとも部分的に取り除く」および「実質的な除去」との表現はどちらも、レジスト除去前にデバイス上に存在した硬化したレジストの少なくとも85%を除去することに相当し、より好ましくは少なくとも90%、さらに好ましくは少なくとも95%、もっとも好ましくは少なくとも99%を除去することに相当する。
[0045] 第3の態様の一実施形態において、第1の態様の組成物は、マイクロ電子デバイス表面からバルクおよび硬化レジストを除去するために該表面に塗布され、続いて、デバイス表面をセリウム含有組成物に接触させる。その後、デバイス表面を再び第1の態様の組成物に接触させることにより、デバイス表面からのバルクおよび硬化レジストの実質的な除去を達成することができる。デバイス表面を(a)第1の態様の組成物、(b)セリウム含有組成物、および(c)第1の態様の組成物に対しこの順序で暴露するプロセスは、デバイス表面の低誘電率(low-k)誘電体材料または金属ゲート材料、例えば、TiNにダメージを与えることなくバルクおよび硬化レジストを実質的に除去するという利点を有する。セリウム含有組成物は、セリウム元素の少なくとも1種の塩または配位錯体を含んでよい。セリウムの塩は、例えば、硝酸セリウムアンモニウムであってよい。硝酸セリウムアンモニウムの化学式は、Ce(NH(NOまたは(NHCe(NOと表し得る。硝酸セリウムアンモニウムは、CAN、硝酸セリウム(IV)アンモニウム、硝酸セリウムアンモニウム(ceric ammonium nitrate)および硝酸アンモニウムセリウムとしても知られている。本明細書において使用されるCANは、硝酸セリウムアンモニウムを意味する。CANは、酸化剤として使用し得るオレンジ色の水溶性の塩である。使用し得る他のセリウム塩としては、硝酸セリウム、硫酸セリウムアンモニウム、硫酸セリウム、重硫酸セリウム、過塩素酸セリウム、メタンスルホン酸セリウム、トリフルオロメタンスルホン酸セリウム、塩化セリウム、水酸化セリウム、カルボン酸セリウム、セリウムβ−ジケトン、トリフルオロ酢酸セリウムおよび酢酸セリウムが含まれるがこれらに限定されない。本発明のいくつかの実施形態においては、溶液が、上記のセリウム塩のうちの2種以上を含んでいてもよい。好ましくは、セリウム塩は硝酸セリウムアンモニウムを含む。セリウム塩の濃度の有効な範囲は、溶液の全重量に対して、約0.01重量%から約70重量%であり、好ましくは約0.01重量%から約30重量%である。
[0046] 第3の態様の他の実施形態が考えられることを理解すべきであり、例えば、(i)濃硫酸への暴露、セリウム含有組成物への暴露、第1の態様の組成物への暴露、(ii)第1の態様の組成物への暴露、セリウム含有組成物への暴露、濃硫酸への暴露、(iii)セリウム含有組成物への暴露、第1の態様の組成物への暴露、(iv)第1の態様の組成物への暴露、セリウム含有組成物への暴露、(v)第1の態様の組成物への暴露、セリウム含有組成物への暴露、第1の態様の組成物への暴露、または(vi)第1の態様の組成物への暴露のみ、が含まれるがこれらに限定されない。また、当業者によって容易に決定されるように、剥離プロセスを完了するために組成物を様々な温度で使用してよいことが理解されるべきである。
[0047] 所望の除去作用が達成されると、本明細書に記載される組成物の任意の最終用途において望ましく、かつ有効であり得るように、第1の態様の組成物は、それまで塗布されていたデバイスから直ちに除去されてよい。洗浄液は脱イオン水を含むことが好ましい。あるいは、洗浄プロセスが水洗浄を含み、これに続いてSC−1(H−NHOH−HO)洗浄と、さらに続いてDI水による第2洗浄が行われる。洗浄プロセスの間、SC−1組成物を本明細書に記載される少なくとも1種のリン含有酸を含むように変性することでTiNの損失をさらに制限することができる。当業者によって容易に決定されるように、洗浄プロセスを完了するために洗浄液を様々な温度で使用してよいことが理解されるべきである。その後、窒素、イソプロパノール(IPA)、またはスピン乾燥サイクルを使ってデバイスは乾燥され得る。
[0048] さらに別の態様は、本明細書に記載される方法に従って作られた改良されたマイクロ電子デバイス、およびそのようなマイクロ電子デバイスを含む製品に関する。
[0049] 別の態様は、再利用される組成物であって、当業者によって容易に決定されるような、この組成物が許容し得る最大量にフォトレジストの成分の投入が達するまで再利用することが可能な組成物に関する。当業者は、この再利用プロセスにはろ過および/または圧送システムが必要となり得ることを理解すべきである。
[0050] さらに別の態様は、マイクロ電子デバイスを備える物品を製造する方法に関し、この方法は、その上にバルクおよび硬化レジストを有するマイクロ電子デバイスから該レジストを取り除くために十分な時間、マイクロ電子デバイスを第1の態様の組成物に接触させることと、本明細書に記載される組成物を使用して、マイクロ電子デバイスを物品に組み込むことと、を含む。
[0051] さらに別の態様は、高ドーズイオン注入されたレジストを含むマイクロ電子デバイスの表面から該レジストを除去することに関し、この方法は、
レジストの少なくとも部分的な除去を達成するために必要な時間および温度で、硫酸と、少なくとも1種のリン含有酸とを含む第1組成物であって、ただし、リン含有酸はリン酸それ自体を含むことはできない第1組成物にマイクロ電子デバイスを接触させることと、
レジストの追加的な除去を達成するためにマイクロ電子デバイスをセリウム含有組成物に接触させることと、
デバイスからのレジストの実質的な除去を完了するためにマイクロ電子デバイスを第1組成物に接触させることと、
を含む。
[0052] 本発明の特徴および利点は、以下に説明される例示的な実施例によってより十分に示される。
実施例1
[0053] 以下の調合物を調整し、試験片からバルクおよび硬化レジストを除去するため、本明細書に記載されるプロセスにおいてこれらの調合物を使用した。
調合物A:100%HSO(96%)
調合物B:10%HPO(86%)、90%HSO(96%)
調合物C:20%HPO(86%)、80%HSO(96%)
調合物D:50%HPO(86%)、50%HSO(96%)
調合物E:3%Dequest2000eg(50%)、97%HSO(96%)
調合物F:10%Dequest2000eg(50%)、90%HSO(96%)
調合物G:4.6%Dequest2090(45%)、95.4%HSO(96%)
調合物H:1.64%EDTMPA、98.36%HSO(96%)
[0054] 上記プロセスでは、TiNを含む試験片を調合物A〜Fそれぞれに54℃で29分間浸し、続いて、この試験片をセリウム含有組成物に70℃で60分間浸し、続いて、各調合物A〜Fに54℃で20分間再度浸した。各試験片のTiN損失ならびにTiO損失について、エリプソメータ分析を使用して分析した。なお負の値はその種が成長していることを表す。結果を表1に示す。
Figure 2015517691
[0055] Dequest2000egを含む調合物(EおよびF)は、TiNのエッチレートを調合物Aの半分に下げることができた一方、溶解したTiNの約半分が酸化物に置き換えられたことが分かる。特に、Dequest2000egの量は、TiN損失にも酸化物の増加にも影響を及ぼさないものと見られた。さらに、Dequest2090およびEDTMPAを含む調合物はTiN損失の減少がもっとも大きく、TiN+TiO損失全体での減少ももっとも大きかった。
[0056] また、溶液を使用してフォトレジストを除去し、除去の有効性に基づいて1から10の段階で等級付けした。使用した方法は本明細書に記載されるものであって、レジストを含む試験片を調合物に浸し、続いてセリウム含有溶液に浸し、続いて調合物に再度浸した。図1を参照すると、Dequest2000egを含む調合物(すなわち、調合物EおよびF)、Dequest2090を含む調合物(調合物G)およびEDTMPAを含む調合物(調合物H)はすべて、調合物Aを使用した場合に比べてより効果的にフォトレジストを除去したことが分かる。
[0057] 本明細書において例示的実施形態および特徴を参照しながら様々な方法で本発明を開示してきたが、本明細書において上述された実施形態および特徴は本発明を限定することを意図しておらず、本明細書中の開示から、当業者には他の変形、改変および他の実施形態が示唆されることが理解されるだろう。したがって、本発明は、以下に記載される請求の範囲の趣旨および範囲において、そのような変形、改変および代替的実施形態の全てを包含すると広く解釈されるべきである。

Claims (21)

  1. レジストを剥離するための組成物であって、硫酸と、少なくとも1種のリン含有酸とを含むが、前記リン含有酸がリン酸それ自体を含むことはできない、組成物。
  2. 前記硫酸は濃縮されている、請求項1に記載の組成物。
  3. 硫酸の量は、前記組成物の全重量に対して約50重量%から約99重量%の範囲である、請求項1または2に記載の組成物。
  4. 少なくとも1種のリン含有酸は、ホスホン酸、ホスホン酸誘導体、1,5,9−トリアザシクロドデカン−N,N',N''−トリス(メチレンホスホン酸)(DOTRP)、1,4,7,10−テトラアザシクロドデカン−N,N',N'',N'''−テトラキス(メチレンホスホン酸)(DOTP)、ニトリロトリス(メチレン)トリホスホン酸、ジエチレントリアミンペンタ(メチレンホスホン酸)(DETAP)、アミノトリ(メチレンホスホン酸)、1−ヒドロキシエチリデン−1,1−ジホスホン酸(HEDP)、ビス(ヘキサメチレン)トリアミンホスホン酸、ビス(ヘキサメチレントリアミンペンタ(メチレンホスホン酸))、1,4,7−トリアザシクロノナン−N,N',N''−トリス(メチレンホスホン酸)(NOTP)、N−(ホスホノメチル)−イミノ二酢酸、2−アミノ−2−プロピルホスホン酸、イミノビス(メチレンホスホン酸)、5−(リン酸二水素)−ピリドキサール、アミノ(フェニル)メチレン−ジホスホン酸、エチレンビス(イミノ−(2−ヒドロキシフェニル)メチレン(メチル)−ホスホン酸))、2−ホスホノブタン−1,2,4−トリカルボン酸、エチレンジアミンテトラ(メチレンホスホン酸)(EDTMPA)、これらの塩およびこれらの組み合わせからなる群から選択される種を含む、請求項1〜3のいずれかに記載の組成物。
  5. ホスホン酸、1,5,9−トリアザシクロドデカン−N,N',N''−トリス(メチレンホスホン酸)(DOTRP)、1,4,7,10−テトラアザシクロドデカン−N,N',N'',N'''−テトラキス(メチレンホスホン酸)(DOTP)、ニトリロトリス(メチレン)トリホスホン酸、ジエチレントリアミンペンタ(メチレンホスホン酸)(DETAP)、アミノトリ(メチレンホスホン酸)、1−ヒドロキシエチリデン−1,1−ジホスホン酸(HEDP)、ビス(ヘキサメチレン)トリアミンホスホン酸、ビス(ヘキサメチレントリアミンペンタ(メチレンホスホン酸))、1,4,7−トリアザシクロノナン−N,N',N''−トリス(メチレンホスホン酸)(NOTP)、N−(ホスホノメチル)−イミノ二酢酸、2−アミノ−2−プロピルホスホン酸、イミノビス(メチレンホスホン酸)、5−(リン酸二水素)−ピリドキサール、アミノ(フェニル)メチレン−ジホスホン酸、エチレンビス(イミノ−(2−ヒドロキシフェニル)メチレン(メチル)−ホスホン酸))、2−ホスホノブタン−1,2,4−トリカルボン酸、エチレンジアミンテトラ(メチレンホスホン酸)(EDTMPA)、これらの塩およびこれらの組み合わせからなる群から選択されるホスホン酸誘導体を含む、請求項1〜3のいずれかに記載の組成物。
  6. リン含有酸の量は、前記組成物の全重量に対して約1重量%から約50重量%の範囲の量である、請求項1〜5のいずれかに記載の組成物。
  7. 少なくとも1種の酸化剤をさらに含むが、該少なくとも1種の酸化剤は過酸化水素それ自体を含むことはできない、請求項5に記載の組成物。
  8. 前記少なくとも1種の酸化剤は、過ヨウ素酸、過ヨウ素酸塩、過硫酸アンモニウム、過塩素酸、過塩素酸塩、オキソン(2KHSO・KHSO・KSO)、オゾン、セリウム(IV)塩、セリウム(IV)配位錯体、およびこれらの組み合わせからなる群から選択される種を含む、請求項7に記載の組成物。
  9. 前記セリウム(IV)塩は、硝酸セリウムアンモニウム(CAN)、硝酸セリウム、硫酸セリウムアンモニウム、硫酸セリウム、重硫酸セリウム、過塩素酸セリウム、メタンスルホン酸セリウム、トリフルオロメタンスルホン酸セリウム、塩化セリウム、水酸化セリウム、カルボン酸セリウム、セリウムβ−ジケトン、トリフルオロ酢酸セリウム、酢酸セリウム、およびこれらの組み合わせからなる群から選択される種を含む、請求項8に記載の組成物。
  10. 前記少なくとも1種の酸化剤は、硝酸セリウムアンモニウムを含む、請求項7に記載の組成物。
  11. 前記組成物は、付加水、研磨材料、過酸化水素、湿潤剤、タングステン、フッ化物イオン、銅イオンまたは銅含有残基、および硫酸カリウムのうちの少なくとも1つを実質的に含まない、請求項1〜10のいずれかに記載の組成物。
  12. 前記組成物は、約2未満のpHを有する、請求項1〜11のいずれかに記載の組成物。
  13. 高ドーズイオン注入されたレジストを含むマイクロ電子デバイスの表面から該レジストを除去する方法であって、前記レジストの少なくとも部分的な除去を達成するために必要な時間および温度で、請求項1〜12に記載の組成物に前記マイクロ電子デバイスを接触させることを含む、方法。
  14. 前記レジストの追加的除去を達成するために前記マイクロ電子デバイスをセリウム含有組成物に接触させることをさらに含む、請求項13に記載の方法。
  15. 前記デバイスからの前記レジストの実質的な除去を完了するために請求項1〜12に記載の組成物に前記マイクロ電子デバイスを接触させることをさらに含む、請求項14に記載の方法。
  16. 前記デバイスを洗浄することをさらに含む、請求項13〜15のいずれかに記載の方法。
  17. 前記洗浄は、水洗浄と、これに続くSC−1洗浄と、これに続くDI水による第2洗浄を含む、請求項16に記載の方法。
  18. 前記SC−1洗浄は、過酸化水素、水酸化アンモニウムおよび水を含む、請求項17に記載の方法。
  19. 前記組成物は、前記デバイス表面の低誘電率(low-k)誘電体材料または金属ゲート材料に実質的なダメージを与えない、請求項13〜18のいずれかに記載の方法。
  20. 前記レジストは、ホウ素、二フッ化ホウ素、ヒ素、インジウム、ガリウム、ゲルマニウム、ビスマス、キセノン、リンおよびアンチモンからなる群から選択されるドーパント種による注入を受ける、請求項13〜19のいずれかに記載の方法。
  21. 前記組成物は、前記マイクロ電子デバイスの表面に接触する直前に混合される、請求項13〜20のいずれかに記載の方法。
JP2015512891A 2012-05-18 2013-05-17 窒化チタンを含む表面からフォトレジストを剥離するための組成物およびプロセス Pending JP2015517691A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261648951P 2012-05-18 2012-05-18
US61/648,951 2012-05-18
PCT/US2013/041629 WO2013173738A1 (en) 2012-05-18 2013-05-17 Composition and process for stripping photoresist from a surface including titanium nitride

Publications (1)

Publication Number Publication Date
JP2015517691A true JP2015517691A (ja) 2015-06-22

Family

ID=49584341

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015512891A Pending JP2015517691A (ja) 2012-05-18 2013-05-17 窒化チタンを含む表面からフォトレジストを剥離するための組成物およびプロセス

Country Status (8)

Country Link
US (1) US9678430B2 (ja)
EP (1) EP2850495A4 (ja)
JP (1) JP2015517691A (ja)
KR (1) KR20150016574A (ja)
CN (1) CN104487900B (ja)
SG (2) SG10201610541UA (ja)
TW (1) TW201406932A (ja)
WO (1) WO2013173738A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10347504B2 (en) 2013-12-20 2019-07-09 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015517691A (ja) 2012-05-18 2015-06-22 インテグリス,インコーポレイテッド 窒化チタンを含む表面からフォトレジストを剥離するための組成物およびプロセス
KR102118964B1 (ko) 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Iii-v 반도체 물질을 세척하기 위한 조성물 및 이를 사용하는 방법
US10472567B2 (en) 2013-03-04 2019-11-12 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
CN111394100A (zh) 2013-06-06 2020-07-10 恩特格里斯公司 用于选择性蚀刻氮化钛的组合物和方法
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
SG10201801575YA (en) 2013-08-30 2018-03-28 Entegris Inc Compositions and methods for selectively etching titanium nitride
US9536731B2 (en) * 2013-10-25 2017-01-03 International Business Machines Corporation Wet clean process for removing CxHyFz etch residue
WO2015095175A1 (en) 2013-12-16 2015-06-25 Advanced Technology Materials, Inc. Ni:nige:ge selective etch formulations and method of using same
WO2015103146A1 (en) 2013-12-31 2015-07-09 Advanced Technology Materials, Inc. Formulations to selectively etch silicon and germanium
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
CN105038799B (zh) * 2014-04-30 2017-12-12 盐城华星光电技术有限公司 一种对ito膜进行刻蚀的刻蚀液
US10032633B1 (en) * 2017-01-17 2018-07-24 International Business Machines Corporation Image transfer using EUV lithographic structure and double patterning process
CN113479860B (zh) * 2021-07-01 2023-08-11 中国石油大学(华东) 一种SbPO4/氮掺杂碳复合材料的制备方法

Family Cites Families (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4169068A (en) * 1976-08-20 1979-09-25 Japan Synthetic Rubber Company Limited Stripping liquor composition for removing photoresists comprising hydrogen peroxide
GB8701759D0 (en) 1987-01-27 1987-03-04 Laporte Industries Ltd Processing of semi-conductor materials
US5037724A (en) * 1988-02-25 1991-08-06 Hoya Corporation Peeling solution for photo- or electron beam-sensitive resin
US5166039A (en) * 1988-02-25 1992-11-24 Hoya Corporation Peeling solution for photo- or electron beam-sensitive resin and process for peeling off said resin
GB8813889D0 (en) 1988-06-11 1988-07-13 Micro Image Technology Ltd Solutions of permonosulphuric acid
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
US6323168B1 (en) 1996-07-03 2001-11-27 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US7534752B2 (en) 1996-07-03 2009-05-19 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
WO1998021415A1 (en) 1996-11-12 1998-05-22 H.B. Zachry Company Precast, modular spar system
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6755989B2 (en) 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
EP1125168A1 (en) 1998-05-18 2001-08-22 Advanced Technology Materials, Inc. Stripping compositions for semiconductor substrates
US6875733B1 (en) 1998-10-14 2005-04-05 Advanced Technology Materials, Inc. Ammonium borate containing compositions for stripping residues from semiconductor substrates
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6492308B1 (en) 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6723691B2 (en) 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6599370B2 (en) 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6627587B2 (en) 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
JP2003177556A (ja) * 2001-12-12 2003-06-27 Sharp Corp フォトレジスト剥離剤組成物および剥離方法
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
TW200505975A (en) * 2003-04-18 2005-02-16 Ekc Technology Inc Aqueous fluoride compositions for cleaning semiconductor devices
WO2004100245A1 (en) * 2003-05-02 2004-11-18 Ekc Technology, Inc. Removal of post-etch residues in semiconductor processing
US7736405B2 (en) 2003-05-12 2010-06-15 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for copper and associated materials and method of using same
US7888301B2 (en) 2003-12-02 2011-02-15 Advanced Technology Materials, Inc. Resist, barc and gap fill material stripping chemical and method
US20050145311A1 (en) 2003-12-30 2005-07-07 Walker Elizabeth L. Method for monitoring surface treatment of copper containing devices
BRPI0418529A (pt) * 2004-02-11 2007-05-15 Mallinckrodt Baker Inc composições de limpeza para microeletrÈnicos contendo ácidos de halogênio oxigenados, sais e derivados dos mesmos
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US20060063687A1 (en) 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060148666A1 (en) 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US7923423B2 (en) 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
US7365045B2 (en) 2005-03-30 2008-04-29 Advanced Tehnology Materials, Inc. Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide
SG161211A1 (en) * 2005-04-04 2010-05-27 Mallinckrodt Baker Inc Compositions for cleaning ion implanted photoresist in front end of line applications
WO2006110645A2 (en) 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
CN101198416A (zh) 2005-04-15 2008-06-11 高级技术材料公司 从微电子器件上清除离子注入光致抗蚀剂层的配方
US20070251551A1 (en) 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
JP2008541447A (ja) * 2005-05-13 2008-11-20 サッチェム,インコーポレイテッド 酸化物の選択的な湿式エッチング
TWI622639B (zh) 2005-06-07 2018-05-01 恩特葛瑞斯股份有限公司 金屬及介電相容犠牲抗反射塗層清洗及移除組成物
JP2008547202A (ja) 2005-06-13 2008-12-25 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 金属ケイ化物の形成後の金属または金属合金の選択的な除去のための組成物および方法
WO2007027522A2 (en) 2005-08-29 2007-03-08 Advanced Technology Materials, Inc. Composition and method for removing thick film photoresist
KR101444468B1 (ko) 2005-10-05 2014-10-30 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제
JP2009512195A (ja) 2005-10-05 2009-03-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド ゲートスペーサ酸化物材料を選択的にエッチするための組成物および方法
EP1945748A4 (en) 2005-10-13 2009-01-07 Advanced Tech Materials PHOTORESIN REMOVAL AND / OR SACRIFICIAL ANTIREFLECTION COATING COMPOSITION COMPATIBLE WITH METALS
EP1946358A4 (en) 2005-11-09 2009-03-04 Advanced Tech Materials COMPOSITION AND METHOD FOR RECYCLING SEMICONDUCTOR WAFERS WITH LOW DIELECTRICITY CONSTANT MATERIALS
TW200734448A (en) 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
US20080076688A1 (en) 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
WO2008039730A1 (en) 2006-09-25 2008-04-03 Advanced Technology Materials, Inc. Compositions and methods for the removal of photoresist for a wafer rework application
US20080125342A1 (en) 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
TWI611047B (zh) 2006-12-21 2018-01-11 恩特葛瑞斯股份有限公司 用以移除蝕刻後殘餘物之液體清洗劑
TWI509690B (zh) 2006-12-21 2015-11-21 Entegris Inc 選擇性移除氮化矽之組合物及方法
TWI516573B (zh) 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
KR101532224B1 (ko) * 2007-05-18 2015-06-30 티이엘 에프에스아이, 인코포레이티드 수증기 또는 스팀을 이용하여 기판을 처리하는 방법
TW200918664A (en) 2007-06-13 2009-05-01 Advanced Tech Materials Wafer reclamation compositions and methods
JP2010535422A (ja) 2007-08-02 2010-11-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド マイクロ電子デバイスから残渣を除去するための非フッ化物含有組成物
KR20100056537A (ko) 2007-08-20 2010-05-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 이온-주입된 포토레지스트를 제거하기 위한 조성물 및 방법
US8062429B2 (en) * 2007-10-29 2011-11-22 Ekc Technology, Inc. Methods of cleaning semiconductor devices at the back end of line using amidoxime compositions
SG188848A1 (en) 2008-03-07 2013-04-30 Advanced Tech Materials Non-selective oxide etch wet clean composition and method of use
US8026200B2 (en) 2008-05-01 2011-09-27 Advanced Technology Materials, Inc. Low pH mixtures for the removal of high density implanted resist
WO2010017160A2 (en) 2008-08-04 2010-02-11 Advanced Technology Materials, Inc. Environmentally friendly polymer stripping compositions
WO2010039936A2 (en) 2008-10-02 2010-04-08 Advanced Technology Materials, Inc. Use of surfactant/defoamer mixtures for enhanced metals loading and surface passivation of silicon substrates
US9074170B2 (en) 2008-10-21 2015-07-07 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
US20100105595A1 (en) * 2008-10-29 2010-04-29 Wai Mun Lee Composition comprising chelating agents containing amidoxime compounds
KR20110110841A (ko) 2009-01-28 2011-10-07 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 리소그래픽 도구 현장 세척 제형
WO2010086745A1 (en) 2009-02-02 2010-08-05 Atmi Taiwan Co., Ltd. Method of etching lanthanum-containing oxide layers
WO2010091045A2 (en) 2009-02-05 2010-08-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of polymers and other organic material from a surface
MX2011008789A (es) 2009-02-25 2011-09-29 Avantor Performance Mat Inc Composiciones removedoras para limpiar polimero fotosensible implantado ionicamente de obleas de dispositivos semiconductores.
US8754021B2 (en) 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
JP2013513824A (ja) 2009-12-11 2013-04-22 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド マスキング材料の除去
WO2011094568A2 (en) 2010-01-29 2011-08-04 Advanced Technology Materials, Inc. Cleaning agent for semiconductor provided with metal wiring
JP5508130B2 (ja) * 2010-05-14 2014-05-28 富士フイルム株式会社 洗浄組成物、半導体装置の製造方法及び洗浄方法
TWI548738B (zh) 2010-07-16 2016-09-11 安堤格里斯公司 用於移除蝕刻後殘餘物之水性清潔劑
JP2012036750A (ja) 2010-08-04 2012-02-23 Panasonic Corp 圧縮機
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
WO2012027667A2 (en) 2010-08-27 2012-03-01 Advanced Technology Materials, Inc. Method for preventing the collapse of high aspect ratio structures during drying
WO2012048079A2 (en) 2010-10-06 2012-04-12 Advanced Technology Materials, Inc. Composition and process for selectively etching metal nitrides
KR101891363B1 (ko) 2010-10-13 2018-08-24 엔테그리스, 아이엔씨. 티타늄 니트라이드 부식을 억제하기 위한 조성물 및 방법
US20140318584A1 (en) 2011-01-13 2014-10-30 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium-containing solutions
JP2012186470A (ja) 2011-02-18 2012-09-27 Sanyo Chem Ind Ltd 銅配線半導体用洗浄剤
JP2012251026A (ja) 2011-05-31 2012-12-20 Sanyo Chem Ind Ltd 半導体用洗浄剤
TW201311869A (zh) 2011-06-16 2013-03-16 Advanced Tech Materials 選擇性蝕刻氮化矽之組成物及方法
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
US8618036B2 (en) 2011-11-14 2013-12-31 International Business Machines Corporation Aqueous cerium-containing solution having an extended bath lifetime for removing mask material
WO2013101907A1 (en) 2011-12-28 2013-07-04 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
WO2013138278A1 (en) 2012-03-12 2013-09-19 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
WO2013138276A1 (en) 2012-03-12 2013-09-19 Advanced Technology Materials, Inc. Methods for the selective removal of ashed spin-on glass
CN104334706A (zh) 2012-03-18 2015-02-04 安格斯公司 具有改进的阻挡层相容性和清洁性能的cpm后配制物
WO2013170130A1 (en) 2012-05-11 2013-11-14 Advanced Technology Materials, Inc. Formulations for wet etching nipt during silicide fabrication
JP2015517691A (ja) 2012-05-18 2015-06-22 インテグリス,インコーポレイテッド 窒化チタンを含む表面からフォトレジストを剥離するための組成物およびプロセス
KR102118964B1 (ko) 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Iii-v 반도체 물질을 세척하기 위한 조성물 및 이를 사용하는 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10347504B2 (en) 2013-12-20 2019-07-09 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist

Also Published As

Publication number Publication date
SG11201407650VA (en) 2014-12-30
US9678430B2 (en) 2017-06-13
TW201406932A (zh) 2014-02-16
EP2850495A1 (en) 2015-03-25
SG10201610541UA (en) 2017-01-27
WO2013173738A1 (en) 2013-11-21
EP2850495A4 (en) 2016-01-20
CN104487900B (zh) 2019-07-23
KR20150016574A (ko) 2015-02-12
CN104487900A (zh) 2015-04-01
US20150168843A1 (en) 2015-06-18

Similar Documents

Publication Publication Date Title
JP2015517691A (ja) 窒化チタンを含む表面からフォトレジストを剥離するための組成物およびプロセス
JP6309999B2 (ja) 窒化チタンハードマスク及びエッチ残留物除去
KR102352465B1 (ko) 애싱된 스핀-온 유리의 선택적 제거 방법
KR102064487B1 (ko) 세륨-함유 용액에 의해 발생된 입자의 제거를 위한 배합물
JP5349326B2 (ja) 窒化ケイ素の選択的除去のための組成物および方法
US20070251551A1 (en) Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
EP1488286A1 (en) Ph buffered compositions for cleaning semiconductor substrates
JP2011520142A (ja) 高密度注入レジストの除去のための低pH混合物
WO2005104682A2 (en) Non-fluoride containing supercritical fluid composition for removal of ion-implant photoresist
TW201516129A (zh) 選擇性蝕刻氮化鈦之組成物及方法
JP2013533631A (ja) エッチング後残渣を除去するための水性洗浄剤
WO2006107517A2 (en) Composition for cleaning ion implanted photoresist in front end of line applications
WO2006113222A2 (en) Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
JP4122171B2 (ja) レジスト残渣除去剤または半導体デバイスあるいは液晶デバイス製造プロセス用洗浄剤
US20150192854A1 (en) Composition for manufacturing integrated circuit devices, optical devices, micromachines and mechanical precision devices
TWI845711B (zh) 組成物、套組、基板的處理方法
US20230317508A1 (en) Method for fabricating semiconductor device with pre-cleaning treatment
JPH06338488A (ja) GaAsウェーハのエッチング方法