CN101198416A - 从微电子器件上清除离子注入光致抗蚀剂层的配方 - Google Patents

从微电子器件上清除离子注入光致抗蚀剂层的配方 Download PDF

Info

Publication number
CN101198416A
CN101198416A CNA2006800216419A CN200680021641A CN101198416A CN 101198416 A CN101198416 A CN 101198416A CN A2006800216419 A CNA2006800216419 A CN A2006800216419A CN 200680021641 A CN200680021641 A CN 200680021641A CN 101198416 A CN101198416 A CN 101198416A
Authority
CN
China
Prior art keywords
ion
composition
removal composition
chelating agent
photoresist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2006800216419A
Other languages
English (en)
Inventor
帕梅拉·M·维辛廷
迈克尔·B·克赞斯基
托马斯·H·鲍姆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Technology Materials Inc
Original Assignee
Advanced Technology Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials Inc filed Critical Advanced Technology Materials Inc
Publication of CN101198416A publication Critical patent/CN101198416A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • H01L21/3046Mechanical treatment, e.g. grinding, polishing, cutting using blasting, e.g. sand-blasting
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/042Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • C11D3/2086Hydroxy carboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/24Organic compounds containing halogen
    • C11D3/245Organic compounds containing halogen containing fluorine
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/28Heterocyclic compounds containing nitrogen in the ring
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/34Organic compounds containing sulfur
    • C11D3/3427Organic compounds containing sulfur containing thiol, mercapto or sulfide groups, e.g. thioethers or mercaptales
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/36Organic compounds containing phosphorus
    • C11D3/367Organic compounds containing phosphorus containing halogen
    • C11D3/368Organic compounds containing phosphorus containing halogen containing fluorine
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/261Alcohols; Phenols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/28Organic compounds containing halogen
    • C11D7/30Halogenated hydrocarbons
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/36Organic compounds containing phosphorus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0279Ionlithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • C11D2111/22
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Health & Medical Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Emergency Medicine (AREA)
  • Inorganic Chemistry (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Toxicology (AREA)
  • General Chemical & Material Sciences (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

本发明描述了从密集图案化的微电子器件上除去松散和离子注入光致抗蚀剂和/或蚀刻后残渣材料的方法和组合物。所述组合物包括共溶剂、螯合剂、任选的离子对试剂和任选的表面活性剂。所述组合物还可以包括稠密流体。所述组合物能有效地从所述微电子器件上除去所述光致抗蚀剂和/或蚀刻后残渣材料,而基本上不会过蚀刻下面的含硅层和金属互连材料。

Description

从微电子器件上清除离子注入光致抗蚀剂层的配方
技术领域
本发明涉及用于从微电子器件表面上除去松散和离子注入光致抗蚀剂和/或蚀刻后残渣的组合物、以及使用所述组合物除去所述物质的方法。
背景技术
随着半导体器件变得越来越集成化和小型化,在前段制程(FEOL)工艺过程中已经广泛使用离子注入,以精确控制微电子器件内的杂质分布和向暴露的器件层添加掺杂剂原子如As、B、P、In和Sb。通过改变所述掺杂剂的剂量、加速能量和离子流来控制所述掺杂剂杂质的浓度和深度。在后续加工前,必须除去离子注入光致抗蚀剂层。在过去,已经使用各种方法除去所述抗蚀剂,这些方法包括但不限于湿法化学蚀刻工艺,例如在硫酸和过氧化氢的混合溶液中,和干法等离子蚀刻工艺,例如在氧等离子体灰化工艺中。
不幸的是,当将高剂量的离子(例如剂量大于约1×1015离子/cm2)注入所需的层中时,它们还被注入整个光致抗蚀剂层中,尤其是所述光致抗蚀剂的暴露表面,使这些层变成物理和化学刚性的。该刚性的离子注入光致抗蚀剂层也被称为碳化区域或“硬壳”,已经证明它是难以除去的。
这样的话,由于所形成的碳化区域的低氢浓度,就需要额外、复杂、耗时和昂贵的工艺来除去离子注入光致抗蚀剂层。
目前,通常通过等离子蚀刻法然后是多步湿刻工艺来除去离子注入光致抗蚀剂和其它污染物,典型地使用水基蚀刻剂配方来除去光致抗蚀剂、蚀刻后残渣和其它污染物。本领域的湿刻处理法通常涉及使用强酸、碱、溶剂和氧化剂。然而不利地,湿刻处理法也会蚀刻下面的含硅层,例如基底和栅氧化物,和/或增加所述栅氧化物的厚度。
随着特征尺寸持续减少,使用本领域的水基蚀刻剂配方来满足上述清洁要求显然变成更大的挑战。水具有高表面张力,这限制或阻止了到达具有高纵横比的较小图像节点,并且因此除去裂缝或凹槽内的残渣变得更加困难。此外,在蒸发干燥时,水基蚀刻剂配方通常会将以前溶解的溶质留在沟槽或通孔内,这抑制了传导并降低了器件成品率。而且,下面的多孔低k介电材料不具有足够的机械强度来经受高表面张力液体如水的毛细应力,从而导致结构的图案塌陷。水性蚀刻剂配方还会强烈改变所述低k材料的重要材料性质,包括介电常数、机械强度、水分摄取、热膨胀系数和对不同基底的粘性。稠密流体,包括超临界液体(SCF),提供了替代方法来从所述微电子器件上除去松散和离子注入光致抗蚀剂和/或蚀刻后残渣。SCF迅速扩散,具有低粘度,表面张力接近零,还能够轻易渗入深的沟槽和通孔内。此外,由于SCF粘度低,因而SCF能够迅速运输溶解的物质。然而,SCF是高度非极性的,因此许多物质不能充分溶于其中。
近期,已经使用包含共溶剂的超临界二氧化碳(SCCO2)组合物促进从空白和图案化晶片的Si/SiO2区域上除去松散光致抗蚀剂和离子注入抗蚀剂。然而,已经证实只包含SCCO2和共溶剂的组合物不能从晶片表面上除去100%的离子注入抗蚀剂。
为此,必需向SCCO2组合物中添加另外的成分来提高所述组合物除去所述离子注入抗蚀剂的能力。重要的一点是,总组合物必须从密集图案化的表面上有效地除去离子注入抗蚀剂,而基本上不会过蚀刻下面的Si/SiO2层(即,栅氧化物(例如热或化学生成的SiO2)、低k电介体和下面的含硅基底)。随着特征尺寸减小,下面含硅层的深度也已经减少,并迅速接近约1nm的厚度。换句话说,所述下面含硅层损失大于一埃就已经是充分(大于10%)和不可接受的下表面过蚀刻。
已知来自各种来源如氟化铵、三乙胺三氟化氢、氢氟酸等的氟离子可有效除去离子注入光致抗蚀剂,然而,氟离子还会增加溶液对含硅材料的蚀刻速率。因此,当在所述去除组合物中存在氟离子时,优选存在另外的物质以基本上抑制蚀刻下面的含硅材料。
因此,提供克服本领域中从微电子器件上除去离子注入光致抗蚀剂的相关缺陷的改进组合物,这将是本领域中的重要进步。该改进的组合物可用作液体或用于稠密流体相中。该改进的组合物将有效地除去松散和离子注入光致抗蚀剂和/或蚀刻后残渣,而基本上不会过蚀刻下面的一层或多层含硅层。
发明内容
本发明涉及用于从密集图案化的微电子器件表面上除去松散和离子注入光致抗蚀剂和/或蚀刻后残渣的组合物、以及使用所述组合物除去所述物质的方法。
在一方面,本发明涉及去除组合物,其包括至少一种共溶剂、至少一种螯合剂、任选至少一种离子对试剂和任选至少一种表面活性剂,其中所述去除组合物适合从其上具有松散和离子注入光致抗蚀剂和/或蚀刻后残渣材料的微电子器件上除去所述材料。在优选实施方案中,所述去除组合物还包括稠密流体。
在又一方面,本发明涉及试剂盒,其在一个或多个容器内包括去除组合物试剂,其中所述去除组合物包括至少一种共溶剂、至少一种螯合剂、任选至少一种离子对试剂和任选至少一种表面活性剂,并且其中所述试剂盒适于从其上具有松散和离子注入光致抗蚀剂和/或蚀刻后残渣材料的微电子器件上除去所述材料。
在另一方面,本发明涉及从其上具有松散和离子注入光致抗蚀剂和/或蚀刻后残渣材料的微电子器件上除去所述材料的方法,所述方法包括使所述微电子器件与去除组合物接触足够的时间,以从所述微电子器件上至少部分地除去所述材料,其中所述去除组合物包括至少一种共溶剂、至少一种螯合剂、任选至少一种离子对试剂和任选至少一种表面活性剂。在优选实施方案中,所述去除组合物还包括稠密流体。
在另一方面,本发明涉及从其上具有松散和离子注入光致抗蚀剂和/或蚀刻后残渣材料的微电子器件上除去所述材料的方法,所述方法包括使所述微电子器件与去除组合物接触足够的时间,以从所述微电子器件上至少部分地除去所述材料,其中所述去除组合物包括至少一种去除浓缩物和至少一种稠密流体,并且所述去除浓缩物包括至少一种共溶剂、至少一种螯合剂、任选至少一种离子对试剂和任选至少一种表面活性剂。
在又一方面,本发明涉及制造微电子器件的方法,所述方法包括使所述微电子器件与去除组合物接触足够的时间,以从其上具有松散和离子注入光致抗蚀剂和/或蚀刻后残渣材料的微电子器件上至少部分地除去所述材料,其中所述去除组合物包括至少一种共溶剂、至少一种螯合剂、任选至少一种离子对试剂和任选至少一种表面活性剂。在优选实施方案中,所述去除组合物还包括稠密流体。
从下面的内容和所附权利要求书中,本发明的其它方面、特征和实施方案将会更充分地显现。
附图说明
图1示意在50℃分别浸入1w/v%吡啶/HF(1∶1)的甲醇组合物中后,TEOS相对于黑钻石2(BD2)、热氧化物(Thox)、Si3N4和多晶硅的选择性。
图2示意在50℃分别浸入1w/v%吡啶/HF(1∶3)的乙酸乙酯组合物中后,TEOS和热氧化物(Thox)相对于黑钻石2(BD2)、Si3N4和多晶硅的选择性。
图3示意在50℃分别浸入1w/v%三乙胺/HF(1∶1)的水组合物中后,TEOS和氮化硅相对于黑钻石2(BD2)、热氧化物(Thox)和多晶硅的选择性。
图4示意在50℃分别浸入1w/v%吡啶/HF(3∶1)的水组合物中后,TEOS和氮化硅相对于黑钻石2(BD2)、热氧化物(Thox)和多晶硅的选择性。
图5A是其上具有离子注入光致抗蚀剂的密集图案化基底在处理前的扫描电子显微图(60°角观察)。
图5B是图5A的密集图案化基底在用本发明的稠密流体去除组合物处理后的扫描电子显微图(60°角观察)。
发明详述及其优选实施方案
本发明基于发现了如下组合物,其可高度有效地从密集图案化的微电子器件表面上除去松散和离子注入光致抗蚀剂和/或蚀刻后残渣,同时保留下面含硅层的完整性。具体地,本发明涉及可选择性除去离子注入光致抗蚀剂而不是下面Si/SiO2层的液体和稠密流体组合物。
如本文中所用的,“松散光致抗蚀剂”对应于在所述微电子器件表面上的非碳化光致抗蚀剂,具体位于所述离子注入光致抗蚀剂硬壳的下面和/或附近。
如本文中所用的,“密集图案化”对应于在所述光致抗蚀剂内光刻产生的线条和空间维度以及狭窄源区/漏区。优选地,密集图案化微电子器件对应于具有低于100nm特征的器件,优选小于50nm特征,例如32nm。与空白或非密集图案化的光致抗蚀剂相比,密集图案化的微电子器件更难以清洁,因为要除去更多的光致抗蚀剂硬壳,即由于光致抗蚀剂的顶部和侧壁上形成了离子注入硬壳,而要清洁更多的表面积,同时清洁较小的线条和孔洞更加富有挑战性。
如本文中所用的,“下面的含硅”层对应在松散和/或离子注入光致抗蚀剂下面的层,包括:硅;氧化硅;氮化硅;栅氧化物(例如热或化学生成的SiO2);硬质掩模;和低k含硅材料。如本文中定义的,“低k含硅材料”对应于用作在分层微电子器件内的介电材料的任何材料,其中所述材料的介电常数小于约3.5。优选地,所述低k介电材料包括低极性材料如含硅有机聚合物、含硅混杂有机/无机材料、有机硅酸盐玻璃(OSG)、TEOS、氟化的硅酸盐玻璃(FSG)、二氧化硅和掺碳氧化物(CDO)玻璃。将理解的是所述低k介电材料可以具有变化的密度和变化的多孔性。
“微电子器件”对应于被制造用于微电子、集成电路或计算机芯片应用的半导体基底、平板显示器和微电子机械系统(MEMS)。将理解的是术语“微电子器件”绝非限制,而且包括最终将变成微电子器件或微电子组件的任何基底。
如本文中所用的,“稠密流体”对应于超临界流体或次临界流体。如本文中所用的,术语“超临界流体”指如下材料:在所指的化合物的压力-温度图中,该材料处于不低于临界温度Tc和不小于临界压力Pc的条件下。用于本发明的优选超临界流体是CO2,它可以单独使用或与另一种添加剂如Ar、NH3、N2、CH4、C2H4、CHF3、C2H6、n-C3H8、H2O、N2O等混合。术语“次临界流体”描述处于次临界状态的溶剂,即低于该具体溶剂的临界温度和/或低于临界压力。优选地,所述次临界流体是具有可变密度的高压液体。
如本文中所用的,“基本上过蚀刻”对应于在根据本发明的方法,使本发明的去除组合物接触具有所述下面层的微电子器件后,相邻的下面的含硅层除去大于约10%、更优选除去大于约5%、和最优选除去大于约2%。
如本文中所用的,“蚀刻后残渣”对应于在气相等离子蚀刻工艺如BEOL双嵌入式工艺后剩下的材料。所述蚀刻后残渣的性质可以是有机的、有机金属的、有机硅的、或无机的,例如含硅材料、碳基有机材料和蚀刻气体残渣,包括但不限于氧和氟。
如本文中所用的,“约”用于对应于所示值的±5%。
如本文中所用的,“适合”从其上具有松散和离子注入光致抗蚀剂和/或蚀刻后残渣材料的微电子器件上除去所述材料,对应于从所述微电子器件上至少部分地除去所述材料。优选地,使用本发明的组合物从所述微电子器件上除去至少90%材料、更优选除去至少95%材料、和最优选除去至少99%材料。
重要地,本发明的稠密流体组合物必须具有良好的金属相容性,例如在金属上的低蚀刻速率。相关金属包括但不限于铜、钨、钴、铝、钽、钛和钌。
由于易于制造的性质和没有毒性及可忽略的环境影响,超临界二氧化碳(SCCO2)是广泛实践本发明的优选相。SCCO2是用于除去微电子器件工艺污染物的具有吸引力的试剂,因为SCCO2具有同为液体和气体的性质。像气体一样,它扩散快、粘度低、表面张力接近零、并能容易地渗入深的沟槽和通孔。像液体一样,它作为“洗涤”介质具有总体流动能力。SCCO2的密度相当于有机溶剂,而且还有可回收的优点,因此可使废物贮存和处理的要求最小化。
表面上,SCCO2是除去松散负性和正性色调抗蚀剂、反差增强层(CEL)、抗反射涂层(ARC)和离子注入光致抗蚀剂的具有吸引力的试剂,因为它们全是有机性的。然而,已经证实纯SCCO2不是溶解所述材料的充分有效介质。此外,单独向所述SCCO2中添加极性共溶剂如醇不能充分改进所述材料在所述SCCO2组合物中的溶解度。因此,持续需要改良所述SCCO2组合物以增强从所述微电子器件表面上除去离子注入光致抗蚀剂和其它材料。
如下文更充分的描述,通过适当配制包括SCCO2和其它添加剂的去除组合物,本发明克服了与SCCO2的非极性相关的缺点,同时还发现用所述去除介质从密集图案化的微电子器件上除去松散和离子注入光致抗蚀剂和/或蚀刻后残渣特别有效,而且基本上不会过蚀刻下面的含硅层和金属互连材料。
可以在多种具体配方中体现本发明的组合物,如下文更充分的描述。
在所有这些组合物中,其中根据包括零下限的重量百分比范围讨论组合物的具体成分,将理解的是这些组分可以存在或不存在于组合物的各种具体实施方案中,当存在所述组分时,基于使用该组分的组合物的总重量计,它们的含量可以低至0.01重量百分比。
在一方面,本发明涉及液体去除组合物,其可用于从微电子器件上除去松散光致抗蚀剂、离子注入抗蚀剂和/或蚀刻后残渣材料。根据一个实施方案的液体去除组合物包括至少一种共溶剂、至少一种螯合剂、任选至少一种离子对试剂和任选至少一种表面活性剂,基于所述组合物的总重计,其含量范围如下:
  成分   重量%
  共溶剂   约0.01%至约99.5%
  螯合剂   约0.01%至约20.0%
  离子对试剂   0%至约20.0%
  表面活性剂   0%至约20.0%
在一方面,在所述液体去除组合物中共溶剂相对于螯合剂的摩尔比范围为约10∶1至约3500∶1,更优选约100∶1至约1000∶1;共溶剂相对于表面活性剂(当存在时)的摩尔比范围为约300∶1至约7000∶1,更优选约300∶1至约1000∶1;和共溶剂相对于离子对试剂(当存在时)的摩尔比范围为约300∶1至约7000∶1,更优选约300∶1至约1000∶1。
在本发明的广泛实践中,液体去除组合物可包括如下,或由如下组成,或基本由如下组成:至少一种共溶剂、至少一种螯合剂、任选至少一种离子对试剂和任选至少一种表面活性剂。一般而言,共溶剂、螯合剂、任选的离子对试剂和任选的表面活性剂彼此相对的具体比例和量可以合适地变化,以提供所述液体去除组合物对松散和离子注入光致抗蚀剂、蚀刻后残渣、和/或处理设备的期望去除作用,这在现有技术的范围内不需要过多的努力就可容易地确定。
在另一方面,本发明涉及稠密流体去除组合物,其可用于从微电子器件上除去松散光致抗蚀剂、离子注入抗蚀剂和/或蚀刻后残渣材料,其中所述稠密流体去除组合物包括液体去除组合物,即浓缩物和稠密CO2,优选SCCO2,基于组合物的总重计,其含量范围如下:
  成分   重量%
  稠密CO2   约45.0%至约99.9%
  液体去除组合物   约0.1%至约55.0%
优选地
  成分   重量%
  浓CO2   约85.0%至约99%
  液体去除组合物   约1%至约15.0%
在本发明的广泛实践中,所述稠密流体去除组合物可包括如下,或由如下组成,或基本由如下组成:稠密CO2、至少一种共溶剂、至少一种螯合剂、任选至少一种离子对试剂和任选至少一种表面活性剂。一般而言,SCCO2、共溶剂、螯合剂、任选的离子对试剂和任选的表面活性剂彼此相对的具体比例和量可以合适地变化,以提供所述稠密流体去除组合物对所述松散和离子注入光致抗蚀剂、蚀刻后残渣、和/或处理设备的期望去除作用,这在现有技术的范围内不需要过多的努力就可容易地确定。
在一方面,在所述稠密流体去除组合物中的液体去除组合物相对于SCCO2的摩尔比范围为约1∶200至约1∶4,更优选约1∶100至约1∶6。
可用于本发明去除组合物的共溶剂物质可以是任何合适的类型,包括醇、酰胺、酮、酯等。例示性物质包括但不限于:水、甲醇、乙醇、异丙醇、和高级醇(包括二醇、三醇等)、醚、N-烷基吡咯烷酮或N-芳基吡咯烷酮,如N-甲基-、N-辛基-或N-苯基-吡咯烷酮、环丁砜、乙酸乙酯、烷烃(直链、支链或环状)、烯烃(直链、支链或环状)、高度氟化的烃(包括全氟化和单氟化的化合物)、胺、苯酚、四氢呋喃、甲苯、二甲苯、环己烷、丙酮、二烷、二甲基甲酰胺、二甲亚砜(DMSO)、吡啶、三乙胺、乙腈、甘醇、丁基卡必醇、甲基卡必醇、己基卡必醇、单乙醇胺、丁内酯、二甘醇胺、四氢噻吩砜、二乙醚、乳酸乙酯、苯甲酸乙酯、乙二醇、二烷、吡啶、γ-丁内酯、碳酸亚丁酯、碳酸亚乙酯、碳酸亚丙酯,及其混合物。甲醇、水和DMSO是特别优选的。
尽管不希望受理论的约束,假设在本发明的去除组合物中的螯合剂会打断下面含硅层与所述硬壳之间的微弱界面键,并且侵入所述硬壳本身。具体地,所述螯合剂与所述掺杂剂离子,即在离子注入抗蚀剂内的As、B和P形成络合物。可用于本发明组合物的螯合剂不应与所述稠密流体如SCCO2、所述共溶剂或所述去除组合物的其它试剂反应。所述螯合剂优选可溶于所述稠密流体,并可以是任何合适的类型,包括例如:1,1,1,5,5,5-六氟-2,4-戊二酮(hfacH)、1,1,1-三氟-2,4-戊二酮(tfacH)、2,2,6,6-四甲基-3,5-庚二酮(tmhdH)、乙酰丙酮(acacH)、吡啶、2-乙基吡啶、2-甲氧基吡啶、2-皮考啉、吡啶衍生物、哌啶、哌嗪、三乙醇胺、二甘醇胺、单乙醇胺、吡咯、异唑、1,2,4-三唑、联吡啶、嘧啶、吡嗪、哒嗪、喹啉、异喹啉、吲哚、咪唑、三乙胺、氨、草酸酯、乙酸、甲酸、硫酸、柠檬酸、磷酸、乙酸丁酯、全氟丁烷磺酰氟、吡咯烷碳二硫醇酯(pyrrolidinecarbodithiolate)、二硫代氨基甲酸二乙酯、二硫代氨基甲酸三氟乙酯、三氟甲磺酸酯、甲磺酸、内消旋-2,3-二巯基琥珀酸、2,3-二巯基-1-丙磺酸、2,3-二巯基-1-丙醇、2-甲硫基-2-噻唑啉、1,3-二硫戊环、环丁砜、全氟癸烷硫醇、1,4,7-三硫杂环壬烷、1,4,8,11-四硫杂环十四烷、1,5,9,13-四硒杂环十六烷、1,5,9,13,17,21-六硒杂环二十四烷、碘、溴、氯、三苯基膦、二苯基(五氟苯基)膦、双(五氟苯基)苯基膦、三(五氟苯基)膦、三(4-氟苯基)膦、1,2-双[双(五氟苯基)膦基]乙烷、1,2-双(二苯基膦基)乙烷、吡啶/HF络合物、吡啶/HCl络合物、吡啶/HBr络合物、三乙胺/HF络合物、三乙胺/HCl络合物、单乙醇胺/HF络合物、三乙醇胺/HF络合物、三乙胺/甲酸络合物及其组合。优选地,所述螯合剂为吡啶/HF络合物和/或三乙胺/HF络合物。
尽管不希望受理论的约束,假设本发明的去除组合物中的离子对试剂可被吸引并随后溶解所述掺杂剂离子/螯合剂络合物。说明性的离子对试剂包括但不限于:吡咯烷碳二硫醇盐、二乙基二硫代氨基甲酸盐、三氟甲磺酸盐、三氟乙基二硫代氨基甲酸盐、碘化钾、溴化钾、氯化钾、十六烷基四甲基硫酸铵、十六烷基四甲基溴化铵、十六烷基吡啶氯化物、四丁基溴化铵、二辛基磺基琥珀酸盐和2,3-二巯基-1-丙磺酸盐。
本发明的去除组合物可进一步包括表面活性剂以帮助从所述微电子器件上除去所述抗蚀剂。说明性的表面活性剂包括但不限于:氟烷基表面活性剂、2,4,7,9-四甲基-5-癸炔-4,7-二醇的乙氧基化物(例如Surfynol104)、烷基芳基聚醚(例如TritonCF-21)、含氟表面活性剂(例如ZonylUR)、二辛基磺基琥珀酸盐、2,3-二巯基-1-丙磺酸盐、十二烷基苯磺酸、两性含氟聚合物、二壬基苯基聚氧乙烯、硅氧烷聚合物或改性的硅氧烷聚合物、炔二醇或改性的炔二醇、烷基铵盐或改性的烷基铵盐、十二烷基硫酸钠、气雾剂-OT(AOT)及其氟化类似物、烷基铵、全氟聚醚表面活性剂、2-磺基琥珀酸盐、磷酸盐基表面活性剂、硫基表面活性剂和乙酰乙酸基表面活性剂。优选地,所述表面活性剂包括炔二醇如2,4,7,9-四甲基-5-癸炔-4,7-二醇。
通常,至少一种共溶剂、至少一种螯合剂、任选至少一种离子对试剂和任选至少一种表面活性剂的彼此相对的具体比例和量可以合适地变化,以提供所述液体去除组合物对将要从所述微电子器件上除去的松散和离子注入光致抗蚀剂和/或蚀刻后残渣的期望增溶作用。另外,所述液体去除组合物、即浓缩物和稠密流体彼此相对的具体比例和量可以合适地变化,以提供所述稠密流体去除组合物对将要从所述微电子器件上除去的松散和离子注入光致抗蚀剂和/或蚀刻后残渣的期望增溶作用。在现有技术的范围内,无需过多的努力,通过简单的实验就可容易地确定所述比例和量。
将理解的是短语“从微电子器件上除去松散和离子注入光致抗蚀剂和/或蚀刻后残渣材料”绝非意味着限制,并且包括从最终将变成微电子器件的任何基底上除去松散和离子注入光致抗蚀剂和/或蚀刻后残渣材料。
在本发明特别优选的实施方案中,基于所述组合物的总重计,所述配方包括下列含量范围的下列成分:
    成分     重量%
    SCCO2     约80.0%至约99.89%
    共溶剂     约0.1%至约15.0%
    螯合剂     约0.01%至约5.0%
优选地,所述稠密流体去除组合物包括98.95wt.%SCCO2、1wt.%甲醇和0.05wt.%吡啶/HF络合物(1∶1摩尔比)。
在另一个特别优选的实施方案中,基于所述组合物的总重计,所述液体去除组合物包括下列含量范围的下列成分:
    成分     重量%
    共溶剂     约90%至约99%
    螯合剂     约0.5%至约8.0%
    表面活性剂     约0.01%至约4.0%
在所述液体去除组合物中共溶剂相对于螯合剂的摩尔比范围为约10∶1至约3500∶1,更优选约300∶1至约1500∶1;共溶剂相对于表面活性剂的摩尔比范围为约300∶1至约7000∶1,更优选约300∶1至约1000∶1。
在本发明的广泛实践中,所述液体去除组合物可包括如下,或由如下组成,或基本由如下组成:至少一种共溶剂、至少一种螯合剂、至少一种表面活性剂和任选至少一种离子对试剂。一般而言,共溶剂、螯合剂、表面活性剂、和任选的离子对试剂彼此相对的具体比例和量可以合适地变化,以提供所述液体去除组合物对松散和离子注入光致抗蚀剂、蚀刻后残渣、和/或处理设备的期望去除作用,这在现有技术的范围内不需要过多的努力就可容易地确定。
例如,所述液体去除组合物可以包括甲醇、吡啶、吡啶:HF、和至少一种炔二醇表面活性剂,例如2,4,7,9-四甲基-5-癸炔-4,7-二醇。本领域技术人员将理解,所述液体去除组合物可以与稠密流体混合,以配制包括稠密流体、共溶剂、螯合剂和表面活性剂的稠密流体去除组合物。例如,所述液体去除组合物可以与SCCO2混合,以形成稠密流体去除组合物。
可以任选地用额外的成分配制本发明的去除组合物,以进一步增强所述组合物的去除能力,或以其它方式改善所述组合物的性质。因此,可以用稳定剂、络合剂、钝化剂如Cu钝化剂、和/或腐蚀抑制剂配制所述组合物,以改善金属相容性。
在另一方面,本发明涉及液体去除组合物,其包括至少一种共溶剂、至少一种螯合剂、至少一种离子对试剂和任选至少一种表面活性剂。在本发明的广泛实践中,所述液体去除组合物可包括如下,或由如下组成,或基本由如下组成:至少一种共溶剂、至少一种螯合剂、至少一种离子对试剂和任选至少一种表面活性剂。本领域技术人员将理解,所述液体去除组合物可以与稠密流体混合,以配制包括稠密流体、共溶剂、螯合剂、离子对试剂和任选的表面活性剂的稠密流体去除组合物。例如,所述液体去除组合物可以与SCCO2混合,以形成稠密流体去除组合物。
在又一个优选实施方案中,本发明的液体去除组合物包括至少一种共溶剂、至少一种螯合剂、任选至少一种离子对试剂、任选至少一种表面活性剂、和选自松散光致抗蚀剂、离子注入光致抗蚀剂、蚀刻后残渣及其组合的残渣材料。重要地,所述残渣材料可以溶于和/或悬浮于本发明的液体去除组合物中。类似地,本发明的液体去除组合物可以包括至少一种共溶剂、至少一种螯合剂、任选至少一种离子对试剂、任选至少一种表面活性剂、和选自B、P、As、In和Sb的至少一种掺杂剂离子,更优选包括至少一种共溶剂、至少一种表面活性剂、至少一种螯合剂:掺杂剂离子络合物、和任选至少一种离子对试剂。
在另一个优选实施方案中,本发明的稠密流体去除组合物包括稠密流体、至少一种共溶剂、至少一种螯合剂、任选至少一种离子对试剂、任选至少一种表面活性剂、和选自松散光致抗蚀剂、离子注入光致抗蚀剂、蚀刻后残渣及其组合的残渣材料。重要地,所述残渣材料可以溶于和/或悬浮于本发明的稠密流体去除组合物中。类似地,本发明的液体去除组合物可以包括稠密流体、至少一种共溶剂、至少一种螯合剂、任选至少一种离子对试剂、任选至少一种表面活性剂、和选自B、P、As、In和Sb的至少一种掺杂剂离子,更优选包括稠密流体、至少一种共溶剂、至少一种表面活性剂、至少一种螯合剂:掺杂剂离子络合物、和任选至少一种离子对试剂。
通过例如在混合容器或清洁容器中,在温和的搅拌下简单混合所述共溶剂、螯合剂、任选的离子对试剂和任选的表面活性剂,可以方便地配制本发明的液体去除组合物。所述共溶剂、螯合剂、任选的离子对试剂和任选的表面活性剂可以被方便地配制成单包装制剂或者在使用时混合的多部分制剂。可以在器械处或器械上游的贮存罐内混合所述多部分配方的单个部分。在本发明的广泛实践中,单包装制剂或多部分制剂的单个部分的浓度可以以具体倍数广泛变化,即更稀或更浓,并且将理解的是本发明的液体去除组合物可以不同或替换地包括符合本发明的成分的任何组合,或由它们组成,或基本由它们组成。通过在适当温度和压力下进行静态或动态混合,可以方便地配制本发明的稠密流体去除组合物。
因此,本发明的另一方面涉及试剂盒,其在一个或多个容器内包括适于形成本发明组合物的一种或多种成分。优选地,所述试剂盒在一个或多个容器内包括即时组合的至少一种共溶剂、至少一种螯合剂、任选至少一种离子对试剂和任选至少一种表面活性剂。根据另一个实施方案,所述试剂盒在一个或多个容器内包括与至少一种共溶剂即时组合的至少一种螯合剂、任选至少一种离子对试剂和任选至少一种表面活性剂。根据另一个实施方案,所述试剂盒在一个或多个容器内包括与稠密流体即时组合的至少一种螯合剂、至少一种共溶剂、任选至少一种离子对试剂和任选至少一种表面活性剂。在又一个实施方案中,所述试剂盒在一个或多个容器内包括与所述至少一种共溶剂和稠密流体即时组合的至少一种螯合剂、至少一种共溶剂、任选至少一种离子对试剂和任选至少一种表面活性剂。所述试剂盒的容器应为化学分级成可贮存和分配其中所含的成分。所述试剂盒的容器必需适合于贮存和运输所述液体去除组合物,例如NOWPak容器(AdvancedTechnology Materials,Inc.,Danbury,Conn.,USA)。
在又一个方面,本发明涉及使用本文所述的去除组合物,从密集图案化的微电子器件上除去松散和离子注入光致抗蚀剂和/或蚀刻后残渣的方法。例如,可以清洁图案化器件上的沟槽和通孔结构,同时保持下面含硅层的结构完整性,即基本不会过蚀刻。
通过将所需化学试剂的体积最小化,从而减少废物的数量,同时提供包含可回收成分如SCF的组合物和方法,本发明的稠密流体去除组合物克服了现有去除技术的缺点。本发明的液体去除组合物和稠密流体去除组合物两者均能有效地除去松散和离子注入抗蚀剂和/或蚀刻后残渣,而基本上不会过蚀刻下面的含硅层和金属互连材料。
一旦配制好,就将所述去除组合物施加到所述密集图案化的微电子器件表面上,与其上的光致抗蚀剂和/或残渣材料接触。
可以在适当的升高压力下施加所述稠密流体去除组合物,例如在以合适的体积速率和流量向其中供应SCF基组合物以实现所需接触操作的加压接触室内,以至少部分地从所述微电子器件表面上除去抗蚀剂和/或残渣。该室可以是用于连续、脉冲或静态清洁的批量或单晶片室。
在使待去除的松散和离子注入抗蚀剂和/或蚀刻后残渣材料与所述稠密流体去除组合物接触时,通过使用升高的温度和/或升高的压力条件,可以提高所述稠密流体去除组合物的去除效率。
可以在约1,500至约4,500psi、优选约3,000至约4,500psi的压力范围内,使用适当的稠密流体去除组合物与其上具有抗蚀剂的微电子器件表面接触足够的时间,以实现松散和离子注入光致抗蚀剂和/或蚀刻后残渣的所需去除,例如接触时间范围为约1分钟至约30分钟,温度为约35℃至约75℃,优选约60℃至约75℃,尽管当许可时,可以在本发明的广泛实践中有益地使用更大或更小的接触持续时间和温度。在优选实施方案中,所述接触温度和压力分别为约70℃和约3,800psi,和所述接触时间为约10分钟。
使用所述稠密流体组合物的去除工艺可以包括静态浸泡、动态接触模式、或连续处理步骤,该步骤包括使所述稠密流体去除组合物动态流过所述微电子器件表面,然后将所述器件静态浸泡在所述稠密流体去除组合物中,其中在所述交替步骤的周期中,交替和重复地进行各动态流动和静态浸泡步骤。
“动态”接触模式包括使所述组合物连续流过所述器件表面,以使传质梯度最大化并实现从表面上完全去除抗蚀剂和/或蚀刻后残渣。“静态浸泡”接触模式包括使所述器件表面与静态体积的所述组合物接触,并将其间的接触保持连续的(浸泡)时间段。
所述交替的动态流动/静态浸泡步骤在上述说明性实施方式中可以被连续地执行循环,包括如下顺序:2.5min-5min的动态流动,2.5min-5min的静态浸泡,例如在约3800psi和2.5min-5min的动态流动。
本领域技术人员将理解,所述接触模式可以是完全动态、完全静态,或促进至少部分地从所述微电子器件表面上除去松散和离子注入抗蚀剂和/或蚀刻后残渣所需的动态与静态步骤的任何组合。
在使所述稠密流体去除组合物与所述微电子器件表面接触后,然后优选漂洗所述器件,例如使用等份的SCF/甲醇(80%/20%)溶液,以从已实现抗蚀剂去除的器件表面区域上除去任何残余的沉淀化学添加剂。优选地,漂洗至少三次。在完成最后的漂洗循环后,可以将清洗容器迅速减压,例如在5秒减至0psi。然后可以在约1,500psi下,用纯SCF将所述清洗容器再充满约1分钟,以从所述器件表面上除去任何残余的甲醇和/或沉淀的化学添加剂,然后将容器减压至0psi。优选用纯CO2再充满/减压总共三次。优选地,用于洗涤的SCF是SCCO2
可以以任何合适的方式将液体流体去除组合物施加至其上具有松散和离子注入光致抗蚀剂和/或蚀刻后残渣材料的微电子器件表面上,例如通过将所述去除组合物喷雾到所述器件表面上,通过浸渍(在一定体积的所述去除组合物内)所述器件,通过使所述器件与用所述去除组合物饱和的另一种材料如垫、或纤维吸附剂涂敷元件接触,通过使包括所述材料的器件与循环的去除组合物接触,或通过任何其它合适的方式、手段或技术,通过这些技术使所述去除组合物与所述松散和离子注入光致抗蚀剂和/或蚀刻后残渣材料进行去除接触。
在将本发明的液体去除组合物用于从其上具有松散和离子注入光致抗蚀剂和/或蚀刻后残渣材料的微电子器件结构上除去所述材料时,典型地在约20℃至约100℃、优选约40℃至约60℃的温度范围内,使所述液体去除组合物与所述微电子器件结构接触约30秒至约45分钟,优选约1至30分钟。所述接触时间和温度是示例性的,可以使用能有效地从所述器件结构上基本上除去松散和离子注入光致抗蚀剂和/或蚀刻后残渣的任何其它合适的时间和温度条件。
在获得所需的去除作用后,将液体去除组合物从其之前所应用的微电子器件上迅速除去,例如通过漂洗、洗涤、或其它一步或多步除去步骤,在本发明组合物的给定终端用途中这可能是需要和有效的。例如,可以用去离子水漂涤所述微电子器件并用氮气干燥。
将理解的是,本发明去除组合物的具体接触条件可以在现有技术的范围内根据本文的描述而很容易地确定,而且在实现所需的从所述电子器件表面上去除光致抗蚀剂和/或蚀刻后残渣材料的同时,本发明组合物成分的具体比例和浓度可以宽泛地变化。
在本发明的范围内,所述液体去除组合物可以用于从所述微电子器件表面上去除光致抗蚀剂、CMP后残渣、和/或BARC层。此外,本发明的液体去除组合物可以用于从光掩模材料上去除染污材料以再次使用它。如本文中所用的,“CMP后残渣”对应于来自抛光浆的粒子、富碳粒子、抛光垫粒子、刷除卸载粒子、构造粒子的装备材料、铜、氧化铜、和作为CMP工艺副产物的任何其它材料。
本发明的又一方面涉及根据本发明的方法制备的改进微电子器件以及包含所述微电子器件的产品。
本发明的另一方面涉及制造包括微电子器件的制品的方法,所述方法包括使所述微电子器件与液体去除组合物接触足够的时间,以至少部分地从其上具有松散和离子注入光致抗蚀剂和/或蚀刻后光致抗蚀剂材料的微电子器件上除去所述材料,并将所述微电子器件加入到所述制品中,其中所述液体去除组合物包括至少一种共溶剂、至少一种螯合剂、任选至少一种离子对试剂和任选至少一种表面活性剂。
本发明的另一方面涉及制造包括微电子器件的制品的方法,所述方法包括使所述微电子器件与稠密流体去除组合物接触足够的时间,以至少部分地从其上具有松散和离子注入光致抗蚀剂和/或蚀刻后光致抗蚀剂材料的微电子器件上除去所述材料,并将所述微电子器件加入到所述制品中,其中所述稠密流体去除组合物包括稠密流体(优选SCCO2)、至少一种共溶剂、至少一种螯合剂、任选至少一种离子对试剂和任选至少一种表面活性剂。
通过下文描述的说明性实施例更全面展示本发明的特征和优点。
实施例1
将稀螯合剂(路易斯碱/HF加合物)(0.4g)与40mL共溶剂合并,以形成含有1w/v%氟源的组合物用于蚀刻速率研究。如下制备稀路易斯碱/HF加合物。使用市售路易斯碱,将市售路易斯碱/HF加合物,具体为吡啶/HF(1∶9)和三乙胺/HF(1∶3)稀释成1∶3、1∶1和3∶1(mol∶mol)。要制备吡啶/HF(1∶3),将52wt.%吡啶/HF(1∶9)和48wt.%无水吡啶合并。要制备吡啶/HF(1∶1),将27wt.%吡啶/HF(1∶9)和73wt.%无水吡啶合并。要制备吡啶/HF(3∶1),将11wt.%吡啶/HF(1∶9)和89wt.%无水吡啶合并。要制备三乙胺/HF(1∶1),将71wt.%三乙胺/HF(1∶3)和29wt.%无水三乙胺合并。要制备三乙胺/HF(3∶1),将44wt.%三乙胺/HF(1∶3)和56wt.%无水三乙胺合并。对于稀三乙胺/HF(1∶3)溶液,防止在用三乙胺稀释市售三乙胺/HF(1∶3)溶液时沉淀固体,用三乙胺和另一种溶剂如甲醇两者稀释市售的贮液。
通过将含硅材料(黑钻石2、TEOS、热氧化物、氮化硅和多晶硅)的空白晶片在50℃的去除组合物中浸泡高至10分钟,来进行蚀刻速率研究。所研究的共溶剂为甲醇、乙酸乙酯、DMSO和水。通过Nanospec确定含硅材料的蚀刻速率,下文表1报告了结果。
表1:黑钻石2、热氧化物、TEOS、氮化硅和多晶硅在50℃的本发明液体去除组合物中2分钟的蚀刻速率
  晶片材料 螯合剂   在时间=2min时在甲醇中的蚀刻速率/min-1 在时间=2min时在乙酸乙酯中的蚀刻速率/min-1    在时间=2min时在水中的蚀刻速率/ min-1 在时间=2min时在DMSO中的蚀刻速率/min-1
  黑钻石2 pyr/HF(1∶9)pyr/HF(3∶1)pyr/HF(1∶1)pyr/HF(1∶3)trieth/HF(3∶1)trieth/HF(1∶1)trieth/HF(1∶3)   5434155000 55920614071342717    43285017100 00001040
  热氧化物 pyr/HF(1∶9)pyr/HF(3∶1)pyr/HF(1∶1)pyr/HF(1∶3)trieth/HF(3∶1)trieth/HF(1∶1)trieth/HF(1∶3)     5145311732204     328227200155534237     255851071014011     00001200
  TEOS pyr/F(1∶9)pyr/HF(3∶1)pyr/HF(1∶1)pyr/HF(1∶3)trieth/HF(3∶1)trieth/HF(1∶1)trieth/HF(1∶3)     388207954470654     4682492652381569473     690292331626822517     6700221815
  Si3N4 pyr/HF(1∶9)pyr/HF(3∶1)pyr/HF(1∶1)pyr/HF(1∶3)trieth/HF(3∶1)trieth/HF(1∶1)trieth/HF(1∶3)     16843271431267     37622121952744640     48919632020713126     0200121110
  poly-Si pyr/HF(1∶9)pyr/HF(3∶1)pyr/HF(1∶1)pyr/HF(1∶3)trieth/HF(3∶1)trieth/HF(1∶1)trieth/HF(1∶3)     16106410100     211387374345     1013201160     0000000
参考表1,能够看出吡啶/HF溶液对所研究含硅材料(黑钻石2、TEOS、热氧化物、氮化硅和多晶硅)的蚀刻快于三乙胺/HF溶液。酸度和高[HF2 -]浓度对于蚀刻含硅材料很重要。结果是,当存在吡啶/HF溶液时蚀刻速率提高,因为吡啶(水中的pKa=5)是比三乙胺(水中的pKa=11)更强的酸。与所研究的稀溶液相比,市售吡啶/HF(1∶9)具有极高的蚀刻速率。因此,相对于下面的低k介电体、硬质掩模和含硅层,稀溶液具有更充分的潜能来选择性去除光致抗蚀剂、离子注入光致抗蚀剂、和蚀刻后残渣材料。
共溶剂对于所述含硅材料的蚀刻也具有作用。参考表1,发现蚀刻速率按照DMSO<<水~甲醇<乙酸乙酯的顺序增加。稀无水胺/HF(mol/mol)溶液的另一个趋势是材料的蚀刻速率按照1∶3<1∶1<3∶1的顺序增加。这可能是由于HF的去质子化作用随着无水胺浓度的增加而增加。
此外,观察到了相对于另一种材料而选择性蚀刻一种含硅材料,这取决于稀胺/HF比率。例如,图1显示使用吡啶/HF(1∶1)的甲醇溶液,能够以相对于其它物质的优良选择性溶解TEOS。图2显示使用吡啶/HF(1∶3)的乙酸乙酯溶液,能够以相对于其它物质的优良选择性溶解热氧化物和TEOS。图3和4显示使用三乙胺/HF(1∶1)的水溶液或吡啶/HF(3∶1)的水溶液,能够以相对于其它物质的优良选择性溶解氮化硅和TEOS。
实施例2
在该研究中检查的样品晶片是包括松散和离子注入光致抗蚀剂层的图案化硅晶片(参见图5A)。将本文上述的各种化学添加剂添加到所述稠密流体去除组合物中,评价所述组合物的去除效率。所述稠密流体去除组合物包括98.95wt.%SCCO2、1wt%甲醇和0.05wt.%吡啶/HF络合物(1∶1摩尔比)。在整个去除实验中,将SCF基去除组合物的温度保持在70℃。去除条件包括按本文上述在3,800psi下静态浸泡10分钟。在去除后,为了去除任何残余的溶剂和/或沉淀的化学添加剂,按本文所述,首先用大量SCCO2/甲醇仔细漂洗晶片,然后用大量纯SCCO2漂洗。图5B显示该实验的结果,如本文下面所述。
图5A是其上具有离子注入光致抗蚀剂的密集图案化基底在处理前的扫描电子显微图(60°角观察)。
图5B是图5A的密集图案化基底在用本发明的稠密流体去除组合物处理后的扫描电子显微图(60°角观察)。该显微图示意完全除去了碳化光致抗蚀剂硬壳,基本上没有过蚀刻下面的低k介电材料。
因此上述显微图证明了本发明稠密流体去除组合物用于从微电子器件表面上除去离子注入光致抗蚀剂的效能。因此,尽管本文已经参考本发明的具体方面、特征和说明性实施方案描述了本发明,但将理解的是本发明的用途不限于此,但应延伸至并包括许多其它方面、特征和实施方案。因此,下列权利要求书希望相对广泛地被理解,看作包括在其精神和范围内的所有这些方面、特征和实施方案。

Claims (42)

1.去除组合物,其包括至少一种共溶剂、至少一种螯合剂、任选至少一种离子对试剂、和任选至少一种表面活性剂,其中所述去除组合物适合从其上具有松散和离子注入光致抗蚀剂和/或蚀刻后残渣材料的微电子器件上除去所述材料。
2.权利要求1的去除组合物,其中所述去除组合物中共溶剂相对于螯合剂的摩尔比范围为约10∶1至约3500∶1。
3.权利要求1的去除组合物,其中所述共溶剂包括选自下列的至少一种溶剂:水、甲醇、乙醇、异丙醇、醚、N-甲基-吡咯烷酮、N-辛基-吡咯烷酮、N-苯基-吡咯烷酮、环丁砜、乙酸乙酯、烷烃、烯烃、至少部分氟化的烃、胺、苯酚、四氢呋喃、甲苯、二甲苯、环己烷、丙酮、二烷、二甲基甲酰胺、二甲亚砜、吡啶、三乙胺、乙腈、甘醇、丁基卡必醇、甲基卡必醇、己基卡必醇、单乙醇胺、丁内酯、二甘醇胺、四氢噻吩砜、二乙醚、乳酸乙酯、苯甲酸乙酯、乙二醇、二烷、吡啶、γ-丁内酯、碳酸亚丁酯、碳酸亚乙酯、碳酸亚丙酯及其混合物。
4.权利要求1的去除组合物,其中所述共溶剂包括甲醇。
5.权利要求1的去除组合物,其中所述螯合剂包括选自下列的螯合剂物质:1,1,1,5,5,5-六氟-2,4-戊二酮(hfacH)、1,1,1-三氟-2,4-戊二酮(tfacH)、2,2,6,6-四甲基-3,5-庚二酮(tmhdH)、乙酰丙酮(acacH)、吡啶、2-乙基吡啶、2-甲氧基吡啶、2-皮考啉、吡啶衍生物、哌啶、哌嗪、三乙醇胺、二甘醇胺、单乙醇胺、吡咯、异唑、1,2,4-三唑、联吡啶、嘧啶、吡嗪、哒嗪、喹啉、异喹啉、吲哚、和咪唑、三乙胺、氨、草酸酯、乙酸、甲酸、硫酸、柠檬酸、磷酸、乙酸丁酯、全氟丁烷磺酰氟、吡咯烷碳二硫醇酯、二硫代氨基甲酸二乙酯、二硫代氨基甲酸三氟乙酯、三氟甲磺酸酯、甲磺酸、内消旋-2,3-二巯基琥珀酸、2,3-二巯基-1-丙磺酸、2,3-二巯基-1-丙醇、2-甲硫基-2-噻唑啉、1,3-二硫戊环、环丁砜、全氟癸烷硫醇、1,4,7-三硫杂环壬烷、1,4,8,11-四硫杂环十四烷、1,5,9,13-四硒杂环十六烷、1,5,9,13,17,21-六硒杂环二十四烷、碘、溴、氯、三苯基膦、二苯基(五氟苯基)膦、双(五氟苯基)苯基膦、三(五氟苯基)膦、三(4-氟苯基)膦、1,2-双[双(五氟苯基)膦基]乙烷、1,2-双(二苯基膦基)乙烷、吡啶/HF络合物、吡啶/HCl络合物、吡啶/HBr络合物、三乙胺/HF络合物、三乙胺/HCl络合物、单乙醇胺/HF络合物、三乙醇胺/HF络合物、三乙胺/甲酸络合物及其组合。
6.权利要求1的去除组合物,其中所述螯合剂包括吡啶/HF络合物。
7.权利要求1的去除组合物,其中所述螯合剂包括三乙胺/HF络合物。
8.权利要求1的去除组合物,包括所述至少一种离子对试剂。
9.权利要求8的去除组合物,其中所述离子对试剂包括选自下列的盐:吡咯烷碳二硫醇盐、二乙基二硫代氨基甲酸盐、三氟甲磺酸盐、三氟乙基二硫代氨基甲酸盐、碘化钾、溴化钾、氯化钾、十六烷基四甲基硫酸铵、十六烷基四甲基溴化铵、十六烷基吡啶氯化物、四丁基溴化铵、二辛基磺基琥珀酸盐、2,3-二巯基-1-丙磺酸盐、及其组合。
10.权利要求1的去除组合物,包括所述至少一种表面活性剂。
11.权利要求10的去除组合物,其中所述表面活性剂包括选自下列的表面活性剂物质:氟烷基表面活性剂、2,4,7,9-四甲基-5-癸炔-4,7-二醇的乙氧基化物、烷基芳基聚醚、含氟表面活性剂、二辛基磺基琥珀酸盐、2,3-二巯基-1-丙磺酸盐、十二烷基苯磺酸、两性含氟聚合物、二壬基苯基聚氧乙烯、硅氧烷聚合物、改性的硅氧烷聚合物、炔二醇、改性的炔二醇、烷基铵盐、改性的烷基铵盐、十二烷基硫酸钠、气雾剂-OT(AOT)及其氟化类似物、烷基铵、全氟聚醚表面活性剂、2-磺基琥珀酸盐、磷酸盐基表面活性剂、硫基表面活性剂、乙酰乙酸基聚合物、及其组合。
12.权利要求10的去除组合物,其中所述表面活性剂包括炔二醇。
13.权利要求10的去除组合物,其中在所述去除组合物中的共溶剂相对于表面活性剂的摩尔比范围为约300∶1至约7000∶1。
14.权利要求1的去除组合物,其中所述螯合剂以有效地从其上具有松散和离子注入光致抗蚀剂和/或蚀刻后残渣材料的微电子器件上除去所述材料的量存在。
15.权利要求1的去除组合物,其中所述螯合剂与至少一种掺杂剂离子络合以形成螯合剂-掺杂剂离子络合物,其中所述掺杂剂离子包括选自下列的离子:砷离子、硼离子、磷离子、铟离子和锑离子。
16.权利要求15的去除组合物,包括甲醇、炔二醇和螯合剂-掺杂剂离子络合物。
17.权利要求16的去除组合物,其中所述螯合剂包括吡啶∶HF。
18.稠密流体去除组合物,包括稠密流体和权利要求1的去除组合物,其中所述稠密流体包括超临界二氧化碳(SCCO2)。
19.权利要求18的稠密流体去除组合物,其中所述组合物包括SCCO2、甲醇、炔二醇和螯合剂。
20.权利要求19的去除组合物,其中将所述螯合剂与至少一种选自下列的掺杂剂离子络合:砷离子、硼离子、磷离子、铟离子和锑离子。
21.权利要求1的去除组合物,其中所述微电子器件包括选自下列的制品:半导体基底、平板显示器和微电子机械系统(MEMS)。
22.权利要求1的去除组合物,其中所述松散和离子注入光致抗蚀剂材料包括选自下列的掺杂剂离子:砷离子、硼离子、磷离子、铟离子和锑离子。
23.权利要求1的去除组合物,还包括残渣材料,其选自松散光致抗蚀剂、离子注入光致抗蚀剂、蚀刻后残渣、及其组合。
24.权利要求18的稠密流体去除组合物,还包括残渣材料,其选自松散光致抗蚀剂、离子注入光致抗蚀剂、蚀刻后残渣、及其组合。
25.试剂盒,其在一个或多个容器内包括去除组合物试剂,其中所述去除组合物包括至少一种共溶剂、至少一种螯合剂、任选至少一种离子对试剂和任选至少一种表面活性剂,并且其中所述试剂盒适于形成如下去除组合物,该去除组合物适合从其上具有松散和离子注入光致抗蚀剂和/或蚀刻后残渣材料的微电子器件上除去所述材料。
26.从其上具有松散和离子注入光致抗蚀剂和/或蚀刻后残渣材料的微电子器件上除去所述材料的方法,所述方法包括使所述微电子器件与去除组合物接触足够的时间,以从所述微电子器件上至少部分地除去所述材料,其中所述去除组合物包括至少一种共溶剂、至少一种螯合剂、任选至少一种离子对试剂和任选至少一种表面活性剂。
27.权利要求26的方法,其中所述共溶剂包括至少一种选自下列的溶剂:水、甲醇、乙醇、异丙醇、醚、N-甲基-吡咯烷酮、N-辛基-吡咯烷酮、N-苯基-吡咯烷酮、环丁砜、乙酸乙酯、烷烃、烯烃、至少部分氟化的烃、胺、苯酚、四氢呋喃、甲苯、二甲苯、环己烷、丙酮、二烷、二甲基甲酰胺、二甲亚砜、吡啶、三乙胺、乙腈、甘醇、丁基卡必醇、甲基卡必醇、己基卡必醇、单乙醇胺、丁内酯、二甘醇胺、四氢噻吩砜、二乙醚、乳酸乙酯、苯甲酸乙酯、乙二醇、二烷、吡啶、γ-丁内酯、碳酸亚丁酯、碳酸亚乙酯、碳酸亚丙酯,及其混合物;和
其中所述螯合剂包括选自下列的螯合剂物质:1,1,1,5,5,5-六氟-2,4-戊二酮(hfacH)、1,1,1-三氟-2,4-戊二酮(tfacH)、2,2,6,6-四甲基-3,5-庚二酮(tmhdH)、乙酰丙酮(acacH)、吡啶、2-乙基吡啶、2-甲氧基吡啶、2-皮考啉、吡啶衍生物、哌啶、哌嗪、三乙醇胺、二甘醇胺、单乙醇胺、吡咯、异唑、1,2,4-三唑、联吡啶、嘧啶、吡嗪、哒嗪、喹啉、异喹啉、吲哚、和咪唑、三乙胺、氨、草酸酯、乙酸、甲酸、硫酸、柠檬酸、磷酸、乙酸丁酯、全氟丁烷磺酰氟、吡咯烷碳二硫醇酯、二硫代氨基甲酸二乙酯、二硫代氨基甲酸三氟乙酯、三氟甲磺酸酯、甲磺酸、内消旋-2,3-二巯基琥珀酸、2,3-二巯基-1-丙磺酸、2,3-二巯基-1-丙醇、2-甲硫基-2-噻唑啉、1,3-二硫戊环、环丁砜、全氟癸烷硫醇、1,4,7-三硫杂环壬烷、1,4,8,11-四硫杂环十四烷、1,5,9,1 3-四硒杂环十六烷、1,5,9,13,17,21-六硒杂环二十四烷、碘、溴、氯、三苯基膦、二苯基(五氟苯基)膦、双(五氟苯基)苯基膦、三(五氟苯基)膦、三(4-氟苯基)膦、1,2-双[双(五氟苯基)膦基]乙烷、1,2-双(二苯基膦基)乙烷、吡啶/HF络合物、吡啶/HCl络合物、吡啶/HBr络合物、三乙胺/HF络合物、三乙胺/HCl络合物、单乙醇胺/HF络合物、三乙醇胺/HF络合物、三乙胺/甲酸络合物、及其组合。
28.权利要求26的方法,其中所述微电子器件是选自下列的制品:半导体基底、平板显示器和微电子机械系统(MEMS)。
29.权利要求26的方法,其中所述松散和离子注入光致抗蚀剂材料包括选自下列的掺杂剂离子:砷离子、硼离子、磷离子、铟离子和锑离子。
30.权利要求26的方法,其中所述接触条件包括温度范围为约40℃至约60℃。
31.权利要求26的方法,其中所述接触时间范围为约1分钟至约30分钟。
32.权利要求26的方法,其中所述去除组合物还包括稠密流体。
33.权利要求32的方法,其中所述接触条件包括压力范围为约1500至约4,500psi。
34.权利要求32的方法,其中所述接触时间范围为约1分钟至约30分钟。
35.权利要求32的方法,其中所述接触条件包括温度范围为约40℃至约75℃。
36.权利要求32的方法,其中所述接触步骤包括如下循环,该循环包括(i)使所述去除组合物与其上具有松散和离子注入光致抗蚀剂和/或蚀刻后残渣的微电子器件动态流动接触,和(ii)使所述去除组合物与其上具有松散和离子注入光致抗蚀剂和/或蚀刻后残渣的微电子器件静态浸泡接触。
37.权利要求32的方法,其中所述循环包括对其上具有松散和离子注入光致抗蚀剂和/或蚀刻后残渣的微电子器件交替、重复地进行动态流动接触和静态浸泡接触。
38.权利要求32的方法,其中所述稠密流体包括超临界CO2
39.从其上具有松散和离子注入光致抗蚀剂和/或蚀刻后残渣材料的微电子器件上除去所述材料的方法,所述方法包括使所述微电子器件与稠密流体去除组合物接触足够的时间,以从所述微电子器件上至少部分地除去所述材料,其中所述稠密流体去除组合物包括稠密流体和液体去除浓缩物,其中所述去除浓缩物包括权利要求1的去除组合物。
40.制备权利要求39的稠密流体去除组合物的方法,所述方法包括动态混合所述稠密流体和所述液体去除组合物,以制备所述稠密流体去除组合物。
41.权利要求27的方法,其中将所述螯合剂与选自下列的至少一种掺杂剂离子络合:砷离子、硼离子、磷离子、铟离子和锑离子。
42.权利要求32的方法,其中将所述螯合剂与选自下列的至少一种掺杂剂离子络合:砷离子、硼离子、磷离子、铟离子和锑离子。
CNA2006800216419A 2005-04-15 2006-04-14 从微电子器件上清除离子注入光致抗蚀剂层的配方 Pending CN101198416A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US67215705P 2005-04-15 2005-04-15
US60/672,157 2005-04-15

Publications (1)

Publication Number Publication Date
CN101198416A true CN101198416A (zh) 2008-06-11

Family

ID=37115816

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2006800216419A Pending CN101198416A (zh) 2005-04-15 2006-04-14 从微电子器件上清除离子注入光致抗蚀剂层的配方

Country Status (7)

Country Link
US (1) US8114220B2 (zh)
EP (1) EP1879704A2 (zh)
JP (1) JP2008537343A (zh)
KR (1) KR20070120609A (zh)
CN (1) CN101198416A (zh)
TW (1) TW200700935A (zh)
WO (1) WO2006113621A2 (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102473638A (zh) * 2009-07-30 2012-05-23 巴斯夫欧洲公司 用于高级半导体应用的离子植入后剥离剂
CN102782184A (zh) * 2010-03-03 2012-11-14 乔治洛德方法研究和开发液化空气有限公司 用于金属化合物的清洁溶剂和清洁方法
CN103098179A (zh) * 2010-09-08 2013-05-08 三菱瓦斯化学株式会社 用于抑制微细结构体的图案倒塌的处理液和使用该处理液的微细结构体的制造方法
CN103098180A (zh) * 2010-09-08 2013-05-08 三菱瓦斯化学株式会社 用于抑制微细结构体的图案倒塌的处理液和使用该处理液的微细结构体的制造方法
CN104614954A (zh) * 2015-01-09 2015-05-13 苏州瑞红电子化学品有限公司 一种去除光刻胶的水系剥离液组合物
WO2016101333A1 (zh) * 2014-12-23 2016-06-30 郑玢 一种光阻残留物清洗液
CN106281789A (zh) * 2016-08-11 2017-01-04 江阴江化微电子材料股份有限公司 一种配线基板干刻后残渣清洗剂
CN106744703A (zh) * 2016-11-16 2017-05-31 太原理工大学 一种焦化硫膏的复合洗提剂及其制备方法
CN112424148A (zh) * 2018-07-23 2021-02-26 巴斯夫欧洲公司 取代2-噻唑啉作为硝化抑制剂的用途

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1673802A1 (en) * 2003-10-14 2006-06-28 EKC Technology, INC. REMOVAL OF POST ETCH RESIDUES AND COPPER CONTAMINATION FROM LOW-K DIELECTRICS USING SUPERCRITICAL CO sb 2 /sb WITH DIKETONE ADDITIVES
JP4988165B2 (ja) * 2005-03-11 2012-08-01 関東化学株式会社 フォトレジスト剥離液組成物及びフォトレジストの剥離方法
US20090301996A1 (en) * 2005-11-08 2009-12-10 Advanced Technology Materials, Inc. Formulations for removing cooper-containing post-etch residue from microelectronic devices
WO2007111694A2 (en) 2005-11-09 2007-10-04 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
TWI516573B (zh) * 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
US20080234162A1 (en) * 2007-03-21 2008-09-25 General Chemical Performance Products Llc Semiconductor etch residue remover and cleansing compositions
US20090029274A1 (en) * 2007-07-25 2009-01-29 3M Innovative Properties Company Method for removing contamination with fluorinated compositions
US20100261632A1 (en) * 2007-08-02 2010-10-14 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of residue from a microelectronic device
WO2009087492A1 (en) 2008-01-09 2009-07-16 Freescale Semiconductor, Inc. Semiconductor processing method
GB0804055D0 (en) * 2008-03-04 2008-04-09 Univ Nottingham Trent Cleaning method
TWI591158B (zh) * 2008-03-07 2017-07-11 恩特葛瑞斯股份有限公司 非選擇性氧化物蝕刻濕清潔組合物及使用方法
CN201219685Y (zh) * 2008-04-16 2009-04-15 韩广民 组装结构产品及庭院椅
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
US8961701B2 (en) 2008-09-24 2015-02-24 Lam Research Corporation Method and system of drying a microelectronic topography
CA2740027A1 (en) 2008-10-09 2010-04-15 Avantor Performance Materials, Inc. Aqueous acidic formulations for copper oxide etch residue removal and prevention of copper electrodeposition
US9620410B1 (en) 2009-01-20 2017-04-11 Lam Research Corporation Methods for preventing precipitation of etch byproducts during an etch process and/or subsequent rinse process
US20100184301A1 (en) * 2009-01-20 2010-07-22 Lam Research Methods for Preventing Precipitation of Etch Byproducts During an Etch Process and/or Subsequent Rinse Process
JP5622752B2 (ja) 2009-02-25 2014-11-12 アバントールパフォーマンス マテリアルズ, インコーポレイテッドJ T Baker Incorporated 半導体デバイスウェハーからイオン注入フォトレジストを洗浄するためのストリッピング組成物
KR101627392B1 (ko) * 2009-10-02 2016-06-03 미츠비시 가스 가가쿠 가부시키가이샤 금속 미세 구조체의 패턴 도괴 억제용 처리액 및 이것을 이용한 금속 미세 구조체의 제조 방법
SG181642A1 (en) * 2009-12-11 2012-07-30 Advanced Tech Materials Removal of masking material
WO2011109811A2 (en) * 2010-03-05 2011-09-09 Alta Devices, Inc. Substrate clean solution for copper contamination removal
US20110253171A1 (en) * 2010-04-15 2011-10-20 John Moore Chemical Composition and Methods for Removing Epoxy-Based Photoimageable Coatings Utilized In Microelectronic Fabrication
TW201716588A (zh) 2010-08-20 2017-05-16 恩特葛瑞斯股份有限公司 從電子廢棄物再生貴金屬和卑金屬之永續製程
US9416338B2 (en) 2010-10-13 2016-08-16 Advanced Technology Materials, Inc. Composition for and method of suppressing titanium nitride corrosion
US9678430B2 (en) 2012-05-18 2017-06-13 Entegris, Inc. Composition and process for stripping photoresist from a surface including titanium nitride
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
US8853081B2 (en) * 2012-12-27 2014-10-07 Intermolecular, Inc. High dose ion-implanted photoresist removal using organic solvent and transition metal mixtures
SG11201507014RA (en) 2013-03-04 2015-10-29 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
KR102338550B1 (ko) 2013-06-06 2021-12-14 엔테그리스, 아이엔씨. 질화 티타늄의 선택적인 에칭을 위한 조성물 및 방법
CN105431506A (zh) 2013-07-31 2016-03-23 高级技术材料公司 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂
EP3039098B1 (en) 2013-08-30 2020-09-30 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
CN105849245B (zh) 2013-10-21 2020-03-13 富士胶片电子材料美国有限公司 用于去除表面上残余物的清洗调配物
KR101964901B1 (ko) 2013-12-06 2019-04-02 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 표면 잔류물 제거용 세정 제형
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
US20160322232A1 (en) 2013-12-20 2016-11-03 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
US20160319444A1 (en) 2013-12-20 2016-11-03 Greene Lyon Group, Inc. Method and apparatus for recovery of noble metals, including recovery of noble metals from plated and/or filled scrap
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
KR102156059B1 (ko) * 2014-02-04 2020-09-15 엘지전자 주식회사 태양 전지의 제조 방법
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
WO2016210051A1 (en) 2015-06-24 2016-12-29 Greene Lyon Group, Inc. Selective removal of noble metals using acidic fluids, including fluids containing nitrate ions
TWI595332B (zh) * 2014-08-05 2017-08-11 頎邦科技股份有限公司 光阻剝離方法
US10510851B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance contact method and structure
JP7073655B2 (ja) * 2017-09-19 2022-05-24 荒川化学工業株式会社 洗浄剤組成物原液、及び該洗浄剤組成物原液を含む洗浄剤組成物
TWI803551B (zh) * 2017-12-27 2023-06-01 日商東京應化工業股份有限公司 去除基板上之有機系硬化膜之方法,及酸性洗淨液
EP3774680A4 (en) 2018-03-28 2021-05-19 FUJIFILM Electronic Materials U.S.A, Inc. CLEANING COMPOSITIONS
WO2022070969A1 (ja) * 2020-09-30 2022-04-07 株式会社フジミインコーポレーテッド 酸化ガリウム基板用洗浄剤

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7205265B2 (en) 1990-11-05 2007-04-17 Ekc Technology, Inc. Cleaning compositions and methods of use thereof
US6149828A (en) 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US6500605B1 (en) 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
US6596093B2 (en) 2001-02-15 2003-07-22 Micell Technologies, Inc. Methods for cleaning microelectronic structures with cyclical phase modulation
US6613157B2 (en) 2001-02-15 2003-09-02 Micell Technologies, Inc. Methods for removing particles from microelectronic structures
US6602351B2 (en) 2001-02-15 2003-08-05 Micell Technologies, Inc. Methods for the control of contaminants following carbon dioxide cleaning of microelectronic structures
US6641678B2 (en) 2001-02-15 2003-11-04 Micell Technologies, Inc. Methods for cleaning microelectronic structures with aqueous carbon dioxide systems
US6764551B2 (en) 2001-10-05 2004-07-20 International Business Machines Corporation Process for removing dopant ions from a substrate
US7557073B2 (en) 2001-12-31 2009-07-07 Advanced Technology Materials, Inc. Non-fluoride containing supercritical fluid composition for removal of ion-implant photoresist
US7326673B2 (en) 2001-12-31 2008-02-05 Advanced Technology Materials, Inc. Treatment of semiconductor substrates using long-chain organothiols or long-chain acetates
US6943142B2 (en) * 2002-01-09 2005-09-13 Air Products And Chemicals, Inc. Aqueous stripping and cleaning composition
US6764552B1 (en) 2002-04-18 2004-07-20 Novellus Systems, Inc. Supercritical solutions for cleaning photoresist and post-etch residue from low-k materials
US6669785B2 (en) 2002-05-15 2003-12-30 Micell Technologies, Inc. Methods and compositions for etch cleaning microelectronic substrates in carbon dioxide
US6756084B2 (en) 2002-05-28 2004-06-29 Battelle Memorial Institute Electrostatic deposition of particles generated from rapid expansion of supercritical fluid solutions
US6780475B2 (en) 2002-05-28 2004-08-24 Battelle Memorial Institute Electrostatic deposition of particles generated from rapid expansion of supercritical fluid solutions
US6749902B2 (en) 2002-05-28 2004-06-15 Battelle Memorial Institute Methods for producing films using supercritical fluid
US6905556B1 (en) * 2002-07-23 2005-06-14 Novellus Systems, Inc. Method and apparatus for using surfactants in supercritical fluid processing of wafers
US7223352B2 (en) * 2002-10-31 2007-05-29 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US6943139B2 (en) * 2002-10-31 2005-09-13 Advanced Technology Materials, Inc. Removal of particle contamination on patterned silicon/silicon dioxide using supercritical carbon dioxide/chemical formulations
US6989358B2 (en) 2002-10-31 2006-01-24 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for removal of photoresists
US7485611B2 (en) 2002-10-31 2009-02-03 Advanced Technology Materials, Inc. Supercritical fluid-based cleaning compositions and methods
US6735978B1 (en) * 2003-02-11 2004-05-18 Advanced Technology Materials, Inc. Treatment of supercritical fluid utilized in semiconductor manufacturing applications
US7119052B2 (en) * 2003-06-24 2006-10-10 Advanced Technology Materials, Inc. Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers
JP2007513522A (ja) * 2003-12-01 2007-05-24 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 超臨界流体/化学調合物を用いたmems犠牲層の除去
US20050118832A1 (en) 2003-12-01 2005-06-02 Korzenski Michael B. Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
US7553803B2 (en) 2004-03-01 2009-06-30 Advanced Technology Materials, Inc. Enhancement of silicon-containing particulate material removal using supercritical fluid-based compositions
US20050227482A1 (en) 2004-03-24 2005-10-13 Korzenski Michael B Composition useful for removal of bottom anti-reflection coatings from patterned ion-implanted photoresist wafers
US7384871B2 (en) * 2004-07-01 2008-06-10 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing compositions and methods relating thereto

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102473638B (zh) * 2009-07-30 2015-02-18 巴斯夫欧洲公司 用于高级半导体应用的离子植入后剥离剂
CN102473638A (zh) * 2009-07-30 2012-05-23 巴斯夫欧洲公司 用于高级半导体应用的离子植入后剥离剂
CN102782184A (zh) * 2010-03-03 2012-11-14 乔治洛德方法研究和开发液化空气有限公司 用于金属化合物的清洁溶剂和清洁方法
CN103098180B (zh) * 2010-09-08 2016-03-30 三菱瓦斯化学株式会社 用于抑制微细结构体的图案倒塌的处理液和使用该处理液的微细结构体的制造方法
CN103098180A (zh) * 2010-09-08 2013-05-08 三菱瓦斯化学株式会社 用于抑制微细结构体的图案倒塌的处理液和使用该处理液的微细结构体的制造方法
CN103098179A (zh) * 2010-09-08 2013-05-08 三菱瓦斯化学株式会社 用于抑制微细结构体的图案倒塌的处理液和使用该处理液的微细结构体的制造方法
CN103098179B (zh) * 2010-09-08 2016-12-07 三菱瓦斯化学株式会社 用于抑制微细结构体的图案倒塌的处理液和使用该处理液的微细结构体的制造方法
WO2016101333A1 (zh) * 2014-12-23 2016-06-30 郑玢 一种光阻残留物清洗液
CN104614954A (zh) * 2015-01-09 2015-05-13 苏州瑞红电子化学品有限公司 一种去除光刻胶的水系剥离液组合物
CN106281789A (zh) * 2016-08-11 2017-01-04 江阴江化微电子材料股份有限公司 一种配线基板干刻后残渣清洗剂
CN106281789B (zh) * 2016-08-11 2018-10-26 江阴江化微电子材料股份有限公司 一种配线基板干刻后残渣清洗剂
CN106744703A (zh) * 2016-11-16 2017-05-31 太原理工大学 一种焦化硫膏的复合洗提剂及其制备方法
CN112424148A (zh) * 2018-07-23 2021-02-26 巴斯夫欧洲公司 取代2-噻唑啉作为硝化抑制剂的用途
CN112424148B (zh) * 2018-07-23 2023-08-11 巴斯夫欧洲公司 取代2-噻唑啉作为硝化抑制剂的用途

Also Published As

Publication number Publication date
JP2008537343A (ja) 2008-09-11
US20080269096A1 (en) 2008-10-30
US8114220B2 (en) 2012-02-14
WO2006113621A3 (en) 2007-03-01
EP1879704A2 (en) 2008-01-23
KR20070120609A (ko) 2007-12-24
TW200700935A (en) 2007-01-01
WO2006113621A2 (en) 2006-10-26

Similar Documents

Publication Publication Date Title
CN101198416A (zh) 从微电子器件上清除离子注入光致抗蚀剂层的配方
CN105739251B (zh) 具有高wn/w蚀刻选择性的剥离组合物
EP1572833B1 (en) Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US7273060B2 (en) Methods for chemically treating a substrate using foam technology
US6669785B2 (en) Methods and compositions for etch cleaning microelectronic substrates in carbon dioxide
US20090301996A1 (en) Formulations for removing cooper-containing post-etch residue from microelectronic devices
US20090192065A1 (en) Dense fluid compositions for removal of hardened photoresist, post-etch residue and/or bottom anti-reflective coating
TW201542772A (zh) 蝕刻組成物
KR20100123757A (ko) 비-선택적 산화물 에칭용 습윤 세정 조성물 및 사용 방법
KR20080050488A (ko) 농축 유체/화학 조성물을 이용하여 패턴화 실리콘/실리콘이산화물 상의 입자 오염물을 제거하는 방법
KR20080072905A (ko) 표면에 저유전 물질이 있는 반도체 웨이퍼를 재생하기 위한조성물 및 방법
US6858124B2 (en) Methods for polishing and/or cleaning copper interconnects and/or film and compositions therefor
KR102283745B1 (ko) 반도체 소자의 제조 동안 질화티탄에 비해 질화탄탈을 선택적으로 제거하기 위한 에칭액
KR102352475B1 (ko) 이온-주입된 레지스트의 제거를 위한 비-산화성 강산의 용도
KR100593668B1 (ko) 세정액 조성물 및 이를 이용한 반도체 장치의 세정방법
TWI743026B (zh) 無胺之化學機械研磨後(post cmp)組成物及其使用方法
KR102173490B1 (ko) 비-수성 텅스텐 상용성 금속 질화물 선택적 에칭제 및 세정제
KR20210041584A (ko) 표면 처리 조성물과 방법
Yerriboina et al. Particle Removal by Surfactants During Semiconductor Cleaning
KR20230022266A (ko) 우월한 기판 상용성 및 특출한 배스 안정성을 갖는 산성 반-수성 플루오라이드 활성화 반사방지 코팅 세정제
TW201842148A (zh) 表面處理方法及用於該方法的組成物

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20080611