KR20230022266A - 우월한 기판 상용성 및 특출한 배스 안정성을 갖는 산성 반-수성 플루오라이드 활성화 반사방지 코팅 세정제 - Google Patents

우월한 기판 상용성 및 특출한 배스 안정성을 갖는 산성 반-수성 플루오라이드 활성화 반사방지 코팅 세정제 Download PDF

Info

Publication number
KR20230022266A
KR20230022266A KR1020237003825A KR20237003825A KR20230022266A KR 20230022266 A KR20230022266 A KR 20230022266A KR 1020237003825 A KR1020237003825 A KR 1020237003825A KR 20237003825 A KR20237003825 A KR 20237003825A KR 20230022266 A KR20230022266 A KR 20230022266A
Authority
KR
South Korea
Prior art keywords
cleaning
fluoride
compatibility
cleaners
present
Prior art date
Application number
KR1020237003825A
Other languages
English (en)
Inventor
첸-핀 셔먼 슈
추-헝 웨이드 웨이
칭-슈안 릴리안 탕
흐시앙 치 양
Original Assignee
아반토르 퍼포먼스 머티리얼스, 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 아반토르 퍼포먼스 머티리얼스, 엘엘씨 filed Critical 아반토르 퍼포먼스 머티리얼스, 엘엘씨
Publication of KR20230022266A publication Critical patent/KR20230022266A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Abstract

본원에는 그로 인하여 고도로 효과적인 ARC 제거, 세정 능력, 및 광범위하게 다양한 재료에 대한 우월한 상용성을 갖는 신규한 산성 플루오라이드 활성화 세정 화학물질이 제공된다. 본 발명에는, 바람직하지 못한 표면 변형이 없고 탁월한 기판 상용성, pH 안정성, 배스 안정성을 제공하면서, FEOL, BEOL 및 FPD 적용에서 ARC 제거, PR 스트립핑, 에칭/애싱 잔류물 세정 및 CMP 잔류물 제거를 제공하는 조성물이 기재되어 있다.

Description

우월한 기판 상용성 및 특출한 배스 안정성을 갖는 산성 반-수성 플루오라이드 활성화 반사방지 코팅 세정제 {ACIDIC SEMI-AQUEOUS FLUORIDE ACTIVATED ANTI-RELECTIVE COATING CLEANERS WITH SUPERIOR SUBSTRATE COMPATIBILITIES AND EXCEPTIONAL BATH STABILITY}
본 발명은 마이크로전자 세정 조성물, 및 이러한 세정 조성물의 마이크로전자 장치 세정 방법에서의, 특히 더 큰 기판 및 금속화물 상용성을 갖는 반사방지 코팅 (ARC) 제거 및 잔류 세정 조성물을 위한 용도에 관한 것이다.
마이크로- 및 나노-전자 장치 생산에서의 최근의 진전으로 인해 라인 전단 (FEOL: front end of the line) 및 라인 후단 (BEOL: back end of the line) 둘 다의 스트립핑 또는 세정 능력을 갖는 신규 스트립핑 및 세정 조성물이 필요하게 되었다. 지금까지 전형적으로 사용된 세정 조성물은 마이크로전자 또는 나노전자 플랫폼 생산에서 사용되는 신규 재료로는 적합하지 않은 것으로 밝혀졌다. 이전에 사용된 스트립핑 또는 세정 조성물은 지나치게 공격적이고/거나 충분히 선택적이지 못하다. 상기와 같은 더 새로운 마이크로전자 또는 나노전자 장치를 생산하는데 사용되는 새롭게 이용된 재료 중에는 저-k (<3) 및 고-k (>20) 및 다공성 유전체, 구리 금속화물, 플루오로중합체 반사방지 코팅 (ARC), 특수 하드 마스크, 예컨대 Ti 및 TiN으로 구성된 것들, Si/Ge 또는 Ge의 스트레인드 웨이퍼, 및 금속 캡핑 층, 예컨대 CoWP 및 CoWB의 것들과 같은 재료가 있다. 이들 신규 재료는 장치 제조자에게 새롭고 어려운 난점을 제시한다.
예를 들어, Cu/저-k 구조물의 세정은 우수한 세정 능력을 필요로 할 뿐만 아니라, 특출한 기판 상용성을 갖는 용액을 필요로 한다. Al/SiO2 또는 Al(Cu)/SiO2 구조물을 함유하는 전통적 또는 통상적 반도체 장치를 위해 개발된 많은 공정 기술은 Cu/저-k 및 고-k 구조물에 적용될 수 없다. 그 반대의 경우도 마찬가지로서, 많은 Cu/저-k 스트립퍼는 유의한 조절이 이루어지지 않는 한, Al 금속화물에 적합하지 않다.
Cu/저-k 및/또는 고-k 구조물의 제조 공정은, 독특하게 경화된 포토레지스트 층, 힘든 플라즈마 에칭 및/또는 애싱 잔류물을 흔히 생성한다. 심지어는 고도로 공격적인 시약, 예컨대 HF 산, 히드록실아민 및 강알칼리성 용액도 종종, 허용되는 기판 상용성과 함께 적합한 세정을 제공하지 못한다.
플루오라이드 또는 HF 기반 수성 용액은 전통적인 FEOL 및 BEOL 에칭제 및 세정 작용제로서 광범위하게 사용되어 왔다. 흔히, 이들 유형의 세정제는 산화물 에칭제 또는 애싱 잔류물 제거제로서 개발된다. 예를 들어, 희석된 HF (dHF) 용액 및 완충된 산화물 에치 (BOE, HF/NH4F/H2O로 이루어짐)는 효과적인 산화물 (산화규소) 제거제이고 제한된 잔류물 세정제이지만, 일반적으로 포토레지스트의 스트립핑에는 효과적이지 못하다.
플루오라이드 또는 HF를 함유하는 여러 유기 용매-기반 또는 반-수성 용액은 또한 많은 BEOL 적용에서 사용되어 왔다. 그러나, 이들 제품의 대부분은 플라즈마 경화 포토레지스트 및 ARC의 제거와 같은 다목적 적용에는 아직 약하다. 그것들은 또한 경우에 따라서는 지나치게 공격적이거나, 충분히 선택적이지 못하거나, 또는 새로운 도전적인 유형의 재료, 예컨대 저-k 및 고-k 및 다공성 유전체, 구리 금속화물, 플루오로중합체 반사방지 코팅 (ARC), 특수 하드 금속 게이트, 예컨대 Ti 및 TiN의 것들, Si/Ge 또는 Ge의 스트레인드 웨이퍼, 및 금속 캡핑 층, 예컨대 CoWP 및 CoWB의 것들을 사용하는 진보된 FEOL 및 BEOL 적용을 위해 새롭게 고도로 요구되는 기판 상용성 및 선택성 요건을 충족시킬 수 없다. 따라서, 더 새로운 마이크로전자 및 나노전자 장치 상에서 사용되는 상기와 같은 신규 재료와 관련하여 다목적 적용을 위해 신규의 개선된 스트립핑 또는 세정 조성물이 필요하다.
점점 작아지고 있는 마이크로프로세서, 메모리 셀 및 기타 반도체 장치를 생성하기 위해, 핵심 전략 중 하나는 멀티게이트 트랜지스터를 제작하는 것이다. 통상의 평면형 다중 게이트 트랜지스터 외에, 비평면형 이중 게이트 (예를 들어, FinFET), 또는 트리-게이트가 개발된 바 있다. 흔히, 고-k 재료 및 금속 게이트는 또한 이러한 진보된 기술 (예컨대, 14 nm 노드)에서 사용된다. 재료/기판의 나열은 광범위하다: Al, Cu, W, Ti, TiN, TaN, Nb, RuO2, Mo, LaOx, AlOx, HfSiON, COSi2, WSi2, SiN, SiON, TEOS, 폴리Si, SiGe, Ge, 및 그의 조합 합금 및/또는 부가물. 다양한, 흔히는 초박형 금속 게이트 (MG), 일 함수 금속 (WF), 및 고-k (HK)의 두께 제어는 중요하다. 초박형 필름 (예를 들어, 10 옹스트롬 WF 필름)에 대한 폭넓은 매우 높은 상용성 및 인에이블링 (다양한 PR 및 잔류물에 대한 높은 세정 능력)을 갖는 세정 화학물질을 도입하는 것과 연관된 큰 난점이 존재한다. 예를 들어, 1 옹스트롬의 TiN 정도로 낮은 에칭 속도는 경우에 따라서는 지나치게 높아 사용불가능할 수 있다. 현행 또는 전통적 습식 세정 화학물질은 상기와 같은 종류의 상용성 요구조건을 더 이상 충족시킬 수 없다. 포토레지스트, 반사방지 코팅 (ARC), 잔류 WF 금속, 및 다양한 플라즈마 에칭 또는 애싱 잔류물을 선택적으로 세정할 수 있는 우월한 기판 상용성을 갖는 신규한 인에이블링 세정 화학물질이 필요하다.
플루오라이드 활성화 (기반) 세정 화학물질은 일반적으로 산성 pH 조건에서 훨씬 더 효과적으로 작용한다. 그러나, 산성 플루오라이드 화학물질, 특히 HF-함유 세정제는 금속화물의 큰 제한 및 여러 기판과 비상용성이라는 결점을 갖는다. 예를 들어, 25℃에서 200:1 DHF의 에칭 속도: Al, >550 /min, TEOS, >30 /min; 35℃에서 200:1 DHF, Al, >2,000 /min, TEOS, >140 /min. 35℃에서 심지어 고도로 희석된 600:1 DHF도 Al, >750 /min. 플루오라이드 염을 함유하는 다른 플루오라이드 기반 세정제는 또한 세정 능력 또는 기판 상용성이 엄격하게 제한된다: 중성 또는 염기성 pH에서는, 세정 능력이 일반적으로 상당히 약하고, 세정될 수 있는 선택된 유형의 잔류물만으로 제한되며; 산성 pH에서는, 암모늄 플루오라이드 함유 세정제가 흔히 불량한 구리 상용성을 갖고; 알킬암모늄 플루오라이드 함유 세정제가 일반적으로 불량한 알루미늄 상용성을 가짐. 또한, 대부분의 플루오라이드 기반 세정제는 다양한 중요한 마이크로전자 재료, 예컨대 TEOS, SiN 및 저-k와 불량한 상용성을 나타낸다.
반사방지 코팅 (ARC) 재료는 진보된 마이크로전자 장치의 제작에서 점점더 사용되고 있다. 한 인기 있는 ARC는 규소-함유 바닥 반사방지 코팅 (SiBARC)이다. 그러나, 플루오라이드 활성화 화학물질에 기반한 ARC 제거제는 흔히, 중요한 금속화물 (예를 들어, Al, Cu, W, Ti, TiN, TaN) 및 기판 (예를 들어, TEOS, SiN, 고-k, 저-k)에 대한 제한적인 에칭 손상 및 효율적인 SiBARC 제거의 제공 간에 심각한 선택성 문제의 결점을 갖는다. 몇몇 산성 플루오라이드 세정 화학물질은 더 우수한 상용성을 제공함으로써 개선을 나타내었다. 그러나, 그들 중 어느 것도 16 nm 또는 그 미만과 같은 반도체에서의 진보된 기술 노드에서 고도로 요구되는 요건을 충족시키는 수준의 상용성을 나타낼 수 없었다. 특수하지만 중요한 적용에서, Al 금속화물을 손상시키지 않고 Al2O3 두께를 최적화하면 명백한 및 중요한 성능이 제공된다. 아울러, 매우 염격한 상용성과 함께 "Al 산화물 유사", "Ti 산화물 유사" 잔류물 또는 에칭된/애싱된 반사방지 코팅의 선택적 제거와 같은 특수 세정 필요성은 큰 기술 난점을 제기한다. 공지된 화학물질 중 어느 것도 모든 이와 같은 난점/필요성을 동시에 충족시키지 못하였다.
희석된 플루오린화수소산 (DHF)은 폭넓은 마이크로전자 적용에서 특유의 효과적인 세정제이다. 그러나, 진보된 FEOL 및 BEOL 세정은 DHF보다 훨씬 다양화된 기판 및 금속화물 상용성을 요구한다. 또한, PR (포토레지스트) 스트립핑과 같은 기타 능력 또한 매우 유익하다. 따라서, 신규 세정 화학물질이 필요하다.
플루오라이드-기반 세정제는 과거에 다양한 세정 필요성으로 널리 이용되었지만, 상이한 감도를 갖는 신규 재료, 합금 및 복합체의 사용으로 인해 많은 신규 적용에서 그의 사용이 배제되어 왔다. 플루오라이드 함유 제형은, 예를 들어, 산성 pH에서 산화규소를 에칭하는 것으로 널리 공지되어 있으며, 통상적으로 산화규소 에칭제로서 사용된다. 널리 공지된 예는 HF-기반 산화규소 에칭제, 예컨대 완충 산화물 에칭제 (BOE)이며, 이는 다양한 비의 HF 및 NH4F의 수성 용액을 포함한다. 그러나, 그의 TEOS에 대한 ARC 또는 SiBARC 제거의 선택성은 일반적으로 매우 불량하다. 많은 이전의 개시내용에는 약산성 pH (pH > 6), 중성 또는 바람직하게는 알칼리성 pH 조건이 사용되어 상기와 같은 상용성 문제가 억제된다. 그러나, ARC/SiBARC 제거 능력 및 세정 능력은 상기와 같은 pH 조건에서 크게 감소한다. 한 예는 미국 특허 7,399,365 B2이다. 여기에는 6.5 내지 8의 바람직한 pH 범위를 사용하는 것이 교시되어 있다.
관련 기술에는 구별 없이 활성화 종으로서 플루오라이드 공급원을 제공하는 것을 비롯하여, 상기 문제를 해결하려는 시도가 포함되어 있다. 예를 들어, 미국 특허 번호 6,777,380에는, 플루오린화수소산, 암모늄 플루오라이드, 테트라메틸암모늄 플루오라이드, 암모늄 비플루오라이드 등의 플루오라이드 공급원을 갖는 세정제가 포함되어 있다. 그러나, 이들 예는 현행 적용에 필요한 폭넓은 상용성, 또는 또한 필요한 선택성 증진을 제공하지 못한다.
따라서, 5 이하의 바람직한 pH 범위를 가져 ARC/SiBARC 에칭의 높은 세정 능력을 제공하는 산성 ARC 제거제/세정제가 필요하다. 추가로, Al, Cu, W, Ti, TiN, TaN, TEOS에 대한 높은 상용성/낮은 에칭 속도를 비롯하여, 많은 중요한 금속화물 및 기판에 대한 폭넓은 상용성 및 높은 선택성을 달성할 수 있는 세정 조성물이 필요하다.
따라서, 본원에는 고도로 효과적인 ARC 제거, 세정 능력, 및 광범위하게 다양한 재료에 대한 우월한 상용성을 갖는 신규한 산성 플루오라이드 활성화 세정 화학물질이 제공된다. 본 발명에는, 바람직하지 못한 표면 변형이 없고 탁월한 기판 상용성, pH 안정성, 배스 안정성을 제공하면서, FEOL, BEOL 및 FPD 적용에서 ARC 제거, PR 스트립핑, 에칭/애싱 잔류물 세정 및 CMP 잔류물 제거를 제공하는 조성물이 기재되어 있다.
본원에는 마이크로전자 적용을 위한 세정 조성물이 제공된다. 세정 조성물은 약 0.05 중량% 내지 약 5.0 중량%의 비플루오라이드 화합물, 약 0.01 중량% 내지 약 5 중량%의 pH-안정화 상용성 인핸서, 약 5 중량% 내지 약 90 중량%의 유기 용매, 및 약 5% 내지 약 90%의 물을 포함한다.
또 다른 실시양태에서, 세정 조성물은 약 0.05 중량% 내지 약 1.0 중량%의 비플루오라이드 화합물, 약 0.05 중량% 내지 약 3 중량%의 pH-안정화 상용성 인핸서, 약 10 중량% 내지 약 70 중량%의 유기 용매, 및 약 10% 내지 약 50%의 물을 포함한다.
또 다른 실시양태에서, 세정 조성물은 약 0.1 중량% 내지 약 0.5 중량%의 비플루오라이드 화합물, 약 0.1 중량% 내지 약 1.0 중량%의 pH-안정화 상용성 인핸서, 약 20 중량% 내지 약 60 중량%의 유기 용매, 및 약 20% 내지 약 40%의 물을 포함한다.
또 다른 실시양태에서, 세정 조성물은 5.5 이하의 pH를 갖는다. 실시양태에서, 세정 조성물은 5.0 이하의 pH를 갖고, 또 다른 실시양태에서, 조성물은 4.5 이하의 pH를 갖는다.
또 다른 실시양태에서, 세정 조성물은 알콜, 알콜-에테르, 및 에테르로부터 선택된 공용매를 추가로 포함한다. 실시양태에서, 비플루오라이드 화합물은 암모늄 비플루오라이드, 알킬암모늄 비플루오라이드, 칼륨 비플루오라이드, 및 알칼리 금속 비플루오라이드의 군으로부터 선택될 수 있다. 실시양태에서, 비플루오라이드 화합물은 관련 기술분야에 공지된 임의의 많은 상업적으로 입수가능한 비플루오라이드 화합물로부터 선택된다.
실시양태에서, pH-안정화 상용성 인핸서는 다양성자 산, 또는 그의 염이다. 실시양태에서, pH-안정화 상용성 인핸서는 시트르산, 일염기성 암모늄 시트레이트, 이염기성 암모늄 시트레이트, 삼염기성 암모늄 시트레이트, 인산, 일염기성 암모늄 포스페이트, 이염기성 암모늄 포스페이트, 삼염기성 암모늄 포스페이트, 아스코르브산, 일염기성 암모늄 아스코르베이트, 이염기성 암모늄 아스코르베이트, 및 그의 혼합물의 군으로부터 선택된다.
실시양태에서, 세정 조성물의 유기 용매는 술피드 또는 아미드이다. 또 다른 실시양태에서, 유기 용매는 디메틸 술폭시드, N-메틸 피롤리돈 (NMP), N-에틸 피롤리돈 (NEP), N-(2-히드록시에틸)-2-피롤리돈 (HEP), 디메틸 2-피페리돈 (DMPD), 디메틸 아세트아미드, 포름아미드, 및 그의 혼합물로부터 선택된다.
또 다른 실시양태에서, 본 발명의 세정 조성물은 적어도 또 다른 플루오라이드 공급원을 추가로 포함한다. 실시양태에서, 적어도 또 다른 플루오라이드 공급원은 플루오라이드 염이다. 또 다른 실시양태에서, 세정 조성물은 부식 제어제를 추가로 포함한다. 실시양태에서, 부식 제어제는 관련 기술분야에 공지된 상업적으로 입수가능한 제어제의 군으로부터 선택된다. 또 다른 실시양태에서, 세정 조성물은 계면활성제를 추가로 포함한다. 계면활성제는 관련 기술분야에 공지된 상업적으로 입수가능한 계면활성제의 군으로부터 선택될 수 있다.
또 다른 실시양태에서, 본 발명은, 마이크로전자 재료를 약 0.05 중량% 내지 약 5.0 중량%의 비플루오라이드 화합물, 약 0.01 중량% 내지 약 5 중량%의 pH-안정화 상용성 인핸서, 약 5 중량% 내지 약 90 중량%의 유기 용매, 및 약 5% 내지 약 90%의 물을 포함하는 세정 조성물과 접촉시키는 것을 포함하는, 마이크로전자 재료의 세정 방법을 포함한다.
다른 및 추가의 대상 및 이점과 함께 본 발명에 대한 이해의 증진을 위해, 첨부된 실시예와 함께 하기 상세한 설명을 참조할 수 있고, 본 발명의 범주는 첨부된 특허청구범위에 언급될 것이다. 하기 상세한 설명은 본 발명의 범주를 상기 기술된 이점에 의해 제한하도록 의도되지 않는다.
본 발명은 산성 플루오라이드 기반 화학물질을 반사방지 코팅 (ARC) 제거제로서 사용하는 어려운 난점을 해결하여, 폭넓은 우월한 금속화물 및 기판 상용성을 동시에 제공한다. 이는 또한, 우월한 희석된 플루오린화수소산 (DHF) 대체물로서 간주될 수 있다. 이는 많은 다른 진보된 라인 전단 (FEOL) 및 라인 후단 (BEOL) 적용에 있어서 중요한 세정을 제공할 수 있다. 아울러, 본 발명은 28 nm 미만 기술의 고-k/멀티게이트 및 고-k/금속 게이트 장치 제작을 위해 고도로 요구되는 폭넓고 엄격한 상용성 요건에 대한 인에이블링 습식 세정 용액을 제공한다.
현행 세정 기술은 폭넓고 긴 나열의 금속화물 및 기판 재료에 대해 상기와 같은 엄격한 제어 또는 상용성 (낮은 에칭 속도)을 좀처럼 나타내지 않는다. 특수 적용의 경우, 본 발명은 성능 증진에 중요한 알루미늄 산화물 두께의 특유한 1-단계 최적화를 제공한다.
산성 반-수성 조성물은 적어도 (A) 1차 플루오라이드-활성화제로서의 비플루오라이드 화합물 (HF2 -), (B) 다중 pKa 값을 갖는 "pH-안정화 상용성 인핸서", (C) "금속 상용성 증진" 유기 용매, 및 (D) 물을 포함한다.
pH (10% 희석된 수성)는 5.5 이하, 보다 바람직하게는 5.0 이하, 가장 바람직하게는 4.5 이하이어야 한다. 임의적 성분은 다른 플루오라이드 염, 아민, 부식 제어제 및 부식 억제 공용매를 포함한다. pH-안정화 상용성 인핸서는 시트르산, 인산, 시트레이트 및 포스페이트로부터 선택될 수 있다. 유기 용매는 술피드, 아미드, 알콜 및 에테르로부터 선택된다. 조성물은 경화된 규소 함유 ARC 재료를 제거하는 능력을 갖고, Al, Cu, W, TiN, SiN, TaN 등을 포함하는 폭넓은 상용성을 갖는다. 바람직하게는, 그것들은 또한 선택된 적용에서 TEOS, 저-k 및 고-k 재료와 허용되는 상용성을 나타낸다.
본원에 사용되는 "비플루오라이드 화합물" 또는 "비플루오라이드"란 2개의 플루오린 원자를 갖는 화합물을 지칭한다. 일부 실시양태에서, 비플루오라이드 화합물은 수소 원자를 추가로 포함하고, 염 형태로 존재한다. 비플루오라이드는 또한 디플루오로하이드로게나이드, 및 디플루오로하이드로게네이트, 또는 히드로겐(디플루오라이드)로서 공지되어 있다. 그것들은 또한 화학식 HF2 -를 갖는 무기 음이온으로서 나타내어질 수 있다. 일부 경우, 비플루오라이드와 같은 음이온에서 플루오로하이드로게네이트 기 (-HF-)는 재조합에 의해 양성자를 흡수할 수 있다:
Figure pat00001
이와 같은 양성자 (H+)의 포획으로 인해, 비플루오라이드는 염기성 특징을 갖는다. 그의 짝산은 반응성 중간체 μ-플루오로-플루오로디히드로겐 (H2F2)이며, 이는 후속적으로 해리되어 플루오린화수소가 된다. 용액 중에서, 대부분의 비플루오라이드 이온은 해리된다.
비플루오라이드는 염기성일 수 있기 때문에, 본 발명의 조성물은 조성물에 산성 성질을 유지하도록 pH-상용성 인핸서를 사용한다. 본원에 사용되는 용어 "pH-안정화 상용성 인핸서"란 용액의 pH를 작동가능한 수준으로, 가장 특히 5.5 이하의 pH로 조절할 수 있는 임의의 화합물을 지칭한다.
본원에 사용되는 "유기 용매"란 성질이 비-금속성인 1종 이상의 다른 물질을 용해 또는 분산시킬 수 있는 물질을 지칭한다. 전형적으로 액체 형태로 사용되는 유기 용매는 전형적으로 탄화수소 또는 관련 물질이다. 유기 용매는 통상 낮은 비점을 갖고, 용이하게 증발되거나 또는 증류에 의해 제거될 수 있어, 용해된 물질을 남긴다. 따라서, 용매는 용해된 화합물과 화학적으로 반응하지 않아야 하며, 불활성이어야 한다. 적합한 다양한 유기 용매 중에는 알콜, 폴리히드록시 알콜, 예컨대 글리세롤, 글리콜, 글리콜 에테르, 알킬-피롤리디논, 예컨대 N-메틸피롤리디논 (NMP), 1-히드록시알킬-2-피롤리디논, 예컨대 1-(2-히드록시에틸)-2-피롤리디논 (HEP), 디메틸포름아미드 (DMF), 디메틸아세트아미드 (DMAc), 술폴란 또는 디메틸술폭시드 (DMSO)가 있다. 이들 용매는 금속, 특히, 추가의 알루미늄 및/또는 알루미늄-합금 부식 억제가 요망되는 경우 알루미늄 또는 알루미늄 합금 부식 속도를 감소시키고 세정 조성물의 공격성을 제한하기 위해 첨가될 수 있다. 바람직한 수용성 유기 용매는 폴리히드록시 알콜, 예컨대 글리세롤, N-메틸피롤리디논 및/또는 1-히드록시알킬-2-피롤리디논, 예컨대 1-(2-히드록시에틸)-2-피롤리디논 (HEP)이다. 이러한 유기 용매는 조성물의 중량 기준으로 0 중량% 내지 약 50 중량%의 양으로, 바람직하게는 약 5 중량% 내지 약 50 중량%의 양으로, 보다 바람직하게는 약 10 중량% 내지 약 50 중량%의 양으로 사용될 수 있다.
이들 조성물은 바람직한 포맷의 비플루오라이드, 금속화물 및 유전체 상용성 증진 매트릭스를 사용한다. 그것들은 특출한 선택성 및 상용성과 독특한 ARC 제거 능력을 제공한다. 그것들은 또한, 민감한 Al, Cu, 고-k 및 저-k, 다공성 저-k 기판을 포함한 진보된 FEOL 및 BEOL 마이크로전자 및 나노전자 구조물을 세정하는데 사용될 수 있다. 그것들은 반사방지 코팅 (ARC)의 제거, 포토레지스트의 스트립핑, 에칭/애싱 잔류물의 제거가 가능하다.
상기한 화학적 조성물은 고도의 비-수성 내지 반-수성 용액 또는 슬러리로서 제형화될 수 있다. 그것들은 침착된 중합체의 제거, ARC 및 포토레지스트의 스트립핑, 플라즈마 공정에 기인한 유기, 유기금속 및 무기 화합물로부터의 잔류물의 세정, 평탄화 공정, 예컨대 화학적 기계적 연마로부터의 잔류물의 세정, 및 평탄화 슬러리/액체 중의 첨가제로서의 용도에서 사용될 수 있다.
많은 플루오라이드 기반 세정제가 개발된 바 있고, 이는 과거 및 현행 기술을 위한 다양한 세정 필요성에 알맞다. 그러나, 신규 마이크로전자 적용에서, 흔히 기존 및 신규 재료, 합금 및 복합체가 현재 동일한 장치 설계 및 제조 시 사용된다. 따라서, 세정 용액은 많은 기판과 고도로 상용성이어야 한다. 예를 들어, 세정제는 Al, Cu, W, TiN, SiN, TaN 및 TEOS 모두와 동시에 상용성일 필요가 있을 수 있다. 허용되는 손실은 공정 동안 10 Å 이하로 제한될 수 있다. 특정의 라인 전단 (FEOL) 적용의 경우, 일부 허용되는 재료 손실은 1-2 Å 또는 그 미만일 수 있다. 따라서, 기존 기술 요건에 허용되고 "상용성"인 것으로 간주될 수 있었던 이전에 개발된 화학물질이 미래 기술 요건에는 더 이상 허용되지 않는다. 그의 사용은 심각한 신뢰성 문제 또는 불리한 성능 문제로 이어질 수 있다. 본원에 기재된 발명은 신규한 혁신적 설계 및 화학물질로 모든 상기한 난점을 해결한다.
이전에 언급한 바와 같이, 중성 또는 알칼리성 pH의 플루오라이드 기반 화학물질은 일반적으로 세정 능력이 약하다. 산성 pH에서, 본 발명은, 대부분의 플루오라이드-기반 세정제와 관련된 최신 기술과 달리, 1차 플루오라이드 종으로서 비플루오라이드를 사용하는 것을 제공한다.
비플루오라이드를 사용함으로써, 본 발명은 모든 다른 플루오라이드에 비해 우월성을 제공하며, 이는 개발 또는 제형화하기가 어렵다. 본 발명의 비플루오라이드 조성물은 광범위한 금속화물 재료 및 기판과 폭넓은 상용성을 제공한다. HF 기반 세정제는 일반적으로 Al 상용성이 아니다. 암모늄 플루오라이드 기반 세정제는 높은 Cu 에칭 속도를 갖는다. 알킬암모늄 플루오라이드 기반 세정제는 심각한 Al 부식 문제를 야기한다.
양성자의 제거 또는 첨가로, 비플루오라이드 기반 화학물질은 하기 제안된 평형을 통해 특수하게 설계된 용매 매트릭스 중 최적의 HF 농도를 제공하도록 조절될 수 있다:
Figure pat00002
본 조성물에서, 비플루오라이드는 1차 플루오라이드 종으로서 사용된다. 실시양태에서, 비플루오라이드는 조성물 중의 단독 플루오라이드 종이다. 많은 다른 특허는 단지 1차 종으로서 임의의 HF 또는 플루오라이드 종을 폭넓게 포함하고 사용할 수 있다. 이와 같은 관행은 흔히 심각한 상용성 문제를 초래한다. 따라서, 이들 특허는 어떠한 유용한 교시내용도 제공하지 못한다. 본 발명은 pH (10% 희석된 수성)가 5.5 이하, 보다 바람직하게는 5.0 이하, 가장 바람직하게는 4.5 이하여야 함을 조건으로서 지정한다. 본 발명은 다른 플루오라이드 종 (예를 들어, 플루오라이드 염 또는 HF)을 함유할 수도 있으나, 소량의 공동-첨가제 중 하나로서 사용되어야 한다.
부가적으로 본 발명의 조성물은 다중 pKa 값을 갖는 pH-안정화 상용성 및 선택성 인핸서를 사용하는 것을 포함한다. 본 발명자들은 SiN, Al과 같은 여러 중요한 기판에 대한 예상치 못한 상용성 증진을 제공하는데 있어서 다중 pKa 값을 갖는 선택된 화합물의 놀라운 효과를 발견 및 확립하였다. 비교 실시예에서, 이들 특유의 인핸서가 없는 조성물은 염격한 상용성 요건을 충족시킬 수 없어; 그의 사용은 심각한 또는 불리한 성능 문제로 이어질 수 있다. 많은 플루오라이드-기반 세정제 (예를 들어, NH4F-기반)의 pH 안정성은 불량한 pH 안정성을 갖는 것으로 공지되어 있다. 본 발명의 특유의 인핸서를 사용하면 탁월한 pH 안정성 및 연장된 배스 수명을 제공하는데 도움이 된다.
광범위한 pH 범위에 걸친 완충 능력. 본 발명은 개선된 완충 능력을 가져, 세정제에 첨가된/직면한 산 또는 염기의 효과를 최소화시킨다. 본 발명에는 특정 화합물의 선택이 다중 pKa 값을 가져야 한다고 기재되어 있다. 그것들은 다양성자 산 및 그의 염, 예컨대 시트르산, 일염기성 암모늄 시트레이트, 이염기성 암모늄 시트레이트, 삼염기성 암모늄 시트레이트, 인산, 일염기성 암모늄 포스페이트, 이염기성 암모늄 포스페이트, 삼염기성 암모늄 포스페이트, 아스코르브산, 일염기성 암모늄 아스코르베이트, 이염기성 암모늄 아스코르베이트를 포함한다.
산 해리 상수 Ka (산도 상수, 또는 산-이온화 상수로도 공지됨)는 용액 중의 산의 강도의 정량적 척도이다. 이는 산-염기 반응에 관련해서 해리로서 공지된 화학적 반응에 대한 평형 상수이다. Ka 값이 클수록, 용액 중 분자가 더 많이 해리되어 산이 더 강해진다. 산 해리의 평형은 상징적으로 다음과 같이 표현될 수 있다:
Figure pat00003
여기서, HA는 A- (산의 짝염기로서 공지됨) 및 양성자 H+ (수성 용액의 경우, 히드로늄 이온, 예를 들어 용매화된 양성자로서 존재함)로 분할됨으로써 해리되는 일반 산이다.
해리 상수는 통상 평형 농도 (mol/L)의 몫으로서 표현되며, [HA], [A-] 및 [H+]로 표기된다:
Figure pat00004
Ka 값이 차지하는 많은 자릿수로 인해, 실제로 산 해리 상수의 로그 척도가 보다 통상적으로 사용된다. 로그 상수 pKa (-log10 Ka와 동일함)는 경우에 따라서는 산 해리 상수라고도 지칭된다:
Figure pat00005
pKa 값이 클수록, 임의의 주어진 pH에서 해리 정도가 작아진다 (즉, 산이 약해짐). 약산은 예를 들어 물 중에서 -2 내지 12의 근사 범위의 pKa 값을 갖는다. 약 -2 미만의 pKa 값을 갖는 산을 강산이라고 한다. 강산은 수성 용액 중에서 거의 완전히, 비-해리된 산의 농도가 검출불가능해지는 정도로 해리된다. 그러나, 강산에 대한 pKa 값은, 해리 상수가 더 작은 비-수성 용매, 예컨대 아세토니트릴 및 디메틸술폭시드에서 측정치로부터 외삽에 의해 또는 이론적 수단에 의해 산정될 수 있다.
폭넓은 및 염격한 금속화물 및 기판 상용성 요건을 충족시키기 위해, 선택된 유기 용매 매트릭스만이 사용될 수 있다. 놀랍게도 현저한 용매 효과가 발견되었다. 바람직한 실시양태에서, "금속 상용성 증진" 유기 용매는 술피드 및 아미드를 포함하며, 이는 1차 용매로서 존재한다. 그것들은 또한 더 높은 PR 스트립핑 능력을 제공한다. 부가적으로, 알콜, 알콜-에테르 및 에테르가 사용될 수도 있으며, 이는 바람직하게는 소량의 공용매로서 사용된다.
또 다른 실시양태에서, 물은 용매이다. 용매 매트릭스는 반-수성 시스템일 수 있다. 실시양태에서, 물 함량은 5% 이하이다. 또 다른 실시양태에서, 물 함량은 중량 기준으로 20% 이상이다. 수분-무함유 및 저 수분 세정제와 비교하여, 반-수성 용매 매트릭스 및 플루오라이드의 조합은 흔히 심각한 금속 상용성 문제를 초래한다. 본 발명자들은 선택된 플루오라이드 종, 특유의 상용성 인핸서 및 상용성 증진 유기 용매의 신규한 사용으로 상기와 같은 난점을 해결하였다.
본 발명의 조성물은 또한 i) ARC 및 기판 간에, 또는 ii) ARC 및 금속화물 간에 신규한 선택성을 제공한다. 본원에 개시된 화학물질은 기판 (예를 들어, 산화규소, TEOS 또는 질화규소)의 유의한 에칭/손상 또는 금속화물 (예를 들어, Al, Cu, W, Ti, TiN, TaN)의 에칭/손상 없이, 규소-함유 바닥 반사방지 코팅 (SiBARC), 예컨대 허니웰(Honeywell)로부터의 DUO 248 재료의 선택적 에칭/제거를 제공할 수 있다.
산성 pH의 플루오라이드는 더 높은 반응성 및 세정 능력을 갖는 것으로 공지되어 있다. 그러나 그것은 또한 규소 기반 재료, 예컨대 TEOS를 공격한다. 흔히, 그것은 심지어 더 내구성의 규소 기반 재료, 예컨대 질화규소도 TEOS보다는 낮은 에칭 속도로 에칭한다. 진보된 적용의 경우에는, 심지어 5-10 Å/min와 같은 더 낮은 SiN 에칭 속도도 흔히는 허용불가능하다. 본 발명은 규소 기반 ARC 및 다른 규소 기반 기판 간에 신규한 에칭 선택성을 제공한다.
또한, 산성 플루오라이드 기반 화학물질, 특히 HF 함유 제형은 광범위하게 다양한 금속화물과 동시에 폭넓은 상용성을 가질 수 없는 것으로 공지되어 있다. 본 발명은 금속화물 (예를 들어, Al, Cu, W, Ti, TiN, TaN) 및 기판 (예를 들어, TEOS, SiN, 고-k, 저-k)과의 특유의 폭넓은 상용성을 제공한다. 몇몇 산성 플루오라이드 세정 화학물질은 더 우수한 상용성을 제공함으로써 개선을 나타내었다. 그러나, 선행 기술 중 어느 것도 16 nm 또는 그 미만와 같은 반도체에서의 진보된 기술 노드에서 고도로 요구되는 요건을 충족시키는 수준의 상용성을 나타낼 수 없었다.
본 발명의 조성물은 또한 임의의 적합한 수용성 양쪽이온성, 비-이온성, 양이온성 또는 음이온성 계면활성제를 함유할 수 있다. 계면활성제의 첨가는 제형의 표면 장력을 감소시킬 것이고, 세정하고자 하는 표면의 습윤을 개선시켜 조성물의 세정 작용을 개선시킬 것이다. 계면활성제는 또한, 추가의 알루미늄 부식 억제가 요망되는 경우 알루미늄 부식 속도를 감소시키기 위해 첨가될 수 있다.
본 발명의 조성물에 유용한 양쪽이온성 계면활성제는 베타인 및 술포베타인, 예컨대 알킬 베타인, 아미도알킬 베타인, 알킬 술포베타인 및 아미도알킬 술포베타인; 아미노카르복실산 유도체, 예컨대 암포글리시네이트, 암포프로피오네이트, 암포디글리시네이트, 및 암포디프로피오네이트; 이미노이산, 예컨대 알콕시알킬 이미노이산 또는 알콕시알킬 이미노이산; 아민 옥시드, 예컨대 알킬 아민 옥시드 및 알킬아미도 알킬아민 옥시드; 플루오로알킬 술포네이트 및 플루오린화 알킬 양쪽이온성 물질; 및 그의 혼합물을 포함한다.
바람직하게는, 양쪽이온성 계면활성제는 코코아미도프로필 베타인, 코코아미도프로필 디메틸 베타인, 코코아미도프로필 히드록시 술타인, 카프릴로암포디프로피오네이트, 코코아미도디프로피오네이트, 코코암포프로피오네이트, 코코암포히드록시에틸 프로피오네이트, 이소데실옥시프로필이미노 디프로피온산, 라우릴이미노 디프로피오네이트, 코코아미도프로필아민 옥시드 및 코코아민 옥시드 및 플루오린화 알킬 양쪽이온성 물질이다. 본 발명의 조성물에 유용한 비-이온성 계면활성제는 아세틸렌계 디올, 에톡실화 아세틸렌계 디올, 플루오린화 알킬 알콕실레이트, 플루오린화 알킬에스테르, 플루오린화 폴리옥시에틸렌 알칸올, 다가 알콜의 지방족 산 에스테르, 폴리옥시에틸렌 모노알킬 에테르, 폴리옥시에틸렌 디올, 실록산 유형 계면활성제, 및 알킬렌 글리콜 모노알킬 에테르를 포함한다. 바람직하게는, 비-이온성 계면활성제는 아세틸렌계 디올 또는 에톡실화 아세틸렌계 디올이다. 본 발명의 조성물에 유용한 음이온성 계면활성제는 카르복실레이트, N-아실사르코시네이트, 술포네이트, 술페이트, 및 오르토인산의 모노 및 디에스테르, 예컨대 데실 포스페이트를 포함한다. 바람직하게는, 음이온성 계면활성제는 금속-무함유 계면활성제이다. 본 발명의 조성물에 유용한 양이온성 계면활성제는 아민 에톡실레이트, 디알킬디메틸암모늄 염, 디알킬모르폴리늄 염, 알킬벤질디메틸암모늄 염, 알킬트리메틸암모늄 염, 및 알킬피리디늄 염을 포함한다. 바람직하게는, 양이온성 계면활성제는 할로겐-무함유 계면활성제이다. 특히 적합한 계면활성제의 예는 3,5-디메틸-1-헥신-3-올 (술피놀-61), 에톡실화 2,4,7,9-테트라메틸-5-데신-4,7-디올 (술피놀-465), 폴리테트라플루오로에틸렌 세톡시프로필베타인 (조닐(Zonyl) FSK), 조닐 FSH, 트리톤 X-100, 즉, 옥틸페녹시폴리에톡시에탄올 등을 포함하나 이에 제한되지는 않는다. 계면활성제는 일반적으로 조성물의 중량 기준으로 0 내지 약 5 wt%, 바람직하게는 0.001 내지 약 3 wt%의 양으로 존재할 것이다.
실시예
본 발명을 추가로 예시하나, 하기 대표적 실시예에 의해 제한되지 않으며, 이는 본 발명을 예시하도록 의도되고, 그로 제한되는 것으로 간주되어서는 안된다.
중량 백분율로 제시된 성분
Figure pat00006
부가적으로, 하기 실시예는 또한 아래 열거된 성분을 포함한다:
실시예 7 0.2 중량% MTES
실시예 11 0.2 중량% 폴리디메틸실록산
실시예 12 0.5 중량% 폴리디메틸실록산
실시예 14 0.2 중량% 폴리디메틸실록산
실시예 16 0.2 중량% 5MBZT
실시예 18 59.25 중량% N-(2-히드록시에틸)-2-피롤리돈 (HEP)
실시예 19 59.15 중량% N-(2-히드록시에틸)-2-피롤리돈 (HEP)
하기 표에는 상기 열거된 실시예를 통해 예시된 바와 같은 본 발명의 조성물의 특성이 예시되어 있다.
표 I
광범위한 금속화물 상용성 에칭 속도를 갖는 ARC 제거제는 옹스트롬/분의 단위임
Figure pat00007
Figure pat00008
표 II
우월한 상용성을 갖는 세정 화학물질
(20 nm 미만의 고-k/멀티게이트/금속 게이트 기술에 중요한 세정에 대한 높은 잠재력)
Figure pat00009
CDO 저-k: k 값이 2.4-2.5 범위임
표 III
우수한 pH 및 에칭 속도 안정성을 나타내는 배스 수명 연구
Figure pat00010
표 IV
우수한 pH 및 에칭 속도 안정성을 나타내는 배스 수명 연구
Figure pat00011
표 V
우수한 pH 및 에칭 속도 안정성을 나타내는 배스 수명 연구
Figure pat00012
표 VI
Figure pat00013
표 VII - 추가 실시예
중량 백분율로 제시된 성분
Figure pat00014
시험에서, 실시예 30-35는 표 II - VI에 나타낸 모든 카테고리에서 다른 실시예와 유사하게 수행하였다. 따라서, 본원에서 본 발명의 바람직한 실시양태인 것으로 생각되는 것이 기재되어 있지만, 관련 기술분야의 통상의 기술자라면 본 발명의 취지로부터 벗어나지 않고 그에 대해 변화 및 변형이 이루어질 수 있으며, 모든 이러한 변화 및 변형은 본 발명의 진정한 범주 내에 속하는 것으로 청구하도록 의도됨을 인지할 것이다.

Claims (1)

  1. 세정 조성물의 마이크로전자 적용을 위한 용도.
KR1020237003825A 2015-10-02 2016-09-29 우월한 기판 상용성 및 특출한 배스 안정성을 갖는 산성 반-수성 플루오라이드 활성화 반사방지 코팅 세정제 KR20230022266A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562236355P 2015-10-02 2015-10-02
US62/236,355 2015-10-02
KR1020207014631A KR20200059326A (ko) 2015-10-02 2016-09-29 우월한 기판 상용성 및 특출한 배스 안정성을 갖는 산성 반-수성 플루오라이드 활성화 반사방지 코팅 세정제
PCT/US2016/054411 WO2017059051A1 (en) 2015-10-02 2016-09-29 Acidic semi-aqueous fluoride activated anti-relective coating cleaners with superior substrate compatibilities and exceptional bath stability

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020207014631A Division KR20200059326A (ko) 2015-10-02 2016-09-29 우월한 기판 상용성 및 특출한 배스 안정성을 갖는 산성 반-수성 플루오라이드 활성화 반사방지 코팅 세정제

Publications (1)

Publication Number Publication Date
KR20230022266A true KR20230022266A (ko) 2023-02-14

Family

ID=58424675

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020237003825A KR20230022266A (ko) 2015-10-02 2016-09-29 우월한 기판 상용성 및 특출한 배스 안정성을 갖는 산성 반-수성 플루오라이드 활성화 반사방지 코팅 세정제
KR1020187011893A KR20180124830A (ko) 2015-10-02 2016-09-29 우월한 기판 상용성 및 특출한 배스 안정성을 갖는 산성 반-수성 플루오라이드 활성화 반사방지 코팅 세정제
KR1020207014631A KR20200059326A (ko) 2015-10-02 2016-09-29 우월한 기판 상용성 및 특출한 배스 안정성을 갖는 산성 반-수성 플루오라이드 활성화 반사방지 코팅 세정제

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020187011893A KR20180124830A (ko) 2015-10-02 2016-09-29 우월한 기판 상용성 및 특출한 배스 안정성을 갖는 산성 반-수성 플루오라이드 활성화 반사방지 코팅 세정제
KR1020207014631A KR20200059326A (ko) 2015-10-02 2016-09-29 우월한 기판 상용성 및 특출한 배스 안정성을 갖는 산성 반-수성 플루오라이드 활성화 반사방지 코팅 세정제

Country Status (4)

Country Link
KR (3) KR20230022266A (ko)
CN (1) CN109153914A (ko)
TW (1) TWI784933B (ko)
WO (1) WO2017059051A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10870799B2 (en) * 2017-08-25 2020-12-22 Versum Materials Us, Llc Etching solution for selectively removing tantalum nitride over titanium nitride during manufacture of a semiconductor device

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4508591A (en) * 1984-03-08 1985-04-02 Hewlett-Packard Company Polymethyl methacrylate compatible silicon dioxide complexing agent
US5320709A (en) * 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
JP2857042B2 (ja) * 1993-10-19 1999-02-10 新日本製鐵株式会社 シリコン半導体およびシリコン酸化物の洗浄液
WO2002004233A1 (en) * 2000-07-10 2002-01-17 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductor devices

Also Published As

Publication number Publication date
WO2017059051A1 (en) 2017-04-06
CN109153914A (zh) 2019-01-04
KR20200059326A (ko) 2020-05-28
KR20180124830A (ko) 2018-11-21
TW201730326A (zh) 2017-09-01
TWI784933B (zh) 2022-12-01

Similar Documents

Publication Publication Date Title
EP3447791B1 (en) Etching solution for selectively removing silicon-germanium alloy from a silicon-germanium/ silicon stack during manufacture of a semiconductor device
KR102396018B1 (ko) 반도체 디바이스의 제조 과정에서 규소-게르마늄/규소 스택으로부터 규소 및 규소-게르마늄 합금을 동시 제거하기 위한 에칭 용액
KR102285003B1 (ko) TiN 하드 마스크 제거 및 에칭 잔류물 세정용 조성물
US8114220B2 (en) Formulations for cleaning ion-implanted photoresist layers from microelectronic devices
KR101912400B1 (ko) TiN 하드 마스크 및 에치 잔류물 제거
US7479474B2 (en) Reducing oxide loss when using fluoride chemistries to remove post-etch residues in semiconductor processing
US7192910B2 (en) Cleaning solutions and etchants and methods for using same
US20060073998A1 (en) Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US20110117751A1 (en) Non-selective oxide etch wet clean composition and method of use
US11085011B2 (en) Post CMP cleaning compositions for ceria particles
KR102283745B1 (ko) 반도체 소자의 제조 동안 질화티탄에 비해 질화탄탈을 선택적으로 제거하기 위한 에칭액
KR101983202B1 (ko) 구리, 텅스텐, 및 다공성의 유전 상수 κ가 낮은 유전체들에 대한 양립성이 향상된 반수성 중합체 제거 조성물
KR20230022266A (ko) 우월한 기판 상용성 및 특출한 배스 안정성을 갖는 산성 반-수성 플루오라이드 활성화 반사방지 코팅 세정제
US11319513B2 (en) Non-aqueous tungsten compatible metal nitride selective etchants and cleaners

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E601 Decision to refuse application