TW201730326A - 具有優異基材相容性及卓越浴穩定性之經酸性半水性氟化物活化的抗反射塗層清潔劑 - Google Patents

具有優異基材相容性及卓越浴穩定性之經酸性半水性氟化物活化的抗反射塗層清潔劑 Download PDF

Info

Publication number
TW201730326A
TW201730326A TW105131762A TW105131762A TW201730326A TW 201730326 A TW201730326 A TW 201730326A TW 105131762 A TW105131762 A TW 105131762A TW 105131762 A TW105131762 A TW 105131762A TW 201730326 A TW201730326 A TW 201730326A
Authority
TW
Taiwan
Prior art keywords
weight
cleaning composition
fluorohydride
fluoride
cleaning
Prior art date
Application number
TW105131762A
Other languages
English (en)
Other versions
TWI784933B (zh
Inventor
建平 雪曼 徐
韋竹鴻
湯景萱
翔志 楊
Original Assignee
艾萬拓有限責任公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 艾萬拓有限責任公司 filed Critical 艾萬拓有限責任公司
Publication of TW201730326A publication Critical patent/TW201730326A/zh
Application granted granted Critical
Publication of TWI784933B publication Critical patent/TWI784933B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Detergent Compositions (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Paints Or Removers (AREA)
  • Surface Treatment Of Optical Elements (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)

Abstract

因此,本文提供新穎的酸性氟化物活化之清潔化學品,其具有高效ARC去除、清潔能力及對多種材料之優異相容性。本發明闡述組合物,其在FEOL、BEOL及FPD應用中提供ARC去除、PR剝離、蝕刻/灰分殘餘物清潔及CMP殘餘物去除,同時提供優良基材相容性、pH穩定性、浴穩定性且不發生不期望之表面改性。

Description

具有優異基材相容性及卓越浴穩定性之經酸性半水性氟化物活化的抗反射塗層清潔劑
本發明係關於微電子清潔組合物及該清潔組合物在清潔微電子裝置之方法中之用途,尤其用於具有較強基材及金屬化物相容性之抗反射塗層(ARC)去除及殘餘物清潔組合物。
微電子及奈米電子裝置生產的最近進展已導致需要具有前段製程(FEOL)及後段製程(BEOL)二者之剝離或清潔能力之新穎剝離及清潔組合物。已發現迄今為止通常使用之清潔組合物不適合用於微電子或奈米電子平臺生產中所用之新材料。先前使用的剝離或清潔組合物侵蝕性過高及/或選擇性不足。在生產該等較新微電子或奈米電子裝置所用之新利用材料中有諸如以下等材料:低- k (<3)及高- k (>20)及多孔電介質、銅金屬化物、氟聚合物抗反射塗層(ARC)、特殊硬遮罩(例如由Ti及TiN組成之彼等)、Si/Ge或Ge之變形晶圓及金屬覆蓋層(例如CoWP及CoWB之彼等)。該等新材料為裝置製造商帶來新的困難挑戰。 例如,Cu/低- k 結構之清潔不僅需要良好的清潔能力,而且亦需要具有卓越基材相容性之溶液。已開發的用於含有Al/SiO2 或Al (Cu)/SiO2 結構之習用或常見半導體裝置之許多製程技術不能應用於Cu/低- k 及高- k 結構。反之亦然,除非作出重大調整,否則許多Cu/低- k 剝離劑不適於Al金屬化物。 Cu/低- k 及/或高- k 結構之製造製程通常產生異常硬化之光致抗蝕劑層、堅硬電漿蝕刻及/或灰化殘餘物。即使高侵蝕性試劑(例如HF酸、羥胺及強鹼溶液),通常亦不能同時提供適宜清潔以及可接受的基材相容性。 基於氟化物或HF之水溶液已廣泛用作習用FEOL及BEOL蝕刻劑及清潔劑。通常,開發該等類型之清潔劑作為氧化物蝕刻劑或灰化殘餘物去除劑。例如,經稀釋HF(dHF)溶液及經緩衝氧化物蝕刻劑(BOE,由HF/NH4 F/H2 O組成)係有效之氧化物(氧化矽)去除劑及有限之殘餘物清潔劑,但通常在剝離光致抗蝕劑中無效。 許多含有氟化物或HF之基於有機溶劑或半水性之溶液亦已用於許多BEOL應用中。然而,該等產品中之大多數在多用途應用(例如去除電漿硬化光致抗蝕劑及ARC)中仍然不佳。對於具有新穎挑戰性類型材料之先進FEOL及BEOL應用,其有時亦侵蝕性過高、選擇性不足或不能滿足新的高要求的基材相容性及選擇性要求,該等新穎挑戰性類型材料係諸如低- k 及高- k 及多孔電介質、銅金屬化物、氟聚合物抗反射塗層(ARC)、特殊硬金屬閘極(例如Ti及TiN之彼等)、Si/Ge或Ge之變形晶圓及金屬覆蓋層(例如CoWP及CoWB之彼等)。因此,需要新的及經改良的剝離或清潔組合物,結合用於較新微電子及奈米電子裝置之該等新材料用於多用途應用。 為生產更小微處理器、記憶體單元及其他半導體裝置,關鍵策略之一係製造多閘極電晶體。除習用平面型多閘極電晶體之外,已開發非平面型雙閘極(例如FinFET)或三閘極。通常,高-k 材料及金屬閘極亦用於該等先進技術(例如14 nm節點)中。材料/基材之範圍廣泛:Al、Cu、W、Ti、TiN、TaN、Nb、RuO2 、Mo、LaOx、AlOx、HfSiON、COSi2、Wsi2 、SiN、SiON、TEOS、ploySi、SiGe、Ge及其組合合金及/或加合物。各種通常超薄之金屬閘極(MG)、功函數金屬(WF)及高k (HK)之厚度控制係至關重要的。引入對超薄膜(例如10埃WF膜)具有賦能性(對各種PR及殘餘物具有高清潔能力)及廣泛的極高相容性之清潔化學品面臨巨大挑戰。例如,低至1埃TiN之蝕刻速率有時可過高而不可用。當前或習用濕式清潔化學品不再能滿足該等類型之相容性需求。需要具有優異基材相容性之新穎賦能性清潔化學品,其可選擇性地清潔光致抗蝕劑、抗反射塗層(ARC)、殘餘WF金屬及各種電漿蝕刻或灰化殘餘物。 氟化物活化(基於氟化物)之清潔化學品通常在酸性pH條件下工作顯著更有效。然而,酸性氟化物化學品、尤其含HF清潔劑,受到金屬化物之極大限制且與許多基材不相容。例如,200:1 DHF在25℃下之蝕刻速率:Al,>550 /min,TEOS,>30 /min;200:1 DHF在35℃下之蝕刻速率:Al,>2,000 /min,TEOS,>140 /min。即使高度稀釋之600:1 DHF在35℃下之蝕刻速率:Al,>750 /min。含有氟化物鹽之其他基於氟化物之清潔劑亦嚴重受限於清潔能力或基材相容性:在中性或鹼性pH下,清潔能力通常相當弱且僅限於可清潔之選定類型之殘餘物;在酸性pH下,含有氟化銨之清潔劑通常具有不良銅相容性;含有烷基氟化銨之清潔劑通常具有不良鋁相容性。此外,大多數基於氟化物之清潔劑對各種重要的微電子材料(例如TEOS、SiN及低-k )顯示不良相容性。 抗反射塗層(ARC)材料已經愈來愈多地用於先進微電子裝置之製造中。一種常見ARC係含矽底部抗反射塗層(SiBARC)。然而,基於氟化物活化化學品之ARC去除劑在提供有效的SiBARC去除與限制對重要金屬化物(例如Al、Cu、W、Ti、TiN、TaN)及基材(例如TEOS、SiN、高k、低k)之蝕刻損傷之間通常面臨嚴重選擇性問題。極少酸性氟化物清潔化學品藉由提供較佳相容性而顯示改良。然而,其皆不能展示滿足半導體之先進技術節點(例如16 nm或更低)之苛刻要求之程度之相容性。在特殊但關鍵之應用中,優化Al2 O3 厚度而不損傷Al金屬化物提供明顯且關鍵之性能。此外,特殊的清潔需要,例如在極嚴格相容性下選擇性去除「Al氧化物樣」殘餘物、「Ti氧化物樣」殘餘物或蝕刻/灰化抗反射塗層造成巨大技術挑戰。已知化學品皆無法同時滿足所有該等挑戰/需求。 經稀釋氫氟酸(DHF)係廣泛的微電子應用中獨特且有效之清潔劑。然而,先進的FEOL及BEOL清潔需要較DHF更多樣化之基材及金屬化物相容性。此外,諸如PR(光致抗蝕劑)剝離等其他能力亦非常有益。因此,需要新穎清潔化學品。 儘管基於氟化物之清潔劑在過去已大量用於各種清潔需要,但具有不同靈敏度之新材料、合金及複合材料之使用已使其不適用於許多新應用中。例如,眾所周知,含氟化物之調配物在酸性pH下蝕刻氧化矽且通常用作氧化矽蝕刻劑。熟知實例係基於HF之氧化矽蝕刻劑,例如經緩衝氧化物蝕刻劑(BOE),其包含各種比例之HF及NH4 F之水溶液。然而,其相對於TEOS對ARC或SiBARC去除之選擇性通常極差。許多先前揭示使用弱酸性pH (pH> 6)、中性或較佳鹼性pH條件以抑制該等相容性問題。然而,在該等pH條件下ARC/SiBARC去除能力及清潔能力顯著降低。一實例係美國專利7,399,365 B2。其教示使用6.5至8之較佳pH範圍。 業內已嘗試補救此問題,包括提供無分別的氟化物源作為活化物質。例如,美國專利第6,777,380號包括具有氫氟酸、氟化銨、四甲基氟化銨、氟化氫銨及其他之氟化物源之清潔劑。然而,該等實例不提供目前應用所需之廣泛相容性、或亦需要之選擇性增強。 因此,需要具有較佳pH範圍為5或更小之酸性ARC去除劑/清潔劑,從而提供ARC/SiBARC蝕刻之高清潔能力。另外需要清潔組合物,其能夠達成對許多重要金屬化物及基材之廣泛相容性及高選擇性,包括對於Al、Cu、W、Ti、TiN、TaN、TEOS之高相容性/低蝕刻速率。
因此,本文提供新穎的酸性氟化物活化之清潔化學品,其具有高效ARC去除、清潔能力及對多種材料之優異相容性。本發明闡述組合物,其在FEOL、BEOL及FPD應用中提供ARC去除、PR剝離、蝕刻/灰分殘餘物清潔及CMP殘餘物去除,同時提供優良基材相容性、pH穩定性、浴穩定性且不發生不期望之表面改性。 本文提供用於微電子應用之清潔組合物。清潔組合物包括約0.05重量%至約5.0重量%之氟氫化物化合物、約0.01重量%至約5重量%之pH穩定相容性增強劑、約5重量%至約90重量%之有機溶劑及約5%至約90%之水。 在另一實施例中,清潔組合物包括約0.05重量%至約1.0重量%之氟氫化物化合物、約0.05重量%至約3重量%之pH穩定相容性增強劑、約10重量%至約70重量%之有機溶劑及約10%至約50%之水。 在另一實施例中,清潔組合物包括約0.1重量%至約0.5重量%之氟氫化物化合物、約0.1重量%至約1.0重量%之pH穩定相容性增強劑、約20重量%至約60重量%之有機溶劑及約20%至約40%之水。 在另一實施例中,清潔組合物具有小於或等於5.5之pH。在實施例中,清潔組合物具有小於或等於5.0之pH,且在另一實施例中,組合物具有小於或等於4.5之pH。 在另一實施例中,清潔組合物進一步包括選自醇類、醇醚類及醚類之共溶劑。在實施例中,氟氫化物化合物可選自氟氫化銨、烷基氟氫化銨、氟氫化鉀及鹼金屬氟氫化物之群。在實施例中,氟氫化物化合物係選自任何數目之業內已知之市售氟氫化物化合物。 在實施例中,pH穩定相容性增強劑係多質子酸或其鹽。在實施例中,pH穩定相容性增強劑係選自以下之群:檸檬酸、檸檬酸二氫銨、檸檬酸氫二銨、檸檬酸三銨、磷酸、磷酸二氫銨、磷酸氫二銨、磷酸三銨、抗壞血酸、抗壞血酸二氫銨、抗壞血酸氫二銨及其混合物。 在實施例中,清潔組合物之有機溶劑係硫化物或醯胺。在另一實施例中,有機溶劑係選自二甲亞碸、N-甲基吡咯啶酮(NMP)、N-乙基吡咯啶酮(NEP)、N-(2-羥基乙基)-2-吡咯啶酮(HEP)、二甲基2-哌啶酮(DMPD)、二甲基乙醯胺、甲醯胺及其混合物。 在另一實施例中,本發明之清潔組合物進一步包括至少另一氟化物源。在實施例中,該至少另一氟化物源係氟化物鹽。在又一實施例中,清潔組合物進一步包括腐蝕控制劑。在實施例中,腐蝕控制劑係選自業內已知之市售控制劑之群。在另一實施例中,清潔組合物進一步包括表面活性劑。表面活性劑可選自業內已知之市售表面活性劑之群。 在另一實施例中,本發明包括清潔微電子材料之方法,該方法包括使微電子材料與清潔組合物接觸,該清潔組合物包含:約0.05重量%至約5.0重量%之氟氫化物化合物、約0.01重量%至約5重量%之pH穩定相容性增強劑、約5重量%至約90重量%之有機溶劑及約5%至約90%之水。 為更好地理解本發明以及其他及進一步目標及優點,結合隨附實例參照以下詳細闡述,且將於隨附申請專利範圍中指出本發明範圍。以下詳細闡述並不意欲藉由上述優點限制本發明範圍。
本發明解決基於酸性氟化物之化學品用作抗反射塗層(ARC)去除劑,同時提供廣泛、優異之金屬化物及基材相容性之困難挑戰。其亦可視為優異之經稀釋氫氟酸(DHF)之替代。其可為許多其他先進前段製程(FEOL)及後段製程(BEOL)應用提供重要清潔。此外,本發明提供賦能性濕式清潔溶液,用於亞28 nm技術之高k/多閘極及高k/金屬閘極裝置製造之苛刻的廣泛且嚴格的相容性要求。當前清潔技術極少顯示對眾多種金屬化物及基材材料之該等嚴格控制或相容性(低蝕刻速率)。對特殊應用而言,本發明提供關鍵氧化鋁厚度之獨特一步式優化用於性能增強。 酸性半水性組合物包含至少(A)作為主要氟化物活化劑之氟氫化物化合物(HF2- )、(B)具有多個pKa值之「pH穩定相容性增強劑」、(C)「金屬相容性增強」有機溶劑及(D)水。pH (10%稀水溶液)應等於或小於5.5、更佳等於或小於5.0、最佳等於或小於4.5。可選組份包括其他氟化物鹽、胺類、腐蝕控制劑及腐蝕抑制共溶劑。pH穩定相容性增強劑可選自檸檬酸、磷酸、檸檬酸鹽及磷酸鹽。有機溶劑係選自硫化物、醯胺、醇類及醚類。組合物具有去除硬化含矽ARC材料之能力,具有包括Al、Cu、W、TiN、SiN、TaN及其他之廣泛相容性。較佳地,其亦在選定應用中顯示對TEOS、低k及高k材料之可接受相容性。 如本文所用,「氟氫化物化合物」或「氟氫化物」係指具有兩個氟原子之化合物。在一些實施例中,氟氫化物化合物進一步包括氫原子且以鹽形式存在。氟氫化物亦稱為二氟氫化物(difluorohydrogenide),及二氟氫化物(difluorohydrogenate)或(二氟化)氫。其亦可表示為具有化學式HF2 - 之無機陰離子。在一些情況中,陰離子(例如氟氫化物離子)中之氟氫化物基團(-HF- )可藉由重組吸收質子: HF- 2 + H+ → H2 F2 → 2 HF 由於此質子(H+ )捕獲,氟氫化物具有鹼性特徵。其共軛酸係反應性中間體μ-氟-氟二氫(H2 F2 ),其隨後解離成為氟化氫。在溶液中,大多數氟氫化物離子解離。 因氟氫化物可係鹼性,本發明之組合物使用pH相容性增強劑以試圖維持組合物之酸性。如本文所用,術語「pH穩定相容性增強劑」係指可將溶液pH調整至可操作水平、尤其調整至等於或小於5.5之pH之任何化合物。 如本文所用,「有機溶劑」係指能夠溶解或分散一或多種其他非金屬性物質之物質。通常以液體形式使用之有機溶劑通常係烴或相關物質。有機溶劑通常具有低沸點且容易蒸發或可藉由蒸餾去除,由此留下經溶解之物質。因此溶劑應不與經溶解化合物發生化學反應,其應係惰性。在各種有機溶劑中,適宜者係醇類、多羥基醇類(例如甘油、二醇類)、二醇醚、烷基吡咯啶酮(例如N-甲基吡咯啶酮(NMP))、1-羥基烷基-2-吡咯啶酮(例如1-(2-羥基乙基)-2-吡咯啶酮(HEP))、二甲基甲醯胺(DMF)、二甲基乙醯胺(DMAc)、環丁碸或二甲亞碸(DMSO)。若期望進一步抑制鋁及/或鋁-合金腐蝕,則可添加該等溶劑以限制清潔組合物之侵蝕性並降低金屬、尤其鋁或鋁合金之腐蝕速率。較佳水溶性有機溶劑係多羥基醇類(例如甘油)、N-甲基吡咯啶酮及/或1-羥基烷基-2-吡咯啶酮(例如1-(2-羥基乙基)-2-吡咯啶酮(HEP))。該等有機溶劑可以基於組合物重量0重量%至約50重量%之量、較佳約5重量%約50%重量之量及更佳約10重量%約50%重量之量使用。 該等組合物使用呈較佳形式之氟氫化物、金屬化物及電介質相容性增強基質。其提供優異ARC去除能力及卓越選擇性及相容性。其亦可於清潔先進FEOL及BEOL微電子及奈米電子結構,包括敏感性Al、Cu、高k及低κ、多孔低κ基材。其能夠去除抗反射塗層(ARC)、剝離光致抗蝕劑並去除蝕刻/灰化殘餘物。 前述化學組合物可調配為高度非水性至半水性溶液或漿液。其可用於去除植入聚合物、剝離ARC及光致抗蝕劑、清潔來自電漿製程產生之有機、有機金屬及無機化合物之殘餘物、清潔來自平坦化製程(例如化學機械拋光)之殘餘物及用作平坦化漿液/液體中之添加劑。 已開發許多基於氟化物之清潔劑並用於過去及當前技術之各種清潔需要。然而,在新型微電子應用中,通常舊的及新的材料、合金及複合物現在用於相同裝置設計及製造中。因此,要求清潔溶液與許多基材高度相容。例如,清潔劑可需要與Al、Cu、W、TiN、SiN、TaN及TEOS同時皆相容。在製程期間,容許損失可限於10 Å或更少。對某些前段製程(FEOL)應用而言,一些容許材料損失可係1-2Å或更少。因此,對舊技術要求可視為「相容」且可接受之先前開發之化學品對未來技術要求不再可接受。其使用可導致嚴重可靠性問題或有害性能問題。本文所述本發明利用新穎、創新的設計及化學品解決所有前述挑戰。 如先前所述,在中性或鹼性pH下基於氟化物之化學品之清潔能力通常較弱。在酸性pH下,本發明提供使用氟氫化物作為主要氟化物物質,其與大多數基於氟化物之清潔劑相關之當前技術水平相反。 藉由使用氟氫化物,本發明提供優於所有其他難以開發或調配的氟化物之優異性。本發明之氟氫化物組合物提供對眾多種金屬化材料及基材之廣泛相容性。基於HF之清潔劑通常與Al不相容。基於氟化銨之清潔劑具有高Cu蝕刻速率。基於烷基氟化銨之清潔劑導致嚴重Al腐蝕問題。 利用去除或添加質子,基於氟氫化物之化學品可藉助以下所提出平衡來調整以得到在特殊設計之溶劑基質中之最佳HF濃度: F- <=> HF <=> H2 F2 <=> HF2 - 在本發明組合物中,氟氫化物用作主要氟化物物質。在實施例中,氟氫化物係組合物中之唯一氟化物物質。許多其他專利僅概括地包括且可使用任何HF或氟化物物質作為主要物質。此實踐通常導致嚴重相容性問題。因此,該等專利不會提供任何有價值之教示。本發明指定,pH (10%稀水溶液)應等於或小於5.5、更佳等於或小於5.0、最佳等於或小於4.5。本發明亦可含有其他氟化物物質(例如氟化物鹽或HF),但其必須用作次要共添加劑中之一者。 此外,本發明之組合物包括使用具有多個pKa值之pH穩定相容性及選擇性增強劑。已發現並證實具有多個pKa值之所選擇化合物在提供對多種重要基材(例如SiN、Al)之意外相容性增強方面之驚人效果。在比較實例中,無該等獨特增強劑之組合物不能滿足嚴格相容性要求;因此其使用可導致嚴重或有害性能問題。已知許多基於氟化物之清潔劑(例如基於NH4F)之pH穩定性具有較差pH穩定性。使用本發明之獨特增強劑有助於得到優良pH穩定性及延長浴壽命。 在廣泛pH範圍內之緩衝能力。本發明具有經改良緩衝能力以使清潔劑遇到/添加至清潔劑之酸或鹼之影響降至最低。本發明闡述所選特定化合物必須具有多個pKa值。其包括多質子酸及其鹽,例如檸檬酸、檸檬酸二氫銨、檸檬酸氫二銨、檸檬酸三銨、磷酸、磷酸二氫銨、磷酸氫二銨、磷酸三銨、抗壞血酸、抗壞血酸二氫銨、抗壞血酸氫二銨。 酸解離常數K a (亦稱為酸度常數或酸游離常數)係溶液中酸強度之定量量度。其係在酸鹼反應情況下稱為解離之化學反應之平衡常數。K a 值愈大,溶液中分子解離愈多,且因此酸愈強。酸解離之平衡可用符號寫為:其中HA係藉由分離成A- (稱為酸之共軛鹼)及質子H+ (其在水溶液情形下以水合氫離子(例如溶劑合質子)存在)解離之一般性酸。 解離常數通常寫為平衡濃度(mol/L)之商數,由[HA]、[A- ]及[H+ ]表示:由於K a 值橫跨多個數量級,酸解離常數之對數量度在實踐中更常用。對數常數pK a 等於-log10 K a ,有時亦稱為酸解離常數:在任何給定pH下,pK a 值愈大,解離程度愈小,即酸愈弱。弱酸(例如)在水中的pKa值在大約-2至12之範圍內。pKa值小於約-2之酸稱為強酸。強酸在水溶液中幾乎完全解離,達到未解離酸之濃度變得不可檢測之程度。然而,強酸之pKa 值可藉由理論方法或藉由自非水溶劑(例如乙腈及二甲亞碸,其中解離常數較小)中之量測外推來估計。 為滿足廣泛且嚴格的金屬化物及基材相容性要求,僅可使用所選擇之有機溶劑基質。令人驚訝的是,已發現顯著溶劑效應。在較佳實施例中,「金屬相容性增強」之有機溶劑包括硫化物及醯胺,其作為主要溶劑存在。其亦提供較高PR剝離能力。此外,亦可使用醇類、醇醚類及醚類,其較佳用作次要共溶劑。 在另一實施例中,水係溶劑。溶劑基質可係半水性系統。在實施例中,水含量係5%或更高。在又一實施例中,水含量係20重量%或更高。與無水及低水清潔劑相比,半水性溶劑基質與氟化物之組合通常導致嚴重金屬相容性問題。已藉由使用新穎的所選擇氟化物物質、獨特相容性增強劑及相容性增強之有機溶劑解決了該等挑戰。 本發明之組合物亦提供i) ARC與基材間或ii) ARC與金屬化物間之新穎選擇性。本文所揭示化學品能夠提供含矽底部抗反射塗層(SiBARC,例如來自Honeywell之DUO 248材料)之選擇性蝕刻/去除,而不顯著蝕刻/損傷基材(例如氧化矽、TEOS或氮化矽)或蝕刻/損傷金屬化物(例如Al、Cu、W、Ti、TiN、TaN)。 已知在酸性pH下氟化物具有更高反應性及清潔能力。然而,其亦侵蝕基於矽之材料(例如TEOS)。通常,其甚至以比TEOS更小之蝕刻速率蝕刻更耐用之基於矽之材料(例如氮化矽)。對於先進應用而言,即使更小的SiN蝕刻速率(例如5-10 Å/min)通常亦係不可接受的。本發明提供在基於矽之ARC及其他基於矽之基材中之新穎蝕刻選擇性。 亦已知基於酸性氟化物之化學品、尤其含有HF之調配物不能同時具有對眾多種金屬化物之廣泛相容性。本發明提供對金屬化物(例如Al、 Cu、W、Ti、TiN、TaN)及基材(例如TEOS、SiN、高k、低k)之獨特廣泛相容性。極少酸性氟化物清潔化學品藉由提供較佳相容性而顯示改良。然而,先前技術皆不能展示滿足半導體的先進技術節點(例如16 nm或更低)之苛刻要求之程度之相容性。 本發明之組合物亦可含有任何適宜水溶性兩性、非離子、陽離子或陰離子表面活性劑。添加表面活性劑將降低調配物之表面張力並改良欲清潔表面之潤濕性且因此改良組合物之清潔作用。若期望進一步抑制鋁腐蝕,則亦可添加表面活性劑以降低鋁腐蝕速率。可用於本發明組合物之兩性表面活性劑包括甜菜鹼及磺基甜菜鹼,例如烷基甜菜鹼、醯胺基烷基甜菜鹼、烷基磺基甜菜鹼及醯胺基烷基磺基甜菜鹼;胺基羧酸衍生物,例如兩性甘胺酸鹽、兩性丙酸鹽、兩性二甘胺酸鹽及兩性二丙酸鹽;亞胺基二酸,例如烷氧基烷基亞胺基二酸或烷氧基烷基亞胺基二酸;胺氧化物,例如烷基胺氧化物及烷基醯胺基烷基胺氧化物;氟烷基磺酸鹽及氟化烷基兩性物;及其混合物。較佳地,兩性表面活性劑係椰油醯胺基丙基甜菜鹼、椰油醯胺基丙基二甲基甜菜鹼、椰油醯胺基丙基羥基磺酸甜菜鹼、辛醯基兩性二丙酸鹽、椰油醯胺基二丙酸鹽、椰油兩性丙酸鹽、椰油兩性羥基乙基丙酸鹽、異癸氧基丙基亞胺基二丙酸、月桂基亞胺基二丙酸鹽、椰油醯胺基丙基胺氧化物及椰油胺氧化物及氟化烷基兩性物。可用於本發明組合物之非離子表面活性劑包括炔二醇類、乙氧基化炔二醇類、氟化烷基烷氧基化物、氟化烷基酯類、氟化聚氧乙烯烷醇類、多元醇之脂肪酸酯、聚氧乙烯單烷基醚類、聚氧乙烯二醇類、矽氧烷類型表面活性劑及伸烷基二醇單烷基醚類。較佳地,非離子表面活性劑係炔二醇類或乙氧基化炔二醇類。可用於本發明組合物之陰離子表面活性劑包括羧酸鹽、N-醯基肌胺酸鹽、磺酸鹽、硫酸鹽及正磷酸單酯及二酯(例如磷酸癸酯)。較佳地,陰離子表面活性劑係無金屬表面活性劑。可用於本發明組合物之陽離子表面活性劑包括胺乙氧基化物、二烷基二甲基銨鹽、二烷基嗎啉鎓鹽、烷基苄基二甲基銨鹽、烷基三甲基銨鹽及烷基吡啶鎓鹽。較佳地,陽離子表面活性劑係無鹵素表面活性劑。尤其適宜之表面活性劑之實例包括但不限於3,5-二甲基-1-己炔-3-醇(Surfynol-61)、乙氧基化2,4,7,9-四甲基-5-癸炔-4,7-二醇(Surfynol-465)、聚四氟乙烯十六烷氧基丙基甜菜鹼(Zonyl FSK)、Zonyl FSH、Triton X-100 (即辛基苯氧基聚乙氧基乙醇)及諸如此類。表面活性劑通常將以基於組合物重量0 wt%約5 wt%、較佳0.001 wt%約3 wt%之量存在。實例 藉由以下代表性實例進一步例示本發明,該等實例意欲闡釋本發明且不應視為對本發明之限制。 存在之成份,以重量百分比計 此外,以下實例亦包括如下所列組份: 實例7     0.2重量%之MTES 實例11   0.2重量%之聚二甲基矽氧烷 實例12   0.5重量%之聚二甲基矽氧烷 實例14   0.2重量%之聚二甲基矽氧烷 實例16   0.2重量%之5MBZT 實例18   59.25重量%之N-(2-羥基乙基)-2-吡咯啶酮(HEP) 實例19   59.15重量%之N-(2-羥基乙基)-2-吡咯啶酮(HEP) 以下各表說明本發明組合物之性質,如藉助上文所列實例來例示。 I 具有廣泛金屬化物相容性之 ARC 去除劑之蝕刻速率係以埃 / 分鐘表示 II 具有優異相容性之清潔化學品 ( 對於亞 20 nm 之高 k/ 多閘極 / 金屬閘極技術之關鍵清潔之高潛力 ) CDO k k 值係在 2.4-2.5 範圍內 III 顯示良好 pH 及蝕刻速率穩定性之浴壽命研究 表IV顯示良好 pH 及蝕刻速率穩定性之浴壽命研究 表V顯示良好 pH 及蝕刻速率穩定性之浴壽命研究 表VI 表VII–其他實例 存在之成份,以重量百分比計 在測試中,實例30-35在表II-VI中所示的所有類別中與其他實例表現類似。因此儘管已闡述目前認為較佳之本發明實施例,但熟習此項技術者將認識到,可在不背離本發明精神之情況下對本發明作出變化及修改,且意欲主張所有該等變化及修改落入本發明之真實範圍內。

Claims (19)

  1. 一種用於微電子應用之清潔組合物,其包含約0.05重量%至約5.0重量%之氟氫化物化合物、約0.01重量%至約5重量%之pH穩定相容性增強劑、約5重量%至約90重量%之有機溶劑及約5%至約90%之水。
  2. 如請求項1之清潔組合物,其包含約0.05重量%至約1.0重量%之氟氫化物化合物、約0.05重量%至約3重量%之pH穩定相容性增強劑、約10重量%至約70重量%之有機溶劑及約10%至約50%之水。
  3. 如請求項1之清潔組合物,其包含約0.1重量%至約0.5重量%之氟氫化物化合物、約0.1重量%至約1.0重量%之pH穩定相容性增強劑、約20重量%至約60重量%之有機溶劑及約20%至約40%之水。
  4. 如請求項1之清潔組合物,其中該清潔組合物之pH係小於或等於5.5。
  5. 如請求項1之清潔組合物,其中該清潔組合物之pH係小於或等於5.0。
  6. 如請求項1之清潔組合物,其中該清潔組合物之pH係小於或等於4.5。
  7. 如請求項1之清潔組合物,其進一步包含選自醇類、醇醚類及醚類之共溶劑。
  8. 如請求項7之清潔組合物,其中該共溶劑係乙二醇、二乙二醇或其組合。
  9. 如請求項1之清潔組合物,其中該氟氫化物化合物係選自由氟氫化銨、烷基氟氫化銨、氟氫化鉀及鹼金屬氟氫化物組成之群。
  10. 如請求項9之清潔組合物,其中該氟氫化物化合物係氟氫化銨。
  11. 如請求項1之清潔組合物,其中該pH穩定相容性增強劑係多質子酸或其鹽。
  12. 如請求項1之清潔組合物,其中該pH穩定相容性增強劑係選自由檸檬酸、檸檬酸二氫銨、檸檬酸氫二銨、檸檬酸三銨、磷酸、磷酸二氫銨、磷酸氫二銨、磷酸三銨、抗壞血酸、抗壞血酸二氫銨、抗壞血酸氫二銨、胺基三亞甲基膦酸及其混合物組成之群。
  13. 如請求項1之清潔組合物,其中該有機溶劑係硫化物或醯胺。
  14. 如請求項13之清潔組合物,其中該有機溶劑係選自二甲亞碸、N-甲基吡咯啶酮(NMP)、N-乙基吡咯啶酮(NEP)、N-(2-羥基乙基)-2-吡咯啶酮(HEP)、N-(2-羥基乙基)嗎啉、二甲基2-哌啶酮(DMPD)、二甲基乙醯胺、甲醯胺及其混合物。
  15. 如請求項1之清潔組合物,其進一步包含另一氟化物源。
  16. 如請求項15之清潔組合物,其中該另一氟化物源係氟化物鹽。
  17. 如請求項1之清潔組合物,其進一步包含腐蝕控制劑。
  18. 如請求項1之清潔組合物,其進一步包含表面活性劑。
  19. 一種清潔微電子材料之方法,其包含使該微電子材料與清潔組合物接觸,該清潔組合物包含:約0.05重量%至約5.0重量%之氟氫化物化合物、約0.01重量%至約5重量%之pH穩定相容性增強劑、約5重量%至約90重量%之有機溶劑及約5%至約90%之水。
TW105131762A 2015-10-02 2016-09-30 具有優異基材相容性及卓越浴穩定性之經酸性半水性氟化物活化的抗反射塗層清潔劑 TWI784933B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562236355P 2015-10-02 2015-10-02
US62/236,355 2015-10-02

Publications (2)

Publication Number Publication Date
TW201730326A true TW201730326A (zh) 2017-09-01
TWI784933B TWI784933B (zh) 2022-12-01

Family

ID=58424675

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105131762A TWI784933B (zh) 2015-10-02 2016-09-30 具有優異基材相容性及卓越浴穩定性之經酸性半水性氟化物活化的抗反射塗層清潔劑

Country Status (4)

Country Link
KR (3) KR20230022266A (zh)
CN (1) CN109153914A (zh)
TW (1) TWI784933B (zh)
WO (1) WO2017059051A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10870799B2 (en) * 2017-08-25 2020-12-22 Versum Materials Us, Llc Etching solution for selectively removing tantalum nitride over titanium nitride during manufacture of a semiconductor device

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4508591A (en) * 1984-03-08 1985-04-02 Hewlett-Packard Company Polymethyl methacrylate compatible silicon dioxide complexing agent
US5320709A (en) * 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
JP2857042B2 (ja) * 1993-10-19 1999-02-10 新日本製鐵株式会社 シリコン半導体およびシリコン酸化物の洗浄液
CN1218222C (zh) * 2000-07-10 2005-09-07 Ekc技术公司 用于清洁半导体设备上有机残余物和等离子蚀刻残余物的组合物

Also Published As

Publication number Publication date
KR20180124830A (ko) 2018-11-21
WO2017059051A1 (en) 2017-04-06
TWI784933B (zh) 2022-12-01
KR20230022266A (ko) 2023-02-14
CN109153914A (zh) 2019-01-04
KR20200059326A (ko) 2020-05-28

Similar Documents

Publication Publication Date Title
KR102285003B1 (ko) TiN 하드 마스크 제거 및 에칭 잔류물 세정용 조성물
EP3447791B1 (en) Etching solution for selectively removing silicon-germanium alloy from a silicon-germanium/ silicon stack during manufacture of a semiconductor device
JP6503102B2 (ja) 窒化チタンハードマスク及びエッチ残留物除去
CN111164183B (zh) 用于在半导体器件制造过程中从硅-锗/硅堆叠同时去除硅和硅-锗合金的蚀刻溶液
US20060073998A1 (en) Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
KR101983202B1 (ko) 구리, 텅스텐, 및 다공성의 유전 상수 κ가 낮은 유전체들에 대한 양립성이 향상된 반수성 중합체 제거 조성물
CN109423290B (zh) 用于在制造半导体器件过程中相对于氮化钛选择性地去除氮化钽的蚀刻溶液
JP2014132094A (ja) 酸性、有機溶媒ベースの多目的マイクロエレクトロニクス洗浄組成物
TWI784933B (zh) 具有優異基材相容性及卓越浴穩定性之經酸性半水性氟化物活化的抗反射塗層清潔劑
US8399391B2 (en) Photoresist residue removal composition
KR102026484B1 (ko) 알루미늄 에칭후 잔류물 제거 및 동시 표면 부동태화
JP5730790B6 (ja) 酸性、有機溶媒ベースの多目的マイクロエレクトロニクス洗浄組成物
CN111315859A (zh) 基于氟化物的清洁组合物