CN109153914A - 具有优异基材相容性和优越镀液稳定性的酸性半水性氟化物活化的抗反射涂层清洁剂 - Google Patents

具有优异基材相容性和优越镀液稳定性的酸性半水性氟化物活化的抗反射涂层清洁剂 Download PDF

Info

Publication number
CN109153914A
CN109153914A CN201680057581.XA CN201680057581A CN109153914A CN 109153914 A CN109153914 A CN 109153914A CN 201680057581 A CN201680057581 A CN 201680057581A CN 109153914 A CN109153914 A CN 109153914A
Authority
CN
China
Prior art keywords
weight
cleaning compositions
compositions described
acid
cleaning
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201680057581.XA
Other languages
English (en)
Inventor
C-P·S·许
C-H·W·韦
C-H·L·汤
H·C·杨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
C-H.L.Tang
C-H.W.Wei
C-P.S.Xu
H.C.Yang
Avantor Performance Materials BV
Original Assignee
Anwantuo Vertellus Performance Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Anwantuo Vertellus Performance Materials Inc filed Critical Anwantuo Vertellus Performance Materials Inc
Publication of CN109153914A publication Critical patent/CN109153914A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Abstract

本文提供了一种新颖的酸性氟化物活化的清洁化学品,其具有高效的ARC去除、清洁能力和对多种材料的优异相容性。本发明描述的组合物在FEOL、BEOL和FPD应用中提供ARC去除、PR剥离、蚀刻/灰分残余物清洁和CMP残余物去除,同时提供优异的基材相容性、pH稳定性、镀液稳定性,且不发生不期望的表面改性。

Description

具有优异基材相容性和优越镀液稳定性的酸性半水性氟化物 活化的抗反射涂层清洁剂
技术领域
本发明涉及微电子清洁组合物,以及这样的清洁组合物在清洁微电子装置的方法中的用途,其尤其用于具有较高基材和镀金属(metallization)相容性的抗反射涂层(ARC)去除和残余物清洁组合物。
背景技术
微电子和纳米电子装置生产中的最近进展已导致需要具有前段制程(FEOL)和后段制程(BEOL)二者的剥离或清洁能力的新的剥离和清洁组合物。已发现迄今为止通常使用的清洁组合物不适合用于微电子或纳米电子平台生产中所用的新材料。先前使用的剥离或清洁组合物侵蚀性过高和/或选择性不足。在生产这些较新的微电子或纳米电子装置中使用的新近采用材料中有诸如以下的材料:低-k(<3)和高-k(>20)以及多孔电介质、铜镀金属、氟聚合物抗反射涂层(ARC)、特殊硬掩膜(例如由Ti和TiN组成的那些)、Si/Ge或Ge的应变晶片和金属盖层(例如CoWP和CoWB的那些)。这些新材料为装置制造商带来了新的困难挑战。
例如,Cu/低-k结构的清洁不仅需要良好的清洁能力,而且也需要具有优越基材相容性的溶液。已开发的用于含有Al/SiO2或Al(Cu)/SiO2结构的传统或常见半导体装置的许多工艺技术不能应用于Cu/低-k和高-k结构。反之亦然,除非作出重大调整,否则许多Cu/低-k剥离剂不适用于Al镀金属。
Cu/低-k和/或高-k结构的制造工艺通常产生异常硬化的光致抗蚀剂层、坚硬的等离子蚀刻和/或灰化残余物。即使是高侵蚀性试剂(例如HF酸、羟胺和强碱溶液),通常也不能同时提供合适的清洁以及可接受的基材相容性。
基于氟化物或HF的水溶液已广泛用作传统的FEOL和BEOL蚀刻剂和清洁剂。通常,开发这些类型的清洁剂作为氧化物蚀刻剂或灰分残余物去除剂。例如,经稀释HF(dHF)溶液和经缓冲氧化物蚀刻剂(BOE,由HF/NH4F/H2O组成)是有效的氧化物(氧化硅)去除剂和有限的残余物清洁剂,但通常在剥离光致抗蚀剂中无效。
许多含有氟化物或HF的基于有机溶剂的或半水性溶液也已用于许多BEOL应用中。然而,这些产品中的大多数在多用途应用(例如去除等离子硬化光致抗蚀剂和ARC)中仍然无力。对于具有新的挑战性类型材料的先进FEOL和BEOL应用,它们有时也侵蚀性过高、选择性不足或不能满足新的高要求的基材相容性和选择性需要,所述新的挑战性类型材料为诸如低-k和高-k和多孔电介质、铜镀金属、氟聚合物抗反射涂层(ARC)、特殊硬金属闸极(例如Ti和TiN的那些)、Si/Ge或Ge的变形晶片和金属盖层(例如CoWP和CoWB的那些)。因此,需要新的和经改良的剥离或清洁组合物,联合用于较新微电子和纳米电子装置的这些新材料用于多用途应用。
为创建更小的微处理器、内存单元和其他半导体装置,关键策略之一为制造多闸极晶体管。除常规的平面型多闸极晶体管之外,已开发了非平面型双闸极(例如FinFET)或三闸极。通常,高-k材料和金属闸极也用于这类先进技术(例如14nm节点)中。材料/基材的列表是广泛的:Al、Cu、W、Ti、TiN、TaN、Nb、RuO2、Mo、LaOx、AlOx、HfSiON、COSi2、Wsi2、SiN、SiON、TEOS、ploySi、SiGe、Ge及其组合合金和/或加合物。各种通常超薄的金属闸极(MG)、功函数金属(WF)和高k(HK)的厚度控制是至关重要的。引入对超薄膜(例如10埃WF膜)具有赋能性(对各种PR和残余物具有高清洁能力)和广泛的极高相容性的清洁化学品面临巨大挑战。例如,低至1埃的TiN的蚀刻速率有时可能过高而不可用。当前或传统的湿式清洁化学品不再能满足这些类型的相容性需求。需要具有优异基材相容性的新的赋能性清洁化学品,其可选择性地清洁光致抗蚀剂、抗反射涂层(ARC)、残余WF金属和各种等离子蚀刻或灰分残余物。
氟化物活化(基于氟化物)的清洁化学品通常在酸性pH条件下显著更有效地工作。然而,酸性氟化物化学品,尤其是含HF清洁剂,受到镀金属的极大限制且与许多基材不相容。例如,200:1DHF在25℃下的蚀刻速率:Al,>550/分钟,TEOS,>30/分钟;200:1DHF在35℃下的蚀刻速率:Al,>2,000/分钟,TEOS,>140/分钟。即使是高度稀释的600:1DHF,在35℃下的蚀刻速率:Al,>750/分钟。其它含有氟化物盐的基于氟化物的清洁剂也严重受限于清洁能力或基材相容性:在中性或碱性pH下,清洁能力通常相当弱,且仅限于选定类型的可清洁的残余物;在酸性pH下,含有氟化铵的清洁剂通常具有不良的铜相容性;含有氟化烷基铵的清洁剂通常具有不良的铝相容性。此外,大多数基于氟化物的清洁剂对各种重要的微电子材料(例如TEOS、SiN和低-k)显示出不良的相容性。
抗反射涂层(ARC)材料已经越来越多地用于先进微电子装置的制造中。一种常见的ARC为含硅底部抗反射涂层(SiBARC)。然而,基于氟化物活化化学品的ARC去除剂在提供有效的SiBARC去除和限制对重要镀金属(例如Al、Cu、W、Ti、TiN、TaN)和基材(例如TEOS、SiN、高k、低k)的蚀刻损伤之间通常面临着严重的选择性问题。极少的酸性氟化物清洁化学品通过提供较好的相容性而显示出改良。然而,其均不能展现出满足半导体的先进技术节点(例如16nm或更低)的高度苛刻要求的程度的相容性。在特殊但关键的应用中,优化Al2O3厚度而不损伤Al镀金属提供了明显且关键的性能。此外,特殊的清洁需求,例如伴随非常严格的相容性选择性去除“Al氧化物样”残余物、“Ti氧化物样”残余物或蚀刻/灰化抗反射涂层造成了巨大的技术挑战。已知的化学品均无法同时满足所有这些挑战/需求。
经稀释的氢氟酸(DHF)是一种在广泛的微电子应用中独特且有效的清洁剂。然而,先进的FEOL和BEOL清洁需要比DHF更多样化的基材和镀金属相容性。此外,诸如PR(光致抗蚀剂)剥离等其他能力也是高度有益的。因此,需要新的清洁化学品。
尽管基于氟化物的清洁剂在过去已大量用于各种清洁需求,但具有不同灵敏度的新材料、合金和复合材料的使用已使其不适用于许多新应用中。例如,众所周知,含氟化物的制剂在酸性pH下蚀刻氧化硅且通常用作氧化硅蚀刻剂。公知的实例为基于HF的氧化硅蚀刻剂,例如经缓冲的氧化物蚀刻剂(BOE),其包含各种比例的HF和NH4F的水溶液。然而,其相对于TEOS对ARC或SiBARC进行去除的选择性通常极差。许多先前公开使用弱酸性pH(pH>6)、中性或优选碱性pH条件以抑制这些相容性问题。然而,在这些pH条件下,ARC/SiBARC去除能力和清洁能力大大降低。一个实例为美国专利7,399,365B2。其教导使用6.5至8的优选pH范围。
本领域包含尝试补救该问题,包括提供氟化物源作为无分别的活化物质。例如,美国专利号6,777,380包括具有氢氟酸、氟化铵、四甲基氟化铵、二氟氢化铵等的氟化物源的清洁剂。然而,这些实例未提供目前应用所需的广泛相容性,或者也需要的选择性增强。
因此,需要具有优选的pH范围为5或更小的酸性ARC去除剂/清洁剂,从而提供ARC/SiBARC蚀刻的高清洁能力。另外需要清洁组合物,其能够实现对许多重要镀金属和基材的广泛相容性和高选择性,包括对于Al、Cu、W、Ti、TiN、TaN、TEOS的高相容性/低蚀刻速率。
发明内容
因此,本文提供了新颖的酸性氟化物活化的清洁化学品,其具有高效的ARC去除、清洁能力和对多种材料的优异相容性。本发明描述的组合物在FEOL、BEOL和FPD应用中提供ARC去除、PR剥离、蚀刻/灰分残余物清洁和CMP残余物去除,同时提供优异的基材相容性、pH稳定性、镀液(bath)稳定性,且不发生不期望的表面改性。
本文提供了用于微电子应用的清洁组合物。清洁组合物包含约0.05重量%至约5.0重量%的二氟氢根化合物、约0.01重量%至约5重量%的pH稳定化相容性增强剂、约5重量%至约90重量%的有机溶剂和约5%至约90%的水。
在另一个实施方式中,清洁组合物包含约0.05重量%至约1.0重量%的二氟氢根化合物、约0.05重量%至约3重量%的pH稳定化相容性增强剂、约10重量%至约70重量%的有机溶剂和约10%至约50%的水。
在另一个实施方式中,清洁组合物包括约0.1重量%至约0.5重量%的二氟氢根化合物、约0.1重量%至约1.0重量%的pH稳定化相容性增强剂、约20重量%至约60重量%的有机溶剂和约20%至约40%的水。
在另一个实施方式中,清洁组合物具有小于或等于5.5的pH。在一个实施方式中,清洁组合物具有小于或等于5.0的pH,且在另一个实施方式中,组合物具有小于或等于4.5的pH。
在另一个实施方式中,清洁组合物还包含选自醇类、醇-醚类和醚类的共溶剂。在一个实施方式中,二氟氢根化合物可选自二氟氢化铵、烷基二氟氢化铵、二氟氢化钾和碱金属二氟氢化物。在一个实施方式中,二氟氢根化合物选自任何数目的本领域已知的市售二氟氢根化合物。
在一个实施方式中,pH稳定化相容性增强剂为多质子酸或其盐。在一个实施方式中,pH稳定化相容性增强剂选自柠檬酸、柠檬酸二氢铵、柠檬酸氢二铵、柠檬酸三铵、磷酸、磷酸二氢铵、磷酸氢二铵、磷酸三铵、抗坏血酸、抗坏血酸二氢铵、抗坏血酸氢二铵及其混合物。
在一个实施方式中,清洁组合物的有机溶剂为硫化物或酰胺。在另一个实施方式中,有机溶剂选自二甲亚砜、N-甲基吡咯烷酮(NMP)、N-乙基吡咯烷酮(NEP)、N-(2-羟基乙基)-2-吡咯烷酮(HEP)、二甲基2-哌啶酮(DMPD)、二甲基乙酰胺、甲酰胺及其混合物。
在另一个实施方式中,本发明的清洁组合物还包含至少另一氟化物源。在一个实施方式中,该至少另一氟化物源为氟化物盐。在又一个实施方式中,清洁组合物还包含腐蚀控制剂。在一个实施方式中,腐蚀控制剂选自本领域已知的市售控制剂。在另一个实施方式中,清洁组合物还包含表面活性剂。表面活性剂可选自本领域已知的市售表面活性剂。
在另一个实施方式中,本发明包括清洁微电子材料的方法,该方法包括将微电子材料与清洁组合物接触,该清洁组合物包含:约0.05重量%至约5.0重量%的二氟氢根化合物、约0.01重量%至约5重量%的pH稳定化相容性增强剂、约5重量%至约90重量%的有机溶剂和约5%至约90%的水。
为了更好地理解本发明以及其它和进一步的目的和优点,结合所附的实施例参照以下详述,且本发明的范围将在所附的权利要求中指出。以下详述并不旨在通过上述优点来限制本发明的范围。
具体实施方式
本发明解决了以下困难挑战:使用基于酸性氟化物的化学品作为抗反射涂层(ARC)去除剂,同时提供广泛、优异的镀金属和基材相容性。其也可视为优异的经稀释氢氟酸(DHF)的替代。其可为许多其他先进的前段制程(FEOL)和后段制程(BEOL)应用提供重要的清洁。此外,本发明提供了赋能性湿式清洁溶液,用于亚28nm技术的高k/多闸极和高k/金属闸极装置制造的高度苛刻的广泛且严格的相容性需求。当前的清洁技术极少显示出对众多种镀金属和基材材料的这类严格控制或相容性(低蚀刻速率)。对特殊应用而言,本发明提供关键的氧化铝厚度的独特一步式优化用于性能增强。
酸性半水性组合物包含至少(A)作为主要氟化物活化剂的二氟氢根化合物(HF2-)、(B)具有多个pKa值的“pH稳定化相容性增强剂”、(C)“金属相容性增强”有机溶剂和(D)水。pH(10%稀释水溶液)应等于或小于5.5、更优选等于或小于5.0、最优选等于或小于4.5。任选的组分包括其他氟化物盐、胺类、腐蚀控制剂和腐蚀抑制共溶剂。pH稳定化相容性增强剂可选自柠檬酸、磷酸、柠檬酸盐和磷酸盐。有机溶剂选自硫化物、酰胺、醇类和醚类。组合物具有去除硬化的含硅ARC材料的能力,具有包括Al、Cu、W、TiN、SiN、TaN等的广泛相容性。优选地,其也在选定的应用中显示出对TEOS、低k和高k材料的可接受的相容性。
如本文所用,“二氟氢根化合物”或“二氟氢根”是指具有两个氟原子的化合物。在一些实施方式中,二氟氢根化合物还包括氢原子,且以盐形式存在。二氟氢根也称为二氟氢化物(difluorohydrogenide)或二氟氢根合(difluorohydrogenate)或(二氟化)氢。它们也可表示为具有化学式HF2 -的无机阴离子。在一些情况中,阴离子(例如二氟氢根)中的氟氢根基团(-HF-)可通过重组吸收质子:
HF-
2+H+→H2F2→2HF
由于此质子(H+)捕获,二氟氢根具有碱性特征。其共轭酸为反应性中间体μ-氟-氟二氢(H2F2),其随后解离成为氟化氢。在溶液中,大多数二氟氢根离子解离。
因为二氟氢根化合物可为碱性,本发明的组合物使用pH相容性增强剂以试图维持组合物的酸性。如本文所用,术语“pH稳定化相容性增强剂”是指可将溶液的pH调整至可操作的水平(最显著的是等于或小于5.5的pH)的任何化合物。
如本文所用,“有机溶剂”是指能够溶解或分散一种或多种其他非金属性物质的物质。通常以液体形式使用的有机溶剂通常为烃或相关物质。有机溶剂通常具有低沸点且容易蒸发或可通过蒸馏去除,由此留下溶解的物质。因此,溶剂应不与溶解的化合物发生化学反应,它们应为惰性的。在各种有机溶剂中,合适的为醇类、多羟基醇类(例如甘油、二醇类)、二醇醚、烷基吡咯烷酮(例如N-甲基吡咯烷酮(NMP))、1-羟基烷基-2-吡咯烷酮(例如1-(2-羟基乙基)-2-吡咯烷酮(HEP))、二甲基甲酰胺(DMF)、二甲基乙酰胺(DMAc)、环丁砜或二甲亚砜(DMSO)。如果期望进一步抑制铝和/或铝-合金腐蚀,则可添加这些溶剂以限制清洁组合物的侵蚀性并降低金属,尤其铝或铝合金的腐蚀速率。优选的水溶性有机溶剂为多羟基醇类(例如甘油)、N-甲基吡咯烷酮和/或1-羟基烷基-2-吡咯烷酮(例如1-(2-羟基乙基)-2-吡咯烷酮(HEP))。这类有机溶剂可以基于组合物重量的0重量%至约50重量%的量,优选约5重量%至约50%重量的量且更优选约10重量%至约50%重量的量使用。
这些组合物使用呈优选形式的二氟氢根、镀金属和电介质相容性增强基质。它们提供具有优越的选择性和相容性的不寻常的ARC去除能力。它们也可用于清洁先进的FEOL和BEOL微电子和纳米电子结构,包括敏感性Al、Cu、高k和低κ、多孔低κ基材。它们能够去除抗反射涂层(ARC)、剥离光致抗蚀剂并去除蚀刻/灰分残余物。
前述化学组合物可配制为高度非水性至半水性溶液或浆液。它们可用于去除植入聚合物、剥离ARC和光致抗蚀剂、清洁来自等离子工艺产生的有机、有机金属和无机化合物的残余物、清洁来自平坦化工艺(例如化学机械抛光)的残余物和用作平坦化浆液/液体中的添加剂。
已开发了许多基于氟化物的清洁剂并将其用于过去和当前技术的各种清洁需求。然而,在新的微电子应用中,通常旧的和新的材料、合金和复合物现在用于相同装置设计和制造中。因此,需要清洁溶液与许多基材高度相容。例如,清洁剂可能需要与Al、Cu、W、TiN、SiN、TaN和TEOS同时均相容。在工艺期间,可允许的损失可能限于或更少。对于某些前段制程(FEOL)应用,一些可允许的材料损失可能为或更少。因此,先前开发的对旧技术需求而言可视为“相容的”且可接受的化学品对未来技术需求而言不再可接受。其使用可能导致严重的可靠性问题或有害的性能问题。本文描述的本发明利用新颖、创新的设计和化学品来解决所有前述挑战。
如先前所述,基于氟化物的化学品在中性或碱性pH下的清洁能力通常较弱。在酸性pH下,本发明提供了使用二氟氢根作为主要氟离子物质,其与大多数基于氟离子的清洁剂相关的现有技术水平相反。
通过使用二氟氢根,本发明提供了优于所有其他难以开发或配制的氟化物的优异性。本发明的二氟氢根组合物提供了与众多镀金属材料和基材的广泛相容性。基于HF的清洁剂通常与Al不相容。基于氟化铵的清洁剂具有高Cu蚀刻速率。基于烷基氟化铵的清洁剂导致严重的Al腐蚀问题。
利用去除或添加质子,可调节基于二氟氢根的化学品以通过以下所提出的平衡来得到在特殊设计的溶剂基质中的最优HF浓度:
F-<=>HF<=>H2F2<=>HF2 -
在本发明组合物中,二氟氢根被用作主要的氟离子物质。在一个实施方式中,二氟氢根是组合物中的唯一氟离子物质。许多其它专利仅泛泛地包括且可使用任何HF或氟离子物质作为主要物质。此实践通常导致严重的相容性问题。因此,这些专利不会向发明人提供任何有价值的教导。本发明指出,pH(10%稀释水溶液)应等于或小于5.5,更优选等于或小于5.0,最优选等于或小于4.5。本发明也可含有其他氟离子物质(例如氟化物盐或HF),但其必须用作次要的共添加剂之一。
此外,本发明的组合物包括使用具有多个pKa值的pH稳定化相容性和选择性增强剂。发明人已发现并证实所选择的具有多个pKa值的化合物在提供对多种重要基材(例如SiN、Al)的预料不到的相容性增强方面的令人惊奇的效果。在比较例中,不具有这些独特增强剂的组合物不能满足严格的相容性需求;因此它们的使用可导致严重或有害的性能问题。已知许多基于氟化物的清洁剂(例如基于NH4F的清洁剂)的pH稳定性具有不良的pH稳定性。使用本发明的独特增强剂有助于得到优异的pH稳定性和延长的镀液寿命。
在广泛pH范围内的缓冲能力。本发明具有改良的缓冲能力以使清洁剂遇到/添加至清洁剂的酸或碱的影响降至最低。本发明描述所选特定化合物必须具有多个pKa值。它们包括多质子酸及其盐,例如柠檬酸、柠檬酸二氢铵、柠檬酸氢二铵、柠檬酸三铵、磷酸、磷酸二氢铵、磷酸氢二铵、磷酸三铵、抗坏血酸、抗坏血酸二氢铵、抗坏血酸氢二铵。
酸解离常数Ka(也称为酸度常数或酸电离常数)是溶液中酸强度的定量量度。其是在酸碱反应背景下称为解离的化学反应的平衡常数。Ka值越大,溶液中的分子解离越多,且因此酸越强。酸解离的平衡可符号化写作:
其中HA是通过分离成A-(称为酸的共轭碱)和质子H+(其在水溶液情形下以水合氢离子(例如溶剂合质子)形式存在)而解离的一般性酸。
解离常数通常写作由[HA]、[A-]和[H+]表示的平衡浓度(mol/L)的商数:
由于Ka值跨越多个数量级,酸解离常数的对数量度在实践中更为常用。对数常数pKa等于-log10Ka,有时也称为酸解离常数:
pKa=-log10Ka
在任何给定pH下,pKa值越大,解离程度越小,即酸越弱。弱酸例如在水中的pKa值在大约-2至12的范围内。pKa值小于约-2的酸称为强酸。强酸在水溶液中几乎完全解离,达到未解离酸的浓度变为不可检测的程度。然而,强酸的pKa值可通过理论方法或通过自非水溶剂(其中解离常数较小,例如乙腈和二甲亚砜)中的测量外推来估计。
为满足广泛且严格的镀金属和基材相容性需求,仅可使用所选择的有机溶剂基质。令人惊奇的是,已发现了显著的溶剂效应。在一个优选实施方式中,“金属相容性增强”有机溶剂包括硫化物和酰胺,其作为主要溶剂存在。它们也提供较高的PR剥离能力。此外,也可使用醇类、醇-醚类和醚类,其优选用作次要共溶剂。
在另一实施方式中,水为溶剂。溶剂基质可为半水性系统。在一个实施方式中,水含量为5%或更高。在又一个实施方式中,水含量为20重量%或更高。与无水和低水清洁剂相比,半水性溶剂基质和氟化物的组合通常导致严重的金属相容性问题。发明人已通过新颖使用所选择的氟化物物质、独特的相容性增强剂和相容性增强有机溶剂解决了这些挑战。
本发明的组合物还提供了i)ARC和基材之间,或ii)ARC和镀金属之间的新颖选择性。本文公开的化学品能够提供含硅底部抗反射涂层(SiBARC,例如来自Honeywell的DUO248材料)的选择性蚀刻/去除,而不会显著蚀刻/损伤基材(例如氧化硅、TEOS或氮化硅)或蚀刻/损伤镀金属(例如Al、Cu、W、Ti、TiN、TaN)。
已知氟化物在酸性pH下具有更高的反应性和清洁能力。然而,其也侵蚀基于硅的材料(例如TEOS)。通常,其甚至以比TEOS更小的蚀刻速率蚀刻更耐用的基于硅的材料(例如氮化硅)。对于先进应用而言,即使更小的SiN蚀刻速率(例如/分钟)通常也是不可接受的。本发明提供了在基于硅的ARC和其他基于硅的基材中的新颖蚀刻选择性。
还已知基于酸性氟化物的化学品,尤其是含有HF的制剂,其不能同时具有对众多镀金属的广泛相容性。本发明提供了对镀金属(例如Al、Cu、W、Ti、TiN、TaN)和基材(例如TEOS、SiN、高k、低k)的独特广泛相容性。极少酸性氟化物清洁化学品通过提供更好的相容性而显示改善。然而,现有技术均未能展示出满足半导体中先进技术节点(例如16nm或更低)的苛刻要求的程度的相容性。
本发明的组合物也可含有任何合适的水溶性两性、非离子、阳离子或阴离子表面活性剂。添加表面活性剂将降低制剂的表面张力并改善待清洁表面的润湿性,并因此改善组合物的清洁作用。如果期望进一步抑制铝腐蚀,则也可添加表面活性剂以降低铝腐蚀速率。可用于本发明组合物中的两性表面活性剂包括甜菜碱和磺基甜菜碱,例如烷基甜菜碱、酰胺基烷基甜菜碱、烷基磺基甜菜碱和酰胺基烷基磺基甜菜碱;氨基羧酸衍生物,例如两性甘氨酸盐、两性丙酸盐、两性二甘氨酸盐和两性二丙酸盐;亚氨基二酸,例如烷氧基烷基亚氨基二酸或烷氧基烷基亚氨基二酸;胺氧化物,例如烷基胺氧化物和烷基酰胺基烷基胺氧化物;氟烷基磺酸盐和氟化烷基两性表面活性剂;及其混合物。优选地,两性表面活性剂为椰油酰胺基丙基甜菜碱、椰油酰胺基丙基二甲基甜菜碱、椰油酰胺基丙基羟基磺酸甜菜碱、辛酰基两性二丙酸盐、椰油酰胺基二丙酸盐、椰油两性丙酸盐、椰油两性羟基乙基丙酸盐、异癸氧基丙基亚氨基二丙酸、月桂基亚氨基二丙酸盐、椰油酰胺基丙基胺氧化物和椰油胺氧化物和氟化烷基两性表面活性剂。可用于本发明组合物中的非离子表面活性剂包括炔二醇类、乙氧基化炔二醇类、氟化烷基烷氧基化物、氟化烷基酯类、氟化聚氧乙烯烷醇类、多元醇的脂肪酸酯、聚氧乙烯单烷基醚类、聚氧乙烯二醇类、硅氧烷类型表面活性剂和亚烷基二醇单烷基醚类。优选地,非离子表面活性剂为炔二醇类或乙氧基化炔二醇类。可用于本发明组合物中的阴离子表面活性剂包括羧酸盐、N-酰基肌氨酸盐、磺酸盐、硫酸盐和正磷酸单酯和二酯(例如磷酸癸酯)。优选地,阴离子表面活性剂为不含金属的表面活性剂。可用于本发明组合物中的阳离子表面活性剂包括胺乙氧基化物、二烷基二甲基铵盐、二烷基吗啉鎓盐、烷基苄基二甲基铵盐、烷基三甲基铵盐和烷基吡啶鎓盐。优选地,阳离子表面活性剂为不含卤素的表面活性剂。尤其合适的表面活性剂的实例包括但不限于3,5-二甲基-1-己炔-3-醇(Surfynol-61)、乙氧基化2,4,7,9-四甲基-5-癸炔-4,7-二醇(Surfynol-465)、聚四氟乙烯十六烷氧基丙基甜菜碱(Zonyl FSK)、Zonyl FSH、Triton X-100(即辛基苯氧基聚乙氧基乙醇)等。表面活性剂通常将以基于组合物重量的0wt%至约5wt%,优选0.001wt%至约3wt%的量存在。
实施例
通过(但不限于)以下代表性实施例进一步例示本发明,这些实施例旨在说明本发明,且不应视为对本发明的限制。
存在的成分,以重量百分比计
此外,以下实施例还包含如下所列的组分:
实施例7 0.2重量%的MTES
实施例11 0.2重量%的聚二甲基硅氧烷
实施例12 0.5重量%的聚二甲基硅氧烷
实施例14 0.2重量%的聚二甲基硅氧烷
实施例16 0.2重量%的5MBZT
实施例18 59.25重量%的N-(2-羟基乙基)-2-吡咯烷酮(HEP)
实施例19 59.15重量%的N-(2-羟基乙基)-2-吡咯烷酮(HEP)
下表说明了本发明组合物的性质,所述组合物如通过上文所列实施例来例示。
表I
具有广泛镀金属相容性的ARC去除剂,蚀刻速率以埃/分钟表示
表II
具有优异相容性的清洁化学品
(对于亚20nm高k/多闸极/金属闸极技术的关键清洁的高潜力)
CDO低k:k值在2.4-2.5范围内
表III
显示出良好的pH和蚀刻速率稳定性的镀液寿命研究
表IV
显示出良好的pH和蚀刻速率稳定性的镀液寿命研究
表V
显示出良好的pH和蚀刻速率稳定性的镀液寿命研究
表VI
表VII-其他实施例
存在的成分,以重量百分比计
在测试中,实施例30-35在表II-VI中所示的所有类别中与其他实施例表现类似。因此尽管已描述了目前认为优选的本发明实施方式,但本领域技术人员将认识到,可在不背离本发明精神的情况下对本发明作出改变和修饰,且旨在主张所有这些改变和修饰落入本发明的真实范围内。

Claims (19)

1.一种用于微电子应用的清洁组合物,其包含约0.05重量%至约5.0重量%的二氟氢根化合物、约0.01重量%至约5重量%的pH稳定化相容性增强剂、约5重量%至约90重量%的有机溶剂和约5%至约90%的水。
2.权利要求1所述的清洁组合物,其包含约0.05重量%至约1.0重量%的二氟氢根化合物、约0.05重量%至约3重量%的pH稳定化相容性增强剂、约10重量%至约70重量%的有机溶剂和约10%至约50%的水。
3.权利要求1所述的清洁组合物,其包含约0.1重量%至约0.5重量%的二氟氢根化合物、约0.1重量%至约1.0重量%的pH稳定化相容性增强剂、约20重量%至约60重量%的有机溶剂和约20%至约40%的水。
4.权利要求1所述的清洁组合物,其中所述清洁组合物的pH小于或等于5.5。
5.权利要求1所述的清洁组合物,其中所述清洁组合物的pH小于或等于5.0。
6.权利要求1所述的清洁组合物,其中所述清洁组合物的pH小于或等于4.5。
7.权利要求1所述的清洁组合物,其还包含选自醇类、醇-醚类和醚类的共溶剂。
8.权利要求7所述的清洁组合物,其中所述共溶剂为乙二醇、二乙二醇或其组合。
9.权利要求1所述的清洁组合物,其中所述二氟氢根化合物选自二氟氢化铵、烷基二氟氢化铵、二氟氢化钾和碱金属二氟氢化物。
10.权利要求9所述的清洁组合物,其中所述二氟氢根化合物为二氟氢化铵。
11.权利要求1所述的清洁组合物,其中所述pH稳定化相容性增强剂为多质子酸或其盐。
12.权利要求1所述的清洁组合物,其中所述pH稳定化相容性增强剂选自柠檬酸、柠檬酸二氢铵、柠檬酸氢二铵、柠檬酸三铵、磷酸、磷酸二氢铵、磷酸氢二铵、磷酸三铵、抗坏血酸、抗坏血酸二氢铵、抗坏血酸氢二铵、氨基三亚甲基膦酸及其混合物。
13.权利要求1所述的清洁组合物,其中所述有机溶剂为硫化物或酰胺。
14.权利要求13所述的清洁组合物,其中所述有机溶剂选自二甲亚砜、N-甲基吡咯烷酮(NMP)、N-乙基吡咯烷酮(NEP)、N-(2-羟基乙基)-2-吡咯烷酮(HEP)、N-(2-羟基乙基)吗啉、二甲基2-哌啶酮(DMPD)、二甲基乙酰胺、甲酰胺及其混合物。
15.权利要求1所述的清洁组合物,其还包含另一氟化物源。
16.权利要求15所述的清洁组合物,其中所述另一氟化物源为氟化物盐。
17.权利要求1所述的清洁组合物,其还包含腐蚀控制剂。
18.权利要求1所述的清洁组合物,其还包含表面活性剂。
19.一种清洁微电子材料的方法,其包括将所述微电子材料与清洁组合物接触,所述清洁组合物包含:约0.05重量%至约5.0重量%的二氟氢根化合物、约0.01重量%至约5重量%的pH稳定化相容性增强剂、约5重量%至约90重量%的有机溶剂和约5%至约90%的水。
CN201680057581.XA 2015-10-02 2016-09-29 具有优异基材相容性和优越镀液稳定性的酸性半水性氟化物活化的抗反射涂层清洁剂 Pending CN109153914A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562236355P 2015-10-02 2015-10-02
US62/236,355 2015-10-02
PCT/US2016/054411 WO2017059051A1 (en) 2015-10-02 2016-09-29 Acidic semi-aqueous fluoride activated anti-relective coating cleaners with superior substrate compatibilities and exceptional bath stability

Publications (1)

Publication Number Publication Date
CN109153914A true CN109153914A (zh) 2019-01-04

Family

ID=58424675

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680057581.XA Pending CN109153914A (zh) 2015-10-02 2016-09-29 具有优异基材相容性和优越镀液稳定性的酸性半水性氟化物活化的抗反射涂层清洁剂

Country Status (4)

Country Link
KR (3) KR20230022266A (zh)
CN (1) CN109153914A (zh)
TW (1) TWI784933B (zh)
WO (1) WO2017059051A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109423290A (zh) * 2017-08-25 2019-03-05 弗萨姆材料美国有限责任公司 用于在制造半导体器件过程中相对于氮化钛选择性地去除氮化钽的蚀刻溶液

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4508591A (en) * 1984-03-08 1985-04-02 Hewlett-Packard Company Polymethyl methacrylate compatible silicon dioxide complexing agent
US5320709A (en) * 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
US5560857A (en) * 1993-10-19 1996-10-01 Nippon Steel Corporation Solution for cleaning silicon semiconductors and silicon oxides
CN1447754A (zh) * 2000-07-10 2003-10-08 Ekc技术公司 用于清洁半导体设备上有机残余物和等离子蚀刻残余物的组合物

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4508591A (en) * 1984-03-08 1985-04-02 Hewlett-Packard Company Polymethyl methacrylate compatible silicon dioxide complexing agent
US5320709A (en) * 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
US5560857A (en) * 1993-10-19 1996-10-01 Nippon Steel Corporation Solution for cleaning silicon semiconductors and silicon oxides
CN1447754A (zh) * 2000-07-10 2003-10-08 Ekc技术公司 用于清洁半导体设备上有机残余物和等离子蚀刻残余物的组合物

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109423290A (zh) * 2017-08-25 2019-03-05 弗萨姆材料美国有限责任公司 用于在制造半导体器件过程中相对于氮化钛选择性地去除氮化钽的蚀刻溶液

Also Published As

Publication number Publication date
KR20230022266A (ko) 2023-02-14
TW201730326A (zh) 2017-09-01
WO2017059051A1 (en) 2017-04-06
KR20200059326A (ko) 2020-05-28
TWI784933B (zh) 2022-12-01
KR20180124830A (ko) 2018-11-21

Similar Documents

Publication Publication Date Title
EP3447791B1 (en) Etching solution for selectively removing silicon-germanium alloy from a silicon-germanium/ silicon stack during manufacture of a semiconductor device
KR102285003B1 (ko) TiN 하드 마스크 제거 및 에칭 잔류물 세정용 조성물
TWI516574B (zh) 金屬及介電相容犠牲抗反射塗層清洗及移除組成物
WO2019067836A1 (en) ETCHING SOLUTION FOR SIMULTANEOUSLY REMOVING SILICON AND A SILICON-GERMANIUM ALLOY FROM A SILICON-GERMANIUM / SILICON STACK DURING THE MANUFACTURE OF A SEMICONDUCTOR DEVICE
JP2006526895A (ja) 半導体処理におけるエッチング後の残留物の除去
CN109423290B (zh) 用于在制造半导体器件过程中相对于氮化钛选择性地去除氮化钽的蚀刻溶液
JP2014132094A (ja) 酸性、有機溶媒ベースの多目的マイクロエレクトロニクス洗浄組成物
CN109153914A (zh) 具有优异基材相容性和优越镀液稳定性的酸性半水性氟化物活化的抗反射涂层清洁剂
JP7291695B2 (ja) フッ化物に基づく洗浄組成物
US8399391B2 (en) Photoresist residue removal composition
KR102026484B1 (ko) 알루미늄 에칭후 잔류물 제거 및 동시 표면 부동태화
JP5730790B6 (ja) 酸性、有機溶媒ベースの多目的マイクロエレクトロニクス洗浄組成物

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right
TA01 Transfer of patent application right

Effective date of registration: 20210415

Address after: Pennsylvania, USA

Applicant after: Avantor Performance Materials, B.V.

Applicant after: C-P.S.Xu

Applicant after: C-H.W.Wei

Applicant after: C-H.L.Tang

Applicant after: H.C.Yang

Address before: Pennsylvania, USA

Applicant before: AVANTOR PERFORMANCE MAT Inc.

Applicant before: C-P.S.Xu

Applicant before: C-H.W.Wei

Applicant before: C-H.L.Tang

Applicant before: H.C.Yang