TW201842148A - 表面處理方法及用於該方法的組成物 - Google Patents

表面處理方法及用於該方法的組成物 Download PDF

Info

Publication number
TW201842148A
TW201842148A TW107110073A TW107110073A TW201842148A TW 201842148 A TW201842148 A TW 201842148A TW 107110073 A TW107110073 A TW 107110073A TW 107110073 A TW107110073 A TW 107110073A TW 201842148 A TW201842148 A TW 201842148A
Authority
TW
Taiwan
Prior art keywords
surface treatment
composition
trimethylsilyl
treatment composition
group
Prior art date
Application number
TW107110073A
Other languages
English (en)
Other versions
TWI835725B (zh
Inventor
威廉 A. 沃吉特薩克
朴起永
水谷篤史
Original Assignee
美商富士軟片電子材料美國股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商富士軟片電子材料美國股份有限公司 filed Critical 美商富士軟片電子材料美國股份有限公司
Publication of TW201842148A publication Critical patent/TW201842148A/zh
Application granted granted Critical
Publication of TWI835725B publication Critical patent/TWI835725B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D5/00Coating compositions, e.g. paints, varnishes or lacquers, characterised by their physical nature or the effects produced; Filling pastes
    • C09D5/008Temporary coatings
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D7/00Features of coating compositions, not provided for in group C09D5/00; Processes for incorporating ingredients in coating compositions
    • C09D7/20Diluents or solvents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02334Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment in-situ cleaning after layer formation, e.g. removing process residues
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D7/00Features of coating compositions, not provided for in group C09D5/00; Processes for incorporating ingredients in coating compositions
    • C09D7/40Additives
    • C09D7/60Additives non-macromolecular
    • C09D7/63Additives non-macromolecular organic
    • C11D2111/22

Landscapes

  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Detergent Compositions (AREA)
  • Lubricants (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)
  • Materials Applied To Surfaces To Minimize Adherence Of Mist Or Water (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本揭示內容提供了處理表面的方法及用於該方法的組成物,其中一表面處理層係形成在該表面上,藉此最小化或防止該表面由於經受半導體製程中的典型清潔步驟所致的圖案塌縮。

Description

表面處理方法及用於該方法的組成物
相關申請案的交互參照 本申請案係主張2018年1月16日提申之美國臨時申請案編號62/617,688以及2017年3月24日提申之美國臨時申請案編號62/476,182的優先權,茲此將該兩案的整體內容以參照方式併入本案。
技術領域 本揭示內容大致上關於表面的液體處理,更尤其關於需要形成疏水層的表面的液體處理。
背景 在低於20 nm的臨界尺度,在清潔與乾燥期間的FinFET與介電疊層的圖案塌縮已成為半導體製程中的主要問題。圖案塌縮的習用理論暗示漂洗與乾燥期間的高毛細力是導致塌縮現象的主要因素。然而,其他化學與基材特性亦可能扮演重要角色,也就是,液體表面張力與黏度、基材機械強度、圖案密度與縱橫比,以及清潔劑對基材表面的化學損害。
概要 已發現到,賦予半導體基材(譬如,矽或銅晶圓)表面帶有疏水層(譬如,疏水單層)的低表面張力改質流體可使在清潔或乾燥期間驅使圖案塌縮的毛細力最小化。不希望受限於理論,據信當接觸角,即液體(譬如,水)接觸基材表面時創建的角度處於或接近90度時,拉普拉斯壓力(Laplace pressure)係最小化。此合併低表面張力流體的存在可大大地減少造成圖案塌縮的力量。
一般而言,本揭示內容提供了用於處理半導體基材(譬如,圖案化晶圓)的圖案化表面的方法與組成物,其中疏水層係形成在該表面上,藉此最小化或防止該表面由於經受半導體製程中的典型清潔與乾燥步驟所致的圖案塌縮。本案揭示的方法運用在該表面上形成疏水層的組成物,俾使經處理的表面具有至少約50度的水接觸角。
在一些具體例中,本揭示內容的特徵在於表面處理方法。此類方法可以,舉例來說,藉由下列進行:以一表面處理組成物接觸一基材(譬如,半導體基材)的一表面,該表面處理組成物包括下列(譬如,由下列構成或基本上由下列構成):至少一個非質子溶劑與至少一個表面處理劑,其中該表面處理劑包括含Si化合物,以及該表面處理組成物在該表面上形成一表面處理層(譬如,疏水單層),俾使該表面具有至少約50度的水接觸角。
在一些具體例中,本揭示內容的特徵在於用於處理半導體基材的方法,該半導體基材具有設置在該基材一表面上的圖案。該方法可包括以一表面處理組成物接觸該表面,其中該表面處理組成物包括下列(譬如,由下列構成或基本上由下列構成):至少一個非質子溶劑與至少一個表面處理劑,該至少一個表面處理劑包括含Si化合物,以及該表面處理組成物在該表面上形成一表面處理層,俾使該表面具有至少約50度的水接觸角。該圖案包括具有至多約20 nm尺度的形貌(feature)。
在一些具體例中,本揭示內容的特徵在於用於清潔晶圓的方法,該晶圓具有設置在該晶圓一表面上的圖案。此類方法可以,舉例來說,藉由下列進行:a)以一水性清潔劑接觸該表面;b)任擇地,以一第一漂洗溶液接觸該表面;c)以一表面處理組成物接觸該表面,其中該表面處理組成物包括下列(譬如,由下列構成或基本上由下列構成):至少一個非質子溶劑與至少一個表面處理劑,該至少一個表面處理劑包括含Si化合物,以及該表面處理組成物在該表面上形成一表面處理層,俾使該表面具有至少約50度的水接觸角;d)以一第二漂洗溶液接觸該表面;e)乾燥該表面;以及f)移除該表面處理層。在一些具體例中,該圖案包括具有至多約20 nm尺度的形貌。
在一些具體例中,本揭示內容的特徵在於表面處理組成物包括下列(譬如,由下列構成或基本上由下列構成):至少一個非質子溶劑、與至少一個表面處理劑,其中該表面處理劑為含Si化合物,其含有三甲矽基基團、胺基矽基基團、或二矽氮烷基團,以及該表面處理組成物在一表面上形成一表面處理層,俾使該表面具有至少約50度的水接觸角。在一些具體例中,當該含Si化合物包括二矽氮烷基團時,該二矽氮烷基團不包括Si-H鍵。
在一些具體例中,本揭示內容內容的特徵在於表面處理組成物包括下列(譬如,由下列構成或基本上由下列構成):(a)約90 wt%至約99.5 wt%的至少一個非質子溶劑,其選自由下列所構成之群組:內酯、酮、芳香烴、矽氧烷、二醇二烷基醚、二醇烷基醚乙酸酯、酯、脲、內醯胺、二甲亞碸、與N-甲基吡咯啶酮,以及(b)約0.5 wt%至約10 wt%的至少一個表面處理劑,其中該表面處理劑為含Si化合物,其含有三甲矽基基團、胺基矽基基團、或二矽氮烷基團。在一些具體例中,當該含Si化合物包括二矽氮烷基團時,前提是該二矽氮烷基團不包括Si-H鍵。
在一些具體例中,本揭示內容的特徵在於表面處理組成物係由下列構成:碳酸丙烯酯、六甲基二矽氮烷,以及任擇地,至少一個共溶劑。
詳細說明 在一些具體例中,本揭示內容關於表面處理方法。此類方法可以,舉例來說,藉由下列進行:以一表面處理組成物接觸一基材(譬如,半導體基材)的一表面(譬如,具有圖案的一表面),該表面處理組成物包括至少一個(譬如,兩個、三個、或四個)非質子溶劑與至少一個(譬如,兩個、三個、或四個)表面處理劑。該表面處理劑可為含Si化合物。一般而言,該表面處理組成物在該表面上形成一表面處理層(譬如,疏水單層),俾使該表面具有至少約50度的水接觸角。
可藉由本案所述的表面處理組成物處理的半導體基材通常由矽、矽鍺、氮化矽、銅、第III-V族化合物,例如GaAs或其等的任何組合構成。在一些具體例中,該半導體基材可為矽晶圓、銅晶圓、二氧化矽晶圓、氮化矽晶圓、氮氧化矽晶圓、碳摻雜氧化矽晶圓、SiGe晶圓、或GaAs晶圓。該半導體基材可另含外露積體電路結構,例如互連形貌(譬如,金屬線與介電材料)。用於互連形貌的金屬與金屬合金包括,但不限於,鋁、鋁與銅的合金、銅、鈦、鉭、鈷、鎳、矽、多晶矽氮化鈦、氮化鉭、錫、鎢、SnAg、SnAg/Ni、CuNiSn、CuCoCu、與CoSn。該半導體基材亦可含有由層間介電質、氧化矽、氮化矽、氮化鈦、碳化矽、碳氧化矽、氮氧化矽、氧化鈦、與碳摻雜氧化矽所組成的多層。
在一些具體例中,欲藉由本案所述的表面處理組成物處理的半導體基材表面包括含有SiO2 、SiN、TiN、SiOC、SiON、Si、SiGe、Ge、或W的形貌。在一些具體例中,該基材半導體表面包括含有SiO2 及/或SiN的形貌。
一般而言,欲藉由本案所述的表面處理組成物處理的半導體基材表面包括藉由先前半導體製程(譬如,微影方法,包括施加一光阻層,使該光阻層暴露至光化輻射,使該光阻層顯影,蝕刻該光阻層下方的半導體基材,及/或移除該光阻層)形成的圖案。在一些具體例中,該圖案可包括具有至多約20 nm (譬如,至多約15 nm、至多約10 nm、或至多約5 nm)及/或至少約1 nm (譬如,至少約2 nm或至少約5 nm)的至少一個(譬如,兩個或三個)尺度(譬如,長度、寬度、及/或深度)的形貌。
一般而言,本案所述的表面處理組成物包括至少一個(兩個、三個、或四個)非質子溶劑與至少一個(譬如,兩個、三個、或四個)表面處理劑。如本案所用,詞語「非質子溶劑」指的是缺少結合至氧(譬如,在羥基中)或氮(譬如,在胺基中)的氫原子的溶劑。在一些具體例中,該非質子溶劑具有相對高的偶極矩(譬如,至少2.7)的極性非質子溶劑。在一些具體例中,該非質子溶劑選自由下列所構成之群組:碳酸酯溶劑(譬如,碳酸丙烯酯或碳酸二甲酯)、內酯(譬如,γ-丁內酯)、酮(譬如,環己酮)、芳香烴(譬如,甲苯、二甲苯、或均三甲苯)、矽氧烷(譬如,六甲基二矽氧烷)、二醇二烷基醚(譬如,二丙二醇二甲醚或丙二醇二甲醚)、二醇烷基醚乙酸酯(譬如,丙二醇甲醚乙酸酯(PGMEA))、酯(譬如,乳酸乙酯)、脲(譬如,1,3-二甲基-2-咪唑啉酮或1,3-二甲基-3,4,5,6-四氫-2(1H)-嘧啶酮)、內醯胺、二甲亞碸、與N-甲基吡咯啶酮。
在一些具體例中,該非質子溶劑選自由下列所構成之群組:碳酸酯溶劑(例如碳酸丙烯酯)。在一些具體例中,該非質子溶劑選自由下列所構成之群組:內酯(例如γ-丁內酯)。
在一些具體例中,該至少一個非質子溶劑為本案所述的表面處理組成物的至少約90 wt% (譬如,至少約91 wt%、至少約92 wt%、至少約93 wt%、至少約94 wt%、至少約95 wt%、至少約96 wt%、至少約97 wt%、或至少約98 wt%)到至多約99.9 wt% (譬如,至多約99.5 wt%、至多約99 wt%、至多約98 wt%、或至多約97 wt%)。
一般而言,預期用於本揭示內容的組成物與方法中的表面處理劑為含Si化合物。在一些具體例中,該表面處理劑可為二矽氮烷。舉例來說,該表面處理劑可為六甲基二矽氮烷、七甲基二矽氮烷、N-甲基六甲基二矽氮烷、1,3-二苯基四甲基二矽氮烷、或1,1,3,3-四苯基-1,3-二甲基二矽氮烷。
在一些具體例中,預期用於本揭示內容的組成物與方法中的表面處理劑選自由包括三甲矽基基團的化合物所構成之群組。舉例來說,該表面處理劑可為N-(三甲矽基)二甲基胺、N-(三甲矽基)二乙胺、4-三甲矽基氧基-3-戊烯-2-酮,雙(三甲矽基)硫酸酯、甲氧基三甲基矽烷、N-烯丙基-N,N-雙(三甲矽基)胺、N-(三甲矽基)二乙胺、N,N-雙(三甲矽基)脲、或參(三甲矽基)亞磷酸酯。
在一些具體例中,預期用於本揭示內容的組成物與方法中的表面處理劑選自由胺基矽烷所構成之群組。舉例來說,該胺基矽烷可為雙(二甲基胺基)二甲基矽烷或苯乙基二甲基(二甲基胺基)矽烷。
在一些具體例中,該至少一個表面處理劑為本案所述的表面處理組成物的至少約0.5 wt% (譬如,至少約1 wt%、至少約2 wt%、至少約3 wt%、至少約4 wt%、至少約5 wt%、至少約6 wt%、至少約7 wt%、或至少約8 wt%)到至多約10 wt% (譬如,至多約9.5 wt%、至多約9 wt%、至多約8 wt%、或至多約7 wt%)。
不希望受限於理論,據信本案所述的表面處理組成物可在半導體基材的圖案化表面上形成一表面處理層(譬如,疏水層,例如疏水單層),俾使該圖案化表面具有至少約50度(譬如,至少約55度、至少約60度、至少約65度、至少約70度、至少約75度、至少約80度、至少約85度、至少約89度、至少約90度、至少約95度、或至少約100度)的水接觸角。不希望受限於理論,據信此類表面處理層可防止或最小化在通常用於半導體製程的清潔或乾燥步驟期間的半導體基材上的圖案化形貌的塌縮。在一些具體例中,在以本案所述的表面處理組成物處理後,圖案化晶圓上的至少約70% (譬如,至少約75%、至少約80%、至少約85%、至少約90%、至少約95%、至少約98%、或至少約99%)的形貌(譬如,柱)在清潔或乾燥步驟之後可保持不塌縮。
在一些具體例中,本案所述的表面處理組成物可再包括至少一個催化劑。例示的催化劑包括,但不限於,三唑(譬如,苯并三唑)、酸酐(譬如,鄰苯二甲酸酐或乙酸酐)、有機酸(譬如,磺酸,例如甲磺酸或三氟甲磺酸)、無機酸(譬如,硫酸)。
在一些具體例中,該至少一個催化劑為本案所述的表面處理組成物的至少約0.1 wt% (譬如,至少約0.2 wt%、至少約0.3 wt%、至少約0.4 wt%、至少約0.5 wt%、至少約0.6 wt%、至少約0.7 wt%、或至少約0.8 wt%)到至多約1 wt% (譬如,至多約0.95 wt%、至多約0.9 wt%、至多約0.8 wt%、至多約0.7 wt%、至多約0.6 wt%、或至多約0.5 wt%)。不希望受限於理論,據信該催化劑可有助於該表面處理劑在半導體基材的圖案化表面上形成該表面處理層(譬如,經由促進該表面處理劑與該圖案化表面之間的反應)。
在一些具體例中,本案所述的表面處理組成物可再包括水。在一些具體例中,該水為本案所述的表面處理組成物的至多約2 wt% (譬如,至多約1.5 wt%、至多約1 wt%、至多約0.8 wt%、至多約0.6 wt%、至多約0.5 wt%、至多約0.4 wt%、至多約0.2 wt%、或至多約0.1 wt%)。在一些具體例中,本案所述的表面處理組成物實質上不含水(除了溶劑中的微量水)。在此類具體例中,本案所述的表面處理組成物可包括至多約1000 ppm (譬如,至多約100 ppm、至多約10 ppm、或至多約1 ppm)的水。
在一些具體例中,本案所述的表面處理組成物可明確地排除呈任何組合的添加劑組分的一或多者,若不止一者的話。此類組分選自由下列所構成之群組:非芳香烴、環狀矽氮烷(譬如,雜環矽氮烷)、質子溶劑(譬如,醇或醯胺)、內酯(譬如,帶有5-或6-員環者)、某些含Si化合物(譬如,該等具有Si-H基團或胺基烷基基團者)、聚合物、除氧劑、四級銨鹽,包括四級銨氫氧化物、胺、鹼(例如鹼性鹼(譬如,NaOH、KOH、LiOH、Mg(OH)2 、與Ca(OH)2 ))、表面活性劑、消泡劑、含氟化合物(譬如,HF、H2 SiF6 、H2 PF6 、HBF4 、NH4 F、與四烷基氟化銨)、氧化劑(譬如,過氧化物,過氧化氫、硝酸鐵,碘酸鉀,過錳酸鉀、硝酸、亞氯酸銨、氯酸銨、碘酸銨、過硼酸銨、過氯酸銨、過碘酸銨、過硫酸銨、四甲基亞氯酸銨、四甲基氯酸銨、四甲基碘酸銨、四甲基過硼酸銨、四甲基過氯酸銨、四甲基過碘酸銨、四甲基過硫酸銨、過氧化氫脲、與過乙酸)、研磨劑、矽酸鹽、羥基羧酸、缺乏胺基的羧酸與多羧酸、矽烷(譬如,烷氧基矽烷)、除了本案所述的環矽氧烷以外的環狀化合物(譬如,含有至少兩環的環狀化合物,例如經取代或未經取代的萘、或經取代或未經取代的聯苯醚)、螯合劑(譬如,唑、二唑、三唑、或四唑)、腐蝕抑制劑(例如唑或非唑腐蝕抑制劑)、緩衝劑、胍、胍鹽、吡咯啶酮、聚乙烯吡咯啶酮、金屬鹵化物、與含金屬催化劑。
在一些具體例中,本案所述的表面處理方法可再包括,在以一表面處理組成物接觸該表面之前,以至少一個水性清潔溶液接觸基材的該表面。在此類具體例中,該至少一個水性清潔溶液可包括水、醇、氫氧化銨水溶液、鹽酸水溶液、過氧化氫水溶液、有機溶劑、或其等的組合。
在一些具體例中,本案所述的表面處理方法可再包括,在以該至少一個水性清潔溶液接觸該表面之後,但在以該表面處理組成物接觸該表面之前,以一第一漂洗溶液(譬如,水、有機溶劑,例如異丙醇、或其等的組合)接觸基材的該表面。在一些具體例中,本案所述的表面處理方法可再包括,在以該表面處理組成物接觸該表面之後,以一第二漂洗溶液(譬如,水、有機溶劑,例如異丙醇、或其等的組合)接觸該表面。在一些具體例中,本案所述的表面處理方法可再包括乾燥該表面(譬如,在以該第一漂洗溶液、該表面處理組成物、或該第二漂洗溶液接觸該表面之後)。在一些具體例中,本案所述的表面處理方法可再包括從該表面移除該表面處理層。
在一些具體例中,本揭示內容提供了用於清潔半導體基材(譬如,晶圓)的方法,該半導體基材具有配置在該基材表面上的圖案。此類方法可以,舉例來說,藉由下列進行: a)任擇地,以一水性清潔劑接觸該表面; b)任擇地,以一第一漂洗溶液接觸該表面; c)以一表面處理組成物接觸該表面,其中該表面處理組成物包括至少一個非質子溶劑與至少一個表面處理劑,其中該至少一個表面處理劑包括含Si化合物,該表面處理組成物在該表面上形成一表面處理層,俾使該表面具有至少約50度的水接觸角; d)任擇地,以一第二漂洗溶液接觸該表面; e)乾燥該表面;以及 f)任擇地,移除該表面處理層,以形成經清潔的圖案化表面。
在此類具體例中,該圖案可包括具有至多約20 nm尺度的形貌。
在上述方法的步驟a)中,帶有圖案化表面的基材(譬如,晶圓)係以一或多個水性清潔溶液處理。當該圖案化表面係以一或多個水性清潔溶液處理時,該清潔溶液可依序施用。該水性清潔溶液可為單獨的水或可為含有水、溶質、以及任擇地有機溶劑的溶液。在一些具體例中,該水性清潔溶液可包括水、醇(譬如,水溶性醇,例如異丙醇)、氫氧化銨水溶液、氫氯酸水溶液、過氧化氫水溶液、有機溶劑(譬如,水溶性有機溶劑)、或其等的組合。
在步驟b)中,來自步驟a)的清潔溶液可任擇地使用一第一漂洗溶液洗掉。該第一漂洗溶液可包括水、有機溶劑(譬如,異丙醇)、或含有有機溶劑的水溶液。在一些具體例中,該第一漂洗溶液與步驟a)中使用的清潔溶液係至少部分地混溶。在一些具體例中,當步驟a)中使用的清潔溶液對濕度不敏感或不含任何可感知份量的水時,可省略步驟b)。
在步驟c)中,該基材表面可以上述揭示內容的表面處理組成物處理,以形成具有一表面處理層(譬如,疏水層)的改質表面。依此形成的改質表面可為疏水的並可具有至少約50度的水接觸角。在一些具體例中,此步驟可在約20-35o C的溫度進行介於約10秒至約300秒範圍以內的方法時間。
在步驟d)中,在該基材表面以該表面處理組成物處理之後,該表面可任擇地以一第二漂洗溶液漂洗。該第二漂洗溶液可包括水、有機溶劑(譬如,異丙醇)、或含有有機溶劑的水溶液。在一些具體例中,此步驟可在約20-70o C的溫度進行。
在步驟e)中,該基材表面可被乾燥(譬如,藉由使用加壓氣體)。不希望受限於理論,據信在以本案所述的表面處理組成物處理該基材表面之後,在此乾燥步驟期間的表面上的圖案塌縮係最小化。
在步驟f)中,在乾燥步驟之後,該表面處理層(譬如,疏水層)可被任擇地移除。一般而言,取決於該改質表面的化學特性,該表面處理層可藉由眾多方法移除。用於移除該表面處理層的適宜方法包括電漿濺射;電漿灰化;在大氣壓或次大氣壓的熱處理;以酸、鹼、氧化劑或含有冷凝流體的溶劑(譬如,超臨界流體,例如超臨界CO2 )處理;蒸汽或液體處理;UV照射;或其等的組合。
具有藉由上述方法製備之經清潔的圖案化表面的半導體基材可再加工,以在該基材上形成一或多個電路或是可藉由,舉例來說,組裝(譬如,切割與黏合)與封裝(譬如,晶片密封)加工形成半導體裝置(譬如,積體電路裝置,例如半導體晶片)。
在一些具體例中,本揭示內容的特徵在於包括半導體基材、與藉由該半導體基材支撐的本案所述表面處理組成物的物件(譬如,在製造半導體裝置期間發現的中間半導體物件)。該表面處理組成物可包括至少一個非質子溶劑與至少一個含Si化合物,例如上述的該些。
本揭示內容係參照下列實施例來更詳細地說明,該等係用於例示目的且不應解讀為限制本揭示內容的範疇。實施例1
表面處理溶液(即,調配物1-101)係藉由在室溫下混合組分來製備。調配物1-101的組成係概述於下表1。表1所列出的所有百分比皆為重量百分比,除非另有指示。
將Si基材上含有SiO2 或SiN薄膜的試料 切成1x1平方吋。將試料垂直浸入100 mL攪拌中的(50 RPM)表面處理溶液並維持在表1指示的相應溫度。以表1指示的相應時間將試料加工。隨後在50o C以異丙醇漂洗試料並使用加壓氮氣乾燥。
將試料置於AST VCA 3000接觸角工具上,按照下列步驟測量接觸角: 1.將該SiO2 或SiN試料置於平台上。 2.順時針旋轉垂直旋鈕,使該平台上升,直到試樣正好位於針的下方。 3.注入一滴去離子水,輕輕接觸該試樣表面,隨後降低該試樣,直到該液滴從針尖分離。 4.使用橫向旋鈕調整平台,使液滴落在視野中央。 5.沿著導軌移動平台,使該液滴在視野聚焦,以取得清晰的影像。 6.點擊“AutoFAST”按鈕,以凍結影像並計算。將展示兩個數字;該等為左右接觸角。 7.欲手動計算,使用滑鼠在該液滴周圍放置五個標記。 8.從主要選單中選擇液滴圖標,以計算接觸角。 9.此將在該影像上創建曲線擬合與切線。螢幕的左上角將展示兩個數字;該等為左右接觸角。 10.在3個基材位點重複上述流程,將得到的接觸角平均並在表1報導平均結果。表1 1溶劑補足調配物的其餘部分 2 “CA”是指接觸角(度) 3 “HMDS”是指六甲基二矽氮烷 4 “PGMEA”是指丙二醇甲醚乙酸酯 5 “PGME”是指丙二醇甲醚 6 “HMDSO”是指六甲基二矽氧烷 7 “HMDSA”是指七甲基二矽氮烷 8 “TMSDMA”是指N-(三甲矽基)二甲基胺 9 “BDADMS”是指雙(二甲基胺基)二甲基矽烷
如表1所示,含有非質子溶劑與含Si化合物的配方1-101的絕大多數在SiO2 或SiN表面上展現接近90º的接觸角。實施例2
表面處理溶液(即,調配物102-115)係藉由在室溫下混合組分來製備。調配物102-115的組成係概述於下表2。表2所列出的所有百分比皆為重量百分比,除非另有指示。
以調配物102-115處理圖案化晶圓。將高縱橫比Si柱圖案化晶圓切成0.5吋乘0.5吋的試料。隨後將試料浸入攪拌中的25ºC表面處理溶液,達30-180秒。將試料從表面處理溶液中移出,並在含有攪拌中的50ºC異丙醇的燒杯中漂洗60秒。隨後將試料從異丙醇漂洗液中移出,並用垂直於該試料定向的N2 氣體分配槍以1吋的工作距離與45 psi的氣體壓力乾燥。隨後藉由掃描電子顯微鏡在三個隨機選擇的位點以50000x的放大倍數分析試料,並將未塌縮矽柱的數目製表。在該三個位點的未塌縮Si-柱的平均值係以佔觀察到的全部 Si 柱的百分比報導於表2。表2 1溶劑補足調配物的其餘部分
如表2所示,在用調配物102-115處理後,圖案化矽晶圓上的大部分矽柱在清潔或乾燥製程之後皆留下。
儘管已參照某些實施例詳細說明了本揭示內容,但應理解的是,修改與變化係落於所說明與主張的精神與範疇以內。

Claims (52)

  1. 一種用於處理半導體基材的方法,該半導體基材具有設置在該基材一表面上的圖案,該方法包含: 以一表面處理組成物接觸該表面,其中該表面處理組成物包含至少一個非質子溶劑與至少一個表面處理劑,該至少一個表面處理劑包含含Si化合物,且該表面處理組成物在該表面上形成一表面處理層,俾使該表面具有至少約50度的水接觸角; 其中該圖案包含具有至多約20 nm尺度的形貌(feature)。
  2. 如請求項1之方法,其中該表面包含SiO2 、SiN、TiN、SiOC、SiON、Si、SiGe、Ge、或W。
  3. 如請求項1之方法,其中該至少一個非質子溶劑選自由下列所構成之群組:碳酸酯溶劑、內酯、酮、芳香烴、矽氧烷、二醇二烷基醚、二醇烷基醚乙酸酯、酯、脲、內醯胺、二甲亞碸、與N-甲基吡咯啶酮。
  4. 如請求項1之方法,其中該至少一個非質子溶劑包含碳酸酯溶劑。
  5. 如請求項4之方法,其中該碳酸酯溶劑為碳酸丙烯酯。
  6. 如請求項1之方法,其中該至少一個非質子溶劑包含內酯。
  7. 如請求項6之方法,其中該內酯為γ-丁內酯。
  8. 如請求項1之方法,其中該至少一個非質子溶劑為該表面處理組成物的約90 wt%至約99.9 wt%。
  9. 如請求項1之方法,其中該含Si化合物為二矽氮烷。
  10. 如請求項9之方法,其中該二矽氮烷為六甲基二矽氮烷、七甲基二矽氮烷、N-甲基六甲基二矽氮烷、1,3-二苯基四甲基二矽氮烷、或1,1,3,3-四苯基-1,3-二甲基二矽氮烷。
  11. 如請求項1之方法,其中該含Si化合物包含三甲矽基。
  12. 如請求項11之方法,其中該含Si化合物為N-(三甲矽基)二甲基胺、N-(三甲矽基)二乙胺、4-三甲矽基氧基-3-戊烯-2-酮、雙-三甲矽基硫酸酯、甲氧基三甲基矽烷、N-烯丙基-N,N-雙(三甲矽基)胺、N-(三甲矽基)二乙胺、N,N-雙-三甲矽基脲、或參-三甲矽基亞磷酸酯。
  13. 如請求項1之方法,其中該含Si化合物為胺基矽烷。
  14. 如請求項13之方法,其中該胺基矽烷為雙(二甲基胺基)二甲基矽烷或苯乙基二甲基(二甲基胺基)矽烷。
  15. 如請求項1之方法,其中該至少一個表面處理劑為該表面處理組成物的約0.5 wt%至約10 wt%。
  16. 如請求項1之方法,其中該表面處理組成物更包含一催化劑。
  17. 如請求項16之方法,其中該催化劑為苯并三唑、鄰苯二甲酸酐、乙酸酐、甲磺酸、硫酸、或三氟甲磺酸。
  18. 如請求項16之方法,其中該催化劑為該表面處理組成物的約0.1 wt%至約1 wt%。
  19. 如請求項1之方法,其中該表面處理組成物更包含水,該水為該表面處理組成物的至多約2 wt%。
  20. 如請求項1之方法,其中該表面處理組成物實質上不含水。
  21. 如請求項1之方法,其中該表面處理組成物在一表面上形成一表面處理層,俾使該表面具有至少約65度的水接觸角。
  22. 如請求項1之方法,該方法更包含,在以該表面處理組成物接觸該表面之前,以至少一個水性清潔溶液接觸該表面。
  23. 如請求項22之方法,其中該至少一個水性清潔溶液包含水、醇、氫氧化銨水溶液、鹽酸水溶液、過氧化氫水溶液、有機溶劑或其等的組合。
  24. 如請求項22之方法,該方法更包含,在以該至少一個水性清潔溶液接觸該表面之後,但在以該表面處理組成物接觸該表面之前,以一第一漂洗溶液接觸該表面。
  25. 如請求項1之方法,該方法更包含,在以該表面處理組成物接觸該表面之後,以一第二漂洗溶液接觸該表面。
  26. 如請求項1之方法,該方法更包含乾燥該表面。
  27. 如請求項1之方法,該方法更包含移除該表面處理層。
  28. 一種表面處理組成物,包含: 至少一個非質子溶劑,以及 至少一個表面處理劑,該表面處理劑為含Si化合物,該含Si化合物包含三甲矽基基團、胺基矽基基團、或二矽氮烷基團,條件是該二矽氮烷基團不包含Si-H鍵, 其中該表面處理組成物在一表面上形成一表面處理層,俾使該表面具有至少約50度的水接觸角。
  29. 如請求項28之組成物,其中該至少一個非質子溶劑選自由下列所構成之群組:碳酸酯溶劑、內酯、酮、芳香烴、矽氧烷、二醇二烷基醚、二醇烷基醚乙酸酯、酯、脲、內醯胺、二甲亞碸、與N-甲基吡咯啶酮。
  30. 如請求項29之組成物,其中該至少一個非質子溶劑包含碳酸酯溶劑。
  31. 如請求項30之組成物,其中該碳酸酯溶劑為碳酸丙烯酯。
  32. 如請求項28之組成物,其中該至少一個非質子溶劑包含內酯。
  33. 如請求項32之組成物,其中該內酯為γ-丁內酯。
  34. 如請求項28之組成物,其中該至少一個非質子溶劑為該表面處理組成物的約90 wt%至約99.9 wt%。
  35. 如請求項28之組成物,其中該含Si化合物為二矽氮烷。
  36. 如請求項35之組成物,其中該二矽氮烷為六甲基二矽氮烷、七甲基二矽氮烷、N-甲基六甲基二矽氮烷、1,3-二苯基四甲基二矽氮烷、或1,1,3,3-四苯基-1,3-二甲基二矽氮烷。
  37. 如請求項28之組成物,其中該含Si化合物包含三甲矽基。
  38. 如請求項37之組成物,其中該含Si化合物為N-(三甲矽基)二甲基胺、N-(三甲矽基)二乙胺、4-三甲矽基氧基-3-戊烯-2-酮、雙-三甲矽基硫酸酯、甲氧基三甲基矽烷、N-烯丙基-N,N-雙(三甲矽基)胺、N-(三甲矽基)二乙胺、N,N-雙-三甲矽基脲、或參-三甲矽基亞磷酸酯。
  39. 如請求項28之組成物,其中該含Si化合物為胺基矽烷。
  40. 如請求項39之組成物,其中該胺基矽烷為雙-二甲基胺基二甲基矽烷或苯乙基二甲基(二甲基胺基)矽烷。
  41. 如請求項28之組成物,其中該至少一個表面處理劑為該表面處理組成物的約0.5 wt%至約10 wt%。
  42. 如請求項28之組成物,該組成物更包含一催化劑。
  43. 如請求項42之組成物,其中該催化劑為苯并三唑、鄰苯二甲酸酐、乙酸酐、甲磺酸、硫酸、或三氟甲磺酸。
  44. 如請求項42之組成物,其中該催化劑為該表面處理組成物的約0.1 wt%至約1 wt%。
  45. 如請求項28之組成物,其中該表面處理組成物更包含水,該水為該表面處理組成物的至多約2 wt%。
  46. 如請求項28之組成物,其中該表面處理組成物實質上不含水。
  47. 如請求項28之組成物,其中該表面處理組成物在一表面上形成一表面處理層,俾使該表面具有至少約65度的水接觸角。
  48. 一種表面處理組成物,包含: 約90 wt%至約99.5 wt%的至少一個非質子溶劑,其選自由下列所構成之群組:內酯、酮、芳香烴、矽氧烷、二醇二烷基醚、二醇烷基醚乙酸酯、酯、脲、內醯胺、二甲亞碸、與N-甲基吡咯啶酮,以及 約0.5 wt%至約10 wt%的至少一個表面處理劑,該表面處理劑為含Si化合物,其包含三甲矽基基團、胺基矽基基團、或二矽氮烷基團,條件是該二矽氮烷基團不包含Si-H鍵。
  49. 如請求項48之組成物,該組成物更包含一催化劑或水。
  50. 一種表面處理組成物,由下列構成: 碳酸丙烯酯, 六甲基二矽氮烷,以及 任擇地,至少一個共溶劑。
  51. 如請求項50之組成物,其中該六甲基二矽氮烷為該組成物的約0.5 wt%至約10 wt%。
  52. 如請求項50之組成物,其中該至少一個共溶劑包含水、矽氧烷、或芳香烴。
TW107110073A 2017-03-24 2018-03-23 表面處理方法及用於該方法的組成物 TWI835725B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762476182P 2017-03-24 2017-03-24
US62/476,182 2017-03-24
US201862617688P 2018-01-16 2018-01-16
US62/617,688 2018-01-16

Publications (2)

Publication Number Publication Date
TW201842148A true TW201842148A (zh) 2018-12-01
TWI835725B TWI835725B (zh) 2024-03-21

Family

ID=

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI740122B (zh) * 2018-04-05 2021-09-21 日商中央硝子股份有限公司 晶圓之表面處理方法及用於該方法之組合物

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI740122B (zh) * 2018-04-05 2021-09-21 日商中央硝子股份有限公司 晶圓之表面處理方法及用於該方法之組合物

Also Published As

Publication number Publication date
JP2023027033A (ja) 2023-03-01
KR102519448B1 (ko) 2023-04-07
JP2020512693A (ja) 2020-04-23
JP7452782B2 (ja) 2024-03-19
WO2018175682A1 (en) 2018-09-27
US20180277357A1 (en) 2018-09-27
SG11201908617QA (en) 2019-10-30
US10593538B2 (en) 2020-03-17
KR20190124795A (ko) 2019-11-05
CN110462525A (zh) 2019-11-15
EP3602606A1 (en) 2020-02-05
EP3602606A4 (en) 2020-02-05
IL269490A (en) 2019-11-28
IL269490B (en) 2022-06-01

Similar Documents

Publication Publication Date Title
KR102519448B1 (ko) 표면 처리 방법 및 이를 위한 조성물
TWI659088B (zh) 蝕刻組成物
KR100561178B1 (ko) 반도체 장치용의 유기 및 플라즈마 에칭된 잔사의 세척조성물
US11447642B2 (en) Methods of using surface treatment compositions
JP7474765B2 (ja) エッチング組成物
CN111225965B (zh) 蚀刻组合物
US11508569B2 (en) Surface treatment compositions and methods
JP2008538013A (ja) 溶媒系中の自己組織化単分子膜を用いた高線量イオン注入フォトレジストの除去
WO2023192000A1 (en) Surface treatment compositions and methods
TWI835725B (zh) 表面處理方法及用於該方法的組成物
TWI838332B (zh) 用於移除半導體基材上的殘餘物之清潔調配物
KR102026484B1 (ko) 알루미늄 에칭후 잔류물 제거 및 동시 표면 부동태화