TWI713458B - 用於移除蝕刻後殘留物之具有鎢及鈷相容性之水性及半水性清洗劑 - Google Patents

用於移除蝕刻後殘留物之具有鎢及鈷相容性之水性及半水性清洗劑 Download PDF

Info

Publication number
TWI713458B
TWI713458B TW104120933A TW104120933A TWI713458B TW I713458 B TWI713458 B TW I713458B TW 104120933 A TW104120933 A TW 104120933A TW 104120933 A TW104120933 A TW 104120933A TW I713458 B TWI713458 B TW I713458B
Authority
TW
Taiwan
Prior art keywords
ammonium
bromide
triazole
cleaning composition
acid
Prior art date
Application number
TW104120933A
Other languages
English (en)
Other versions
TW201619363A (zh
Inventor
宋凌雁
史蒂芬 利比
艾曼紐 庫珀
Original Assignee
美商恩特葛瑞斯股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商恩特葛瑞斯股份有限公司 filed Critical 美商恩特葛瑞斯股份有限公司
Publication of TW201619363A publication Critical patent/TW201619363A/zh
Application granted granted Critical
Publication of TWI713458B publication Critical patent/TWI713458B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/008Polymeric surface-active agents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/66Non-ionic compounds
    • C11D1/662Carbohydrates or derivatives
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/66Non-ionic compounds
    • C11D1/667Neutral esters, e.g. sorbitan esters
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/042Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/046Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/24Organic compounds containing halogen
    • C11D3/245Organic compounds containing halogen containing fluorine
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/36Organic compounds containing phosphorus
    • C11D3/361Phosphonates, phosphinates or phosphonites
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3703Macromolecular compounds obtained otherwise than by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/3707Polyethers, e.g. polyalkyleneoxides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/28Organic compounds containing halogen
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F11/00Inhibiting corrosion of metallic material by applying inhibitors to the surface in danger of corrosion or adding them to the corrosive agent
    • C23F11/08Inhibiting corrosion of metallic material by applying inhibitors to the surface in danger of corrosion or adding them to the corrosive agent in other liquids
    • C23F11/10Inhibiting corrosion of metallic material by applying inhibitors to the surface in danger of corrosion or adding them to the corrosive agent in other liquids using organic inhibitors
    • C23F11/14Nitrogen-containing compounds
    • C23F11/149Heterocyclic compounds containing nitrogen as hetero atom
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • C11D2111/22

Abstract

本發明係關於用於自其上具有電漿蝕刻後殘留物之微電子裝置清洗該殘留物之清洗組成物及方法。該組成物達成包括含鈦、含銅、含鎢及/或含鈷蝕刻後殘留物之殘留材料自微電子裝置之高度有效清洗,同時不會損壞亦存在於其上之層間介電質、金屬互連材料、及/或覆蓋層。

Description

用於移除蝕刻後殘留物之具有鎢及鈷相容性之水性及半水性清洗劑
本發明係關於用於自微電子裝置移除包括含鈦、含鈷、含鎢及/或含銅蝕刻後殘留物之蝕刻後殘留物的組成物及其製造與使用方法。
半導體電路中之互連電路係由絕緣介電材料所包圍的導電金屬電路所組成。過去廣泛地使用自原矽酸四乙酯(TEOS)氣相沈積之矽酸鹽玻璃作為介電材料,同時將鋁合金用於金屬互連體。對於較高處理速度之需求導致電路元件之較小尺寸化,以及以較高效能材料取代TEOS及鋁合金。鋁合金已由於銅之較高傳導性而被銅或銅合金取代。TEOS及氟化矽酸鹽玻璃(FSG)已被所謂的低k電介質取代,包括低極性材料諸如有機聚合物、有機/無機混合材料、有機矽酸鹽玻璃(OSG)、及摻碳氧化物(CDO)玻璃。於此等材料中併入孔隙度(即填充空氣的孔隙)進一步降低材料的介電常數。
在積體電路的雙重鑲嵌加工期間,使用微影術來在裝置晶圓上使圖案成像。微影技術包括塗布、曝光、及顯影之步驟。將晶圓塗布正型或負型光阻物質及隨後覆蓋界定待於後續製程中 保留或移除之圖案的遮罩。於適當定位遮罩後,將遮罩引導通過一束單色輻射,諸如紫外(UV)光或深UV(DUV)光(
Figure 104120933-A0305-02-0004-4
250nm或193nm),以使經曝光的光阻材料更可溶或更不可溶於選定的沖洗溶液中。可溶解的光阻材料隨後經移除或「顯影」,而留下與遮罩相同的圖案。
其後使用氣相電漿蝕刻來將經顯影光阻塗層之圖案轉移至下方層(其可包括硬遮罩、層間介電質(ILD)、及/或蝕刻終止層)。電漿蝕刻後殘留物通常會沈積於後段製程(BEOL)結構上,及若未經移除的話,其會干擾後續的矽化或接點形成。電漿蝕刻後殘留物通常包括存於基板上及電漿氣體中之化學元素。舉例來說,若使用TiN硬遮罩,例如,作為在ILD上之覆蓋層,則電漿蝕刻後殘留物將包括難以使用習知之濕式清洗化學物質移除的含鈦物質。此外,習知之清洗化學物質通常會損壞ILD,吸收至ILD之孔隙中,因而提高介電常數、及/或腐蝕金屬結構。舉例來說,基於經緩衝氟化物及溶劑之化學物質無法完全移除含Ti殘留物,而含有羥胺及氨-過氧化物化學物質會腐蝕銅。
除了含鈦電漿蝕刻後殘留物的期望移除外,較佳亦將移除在電漿蝕刻後製程期間沈積於圖案化裝置之側壁上之其他材料(諸如聚合殘留物)、於裝置之開放通孔結構中之含銅殘留物、及含鈷及/或含鎢殘留物。迄今為止,沒有單一的濕式清洗組成物已成功地移除所有殘留材料,同時仍可與ILD、其他低k介電材料、及金屬互連材料相容。
將諸如低k介電質的新穎材料整合至微電子裝置中對清洗效能產生新的需求。同時,縮小裝置尺寸降低對關鍵尺寸變 化及裝置元件損壞的耐受性。可修改蝕刻條件以滿足新穎材料的需求。同樣地,必須修改電漿蝕刻後清洗組成物。清洗劑不應損壞裝置上之下層介電材料或腐蝕金屬互連材料,例如,銅、鎢、鈷、鋁、釕、鈦及其氮化物及矽化物。
為此,本發明之一目的係提供用於自微電子裝置有效移除包括(但不限於)含鈦殘留物、聚合側壁殘留物、含銅通孔殘留物、含鎢殘留物、及/或含鈷殘留物之電漿蝕刻後殘留物的組成物及方法,該等組成物可與ILD、金屬互連材料、及/或覆蓋層相容。
本發明大致係關於清洗組成物及其製造與使用方法。本發明之一態樣係關於一種用於自其上具有電漿蝕刻後殘留物之微電子裝置清洗該殘留物,同時不會損害微電子裝置表面上之金屬及ILD材料的組成物及方法。
在一態樣中,描述一種水性清洗組成物,該水性清洗組成物包含至少一種非離子表面活性劑腐蝕抑制劑、至少一種蝕刻劑來源、至少一種鈍化劑、水、視需要之至少一種有機溶劑、視需要之至少一種緩衝物質、視需要之至少一種額外腐蝕抑制劑、及視需要之至少一種氧化劑,其中該水性清洗組成物適用於自其上具有電漿蝕刻後殘留物之微電子裝置清洗該殘留物。
在另一態樣中,描述一種自其上具有材料之微電子裝置移除該材料之方法,該方法包括使該微電子裝置與一水性清洗組成物接觸足夠的時間,以自該微電子裝置至少部分移除該材料,其中該水性清洗組成物包含至少一種非離子表面活性劑腐蝕抑制劑、至少一種蝕刻劑來源、至少一種鈍化劑、水、視需要之至少一 種有機溶劑、視需要之至少一種緩衝物質、視需要之至少一種額外腐蝕抑制劑、及視需要之至少一種氧化劑。
本發明之其他態樣、特徵及優點將可由隨後之揭示內容及隨附之申請專利範圍而更完整明瞭。
本發明大致係關於用於自其上具有殘留物(較佳係蝕刻後殘留物,更佳係含鈦蝕刻後殘留物、聚合側壁殘留物、含鈷蝕刻後殘留物、含銅通孔及線路殘留物及/或含鎢蝕刻後殘留物)之微電子裝置移除該殘留物的組成物,該等組成物較佳可與微電子裝置表面上之超低k(ULK)ILD材料(諸如OSG及多孔CDO)、金屬互連材料(例如,銅、及鎢)、硬遮罩覆蓋層(例如,TiN)、及鈷覆蓋層(例如,CoWP)相容。此外,本發明大致係關於使用組成物自其上具有殘留物(較佳係蝕刻後殘留物,更佳係含鈦蝕刻後殘留物、聚合側壁殘留物、含銅通孔及線路殘留物、含鎢蝕刻後殘留物、及/或含鈷蝕刻後殘留物)之微電子裝置移除該殘留物的方法,該等組成物較佳可與微電子裝置表面上之超低k(ULK)ILD材料、金屬互連材料、及覆蓋層相容。
為容易參考起見,「微電子裝置」係對應於經製造用於微電子、積體電路、能量收集、或電腦晶片應用中之半導體基板、平板顯示器、相變記憶體裝置、太陽能面板及包括太陽能電池裝置、光伏打元件、及微機電系統(MEMS)的其他產品。應瞭解術語「微電子裝置」不具任何限制意味,且包括任何最終將成為微電子 裝置或微電子組件的基板或結構。值得注意地,微電子裝置基板可為圖案化、毯覆式及/或測試基板。
如本文所用之「蝕刻後殘留物」及「電漿蝕刻後殘留物」係相當於在氣相電漿蝕刻製程(例如,BEOL雙重鑲嵌加工)後殘留的材料。蝕刻後殘留物之性質可為有機、有機金屬、有機矽、或無機,例如,含矽材料、含鈦材料、含氮材料、含氧材料、聚合殘留材料、含銅殘留材料(包括氧化銅殘留物)、含鎢殘留材料、含鈷殘留材料、蝕刻氣體殘留物諸如氯及氟、及其組合。
如本文所定義之「低k介電材料」及ULK係相當於任何在層狀微電子裝置中使用作為介電材料的材料,其中該材料具有小於約3.5之介電常數。低k介電材料較佳包括低極性材料諸如含矽有機聚合物、含矽之有機/無機混合材料、有機矽酸鹽玻璃(OSG)、TEOS、氟化矽酸鹽玻璃(FSG)、二氧化矽、及摻碳氧化物(CDO)玻璃。低k介電材料最佳係使用有機矽烷及/或有機矽氧烷前驅體沈積。應明瞭低k介電材料可具有不同密度及不同孔隙度。
如本文所定義之術語「聚合側壁殘留物」係相當於在電漿蝕刻製程後殘留於圖案化裝置之側壁上的殘留物。該殘留物實質上為聚合性質,然而,應明瞭無機物質(例如,含鈦、矽、鎢、鈷及/或銅之物質)亦可存在於側壁殘留物中。
如本文所使用之「約」係意指相當於所述值之±5%。
如本文所用,「適用」於自其上具有蝕刻後殘留物之微電子裝置清洗該殘留物係相當於自微電子裝置至少部分移除該殘留物。較佳地,自微電子裝置移除至少約90%之一或多種該等待移除材料,更佳至少95%之一或多種該等材料,及最佳至少99%之 一或多種該等待移除材料。
如本文所使用之「覆蓋層」係相當於在電漿蝕刻步驟期間沈積於介電材料及/或金屬材料(例如,鈷)上以保護其等之材料。硬遮罩覆蓋層傳統上係矽、氮化矽、氧氮化矽、氮化鈦、氧氮化鈦、鈦、鉭、氮化鉭、鉬、鎢、其組合、及其他類似化合物。鈷覆蓋層包括CoWP及其他含鈷材料或含鎢材料。
如本文所定義之「金屬互連材料」包括,但不限於,銅、鎢、鈷、鋁、釕、鈦、及其氮化物及矽化物。
「實質上不含」在本文係定義為小於2重量%,較佳小於1重量%,更佳小於0.5重量%,及最佳小於0.1重量%。
如本文所使用之術語「半水性」係指水與有機組分之混合物。
如本文所定義之「鹼金及鹼土金屬氫氧化物鹽」包括LiOH、NaOH、KOH、RbOH、CsOH、Mg(OH)2、Ca(OH)2、Sr(OH)2及Ba(OH)2
本發明之組成物可以如更完整說明於下文之相當多樣的特定配方具體實施。
在所有該等組成物中,當參照包括零下限之重量百分比範圍論述組成物之特定組分時,當明瞭在組成物之各種特定具體例中可存在或不存在此等組分,且在存在此等組分之情況中,其可以基於其中使用此等組分之組成物之總重量計低至0.001重量百分比之濃度存在。
熟知含鈦蝕刻後殘留材料難以使用先前技術之含氨組成物移除。本發明人發現一種可有效及選擇性地自其上具有含鈦 殘留物之微電子裝置表面將其等移除之實質上不含氨及/或鹼金及鹼土金屬氫氧化物鹽(例如,NaOH、KOH等)的清洗組成物。此外,該組成物將實質上地移除聚合側壁殘留物、含銅殘留物、含鈷殘留物、及/或含鎢殘留物,而不會實質上地損傷下層ILD、金屬互連材料(例如,Cu、Al、Co及W)、及/或覆蓋層。此外,不管是否先蝕刻溝渠或通孔(即先溝渠或先通孔方案),該等組成物皆可使用。
在第一態樣中,描述一種用於自其上具有電漿蝕刻後殘留物之微電子裝置之表面將其等移除之第一清洗組成物,其中該第一清洗組成物係水性或半水性且包含以下組分,由其所組成,或基本上由其所組成:至少一種腐蝕抑制劑、至少一種蝕刻劑來源、至少一種鈍化劑、水、視需要之至少一種有機溶劑、視需要之至少一種緩衝物質、及視需要之至少一種氧化劑,其中該電漿蝕刻後殘留物包含選自由含鈦殘留物、聚合殘留物、含銅殘留物、含鎢殘留物、含鈷殘留物、及其組合所組成之群之物質。在另一具體例中,該第一清洗組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種腐蝕抑制劑、至少一種蝕刻劑來源、至少一種鈍化劑、水、至少一種有機溶劑、視需要之至少一種緩衝物質、及視需要之至少一種氧化劑。在又另一具體例中,該第一清洗組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種非離子表面活性劑腐蝕抑制劑、至少一種蝕刻劑來源、至少一種鈍化劑、水、視需要之至少一種有機溶劑、視需要之至少一種緩衝物質、視需要之至少一種額外腐蝕抑制劑、及視需要之至少一種氧化劑。在又另一具體例中,該第一清洗組成物係水性或半水性且包含以下組分,由 其所組成,或基本上由其所組成:至少一種非離子表面活性劑腐蝕抑制劑、至少一種蝕刻劑來源、至少一種鈍化劑、水、至少一種有機溶劑、視需要之至少一種緩衝物質、視需要之至少一種額外腐蝕抑制劑、及視需要之至少一種氧化劑。在又另一具體例中,該第一清洗組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種非離子表面活性劑腐蝕抑制劑、至少一種蝕刻劑來源、至少一種鈍化劑、水、至少一種額外腐蝕抑制劑、視需要之至少一種緩衝物質、視需要之至少一種有機溶劑、及視需要之至少一種氧化劑。在又另一具體例中,該第一清洗組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種非離子表面活性劑腐蝕抑制劑、至少一種蝕刻劑來源、至少一種鈍化劑、水、至少一種額外腐蝕抑制劑、至少一種有機溶劑、視需要之至少一種緩衝物質、及視需要之至少一種氧化劑。較佳地,基於組成物之總重量,水的存在量係在約50重量%至約99.5重量%之範圍內。第一清洗組成物之pH範圍係4至14,較佳約6至約14,再更佳約6至約10或約8至約13。
在一具體例中,用於清洗選自由含鈦殘留物、聚合殘留物、含銅殘留物、含鎢殘留物、含鈷殘留物、及其組合所組成之群之電漿蝕刻後殘留物的第一清洗組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種腐蝕抑制劑、至少一種蝕刻劑來源、至少一種鈍化劑、水、視需要之至少一種有機溶劑、視需要之至少一種緩衝物質、及視需要之至少一種氧化劑,其等係基於組成物之總重量以下述範圍存在。
Figure 104120933-A0305-02-0011-1
在一具體例中,用於清洗選自由含鈦殘留物、聚合殘留物、含銅殘留物、含鎢殘留物、含鈷殘留物、及其組合所組成之群之電漿蝕刻後殘留物的第一清洗組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種非離子表面活性劑腐蝕抑制劑、至少一種蝕刻劑來源、至少一種鈍化劑、水、視需要之至少一種有機溶劑、視需要之至少一種緩衝物質、視需要之至少一種額外腐蝕抑制劑、及視需要之至少一種氧化劑,其等係基於組成物之總重量以下述範圍存在。
Figure 104120933-A0305-02-0011-2
在寬廣實務中,第一清洗組成物可包含以下組分,由其所組成,或基本上由其所組成:(i)至少一種腐蝕抑制劑、至少一種蝕刻劑來源、至少一種鈍化劑、及水;(ii)至少一種腐蝕抑制劑、至少一種蝕刻劑來源、至少一種鈍化劑、水、及至少一種有機溶劑;(iii)至少一種腐蝕抑制劑、至少一種蝕刻劑來源、至少一種鈍化劑、水、及至少一種氧化劑;(iv)至少一種腐蝕抑制劑、至少一種蝕刻劑來源、至少一種鈍化劑、水、至少一種有機溶劑、及至少一種氧化劑;(v)至少一種腐蝕抑制劑、至少一種蝕刻劑來源、至少一種鈍化劑、水、及至少一種緩衝物質;(vi)至少一種非離子表面活性劑腐蝕抑制劑、至少一種蝕刻劑來源、至少一種鈍化劑、及水;(vii)至少一種非離子表面活性劑腐蝕抑制劑、至少一種蝕刻劑來源、至少一種鈍化劑、水、及至少一種有機溶劑;(viii)至少一種非離子表面活性劑腐蝕抑制劑、至少一種蝕刻劑來源、至少一種鈍化劑、水、及至少一種氧化劑;(ix)至少一種非離子表面活性劑腐蝕抑制劑、至少一種蝕刻劑來源、至少一種鈍化劑、水、至少一種有機溶劑、及至少一種氧化劑;(x)至少一種非離子表面活性劑腐蝕抑制劑、至少一種蝕刻劑來源、至少一種鈍化劑、水、及至少一種緩衝物質。第一清洗組成物之pH範圍係4至14,較佳約6至約14,再更佳約6至約10或約8至約13。
包含的水係用來充作溶劑及促進殘留物(例如,水溶性氧化銅殘留物)之溶解。水較佳經去離子。
在一較佳具體例中,第一清洗組成物在與待清洗基板接觸之前實質上不含化學機械拋光研磨材料。
蝕刻劑來源有助於分解及溶解蝕刻後殘留物質,促進 聚合物側壁殘留物移除。此處涵蓋的蝕刻劑來源包括,但不限於:氫氟酸(HF);氟矽酸(H2SiF6);氟硼酸;氟矽酸銨鹽((NH4)2SiF6);六氟磷酸四甲基銨;氟化銨;氟化氫銨;分別具有式NR4BF4及PR4BF4之四氟硼酸四級銨及四氟硼酸四級鏻,其中R可彼此相同或不同且係選自由下列組成之群:氫、直鏈、分支鏈、或環狀C1-C6烷基(例如,甲基、乙基、丙基、丁基、戊基、己基)、及直鏈或分支鏈C6-C10芳基(例如,苄基);四氟硼酸四丁銨(TBA-BF4);及其組合。較佳地,該蝕刻劑來源包括氟化銨、氟化氫銨、四氟硼酸四級銨(例如,四氟硼酸四甲銨、四氟硼酸四乙銨、四氟硼酸四丙銨、四氟硼酸四丁銨)、四氟硼酸四級鏻、或其組合。較佳地,該蝕刻劑來源包括氟化氫銨、氟化銨、或其組合。熟悉技藝人士應明瞭四氟硼酸四級銨及四氟硼酸四級鏻可於原位產生。
金屬腐蝕抑制劑可消除金屬(例如,銅、鎢、及/或鈷互連金屬)的過度蝕刻。較佳的腐蝕抑制劑包括非離子表面活性劑諸如PolyFox PF-159(OMNOVA Solutions)、聚(乙二醇)(「PEG」)、聚(丙二醇)(「PPG」)、氧化乙烯/氧化丙烯嵌段共聚物諸如Pluronic F-127(BASF)、聚山梨醇酯(例如,聚氧伸乙基(20)脫水山梨糖醇單油酸酯(Tween 80)、聚氧伸乙基(20)脫水山梨糖醇單硬脂酸酯(Tween 60)、聚氧伸乙基(20)脫水山梨糖醇單棕櫚酸酯(Tween 40)、聚氧伸乙基(20)脫水山梨糖醇單月桂酸酯(Tween 20))、聚氧伸丙基/聚氧伸乙基嵌段共聚物(例如,Pluronic L31、Pluronic 31R1、Pluronic 25R2及Pluronic 25R4)、及其組合。除至少一種非離子表面活性劑外,可添加至少一種額外腐蝕抑制劑,包括,但不限於,唑類諸如5-胺基四唑、5-苯基苯并三唑、1H-四唑-5-乙酸、1-苯基-2-四唑啉 -5-硫酮、苯并咪唑、甲基四唑、試鉍硫醇I(Bismuthiol I)、胞嘧啶、鳥嘌呤、胸嘧啶、吡唑、亞胺二乙酸(IDA)、丙硫醇、苯并羥肟酸、檸檬酸、抗壞血酸、5-胺基-1,3,4-噻二唑-2-硫醇(ATDT)、苯并三唑(BTA)、1,2,4-三唑(TAZ)、甲苯三唑、5-甲基苯并三唑(mBTA)、5-苯基苯并三唑、5-硝基苯并三唑、苯并三唑羧酸、3-胺基-5-巰基-1,2,4-三唑、1-胺基-1,2,4-三唑、羥基苯并三唑、2-(5-胺基戊基)苯并三唑、1-胺基-1,2,3-三唑、1-胺基-5-甲基-1,2,3-三唑、3-胺基-1,2,4-三唑(3-ATA)、3-巰基-1,2,4-三唑、3-異丙基-1,2,4-三唑、5-苯基硫醇-苯并三唑、鹵基苯并三唑(鹵基=F、Cl、Br或I)、萘并三唑、2-巰基苯并咪唑(MBI)、2-巰基苯并噻唑、4-甲基-2-苯基咪唑、2-巰基噻唑啉、5-胺基-1,2,4-三唑(5-ATA)、十二烷基硫酸鈉(SDS)、ATA-SDS、3-胺基-5-巰基-1,2,4-三唑、伸戊基四唑、5-苯基-1H-四唑、5-苄基-1H-四唑、Ablumine O(Taiwan Surfactant)、2-苄基吡啶、琥珀醯亞胺、2,4-二胺基-6-甲基-1,3,5-三苊、噻唑、三苊、甲基四唑、1,3-二甲基-2-咪唑啶酮、1,5-五亞甲基四唑、1-苯基-5-巰基四唑、二胺甲基三苊、咪唑啉硫酮、4-甲基-4H-1,2,4-三唑-3-硫醇、4-胺基-4H-1,2,4-三唑、3-胺基-5-甲硫基-1H-1,2,4-三唑、苯并噻唑、咪唑、吲二唑(indiazole)、腺嘌呤、琥珀醯亞胺、腺苷酸、咔唑、糖精、尿酸、安息香肟、陽離子四級鹽(例如,殺藻胺(benzalkonium chloride)、氯化苄基二甲基十二烷基銨、溴化肉豆蔻基三甲基銨、溴化十二烷基三甲基銨、氯化十六烷基吡啶、Aliquat® 336(Cognis)、氯化苄基二甲基苯基銨、CrodaquatTM TES(Croda Inc.)、Rewoquat® CPEM(Witco)、對甲苯磺酸十六烷基三甲基銨、氫氧化十六烷基三甲基銨、二氯化1-甲基-1’-十四烷基-4,4’-聯吡啶、溴化烷基三甲基銨、鹽酸 安保寧(amprolium hydrochloride)、氫氧化苯乙基銨(benzethonium hydroxide)、氯化苯乙基銨(benzethonium chloride)、氯化苄基二甲基十六烷基銨、氯化苄基二甲基十四烷基銨、溴化苄基十二烷基二甲基銨、氯化苄基十二烷基二甲基銨、氯化鯨蠟基吡啶、膽鹼對甲苯磺酸鹽、溴化二甲基二-十八烷基銨、溴化十二烷基乙基二甲基銨、氯化十二烷基三甲基銨、溴化乙基十六烷基二甲基銨、吉拉德試劑(Girard’s reagent)、十六烷基(2-羥乙基)二甲基磷酸二氫銨、溴化十六烷基吡啶、溴化十六烷基三甲基銨、氯化十六烷基三甲基銨、氯化甲基苯乙基銨、Hyamine® 1622、LuviquatTM、N,N’,N’-聚氧伸乙基(10)-N-牛脂-1,3-二胺基丙烷液體、溴化羥苯乙胺(oxyphenonium bromide)、溴化四庚基銨、溴化肆(癸基)銨、通佐溴銨(thonzonium bromide)、氯化三-十二烷基銨、溴化三甲基十八烷基銨、四氟硼酸1-甲基-3-正辛基咪唑鎓、四氟硼酸1-癸基-3-甲基咪唑鎓、氯化1-癸基-3-甲基咪唑鎓、溴化三-十二烷基甲基銨、氯化二甲基二硬脂基銨、溴化鯨蠟基三甲基銨、溴化肉豆蔻基三甲基銨、及氯化六羥季銨(hexamethonium chloride))、陰離子表面活性劑(例如,十二烷基苯磺酸、十二烷基苯磺酸鈉、十二烷基膦酸(DDPA)、及其組合)。熟悉技藝人士當明瞭雖然四級鹽最常可以氯化物或溴化物自市面購得,但可容易地將鹵陰離子與諸如硫酸根、甲磺酸根、硝酸根、氫氧根等非鹵陰離子進行離子交換。亦可添加之適當的鎢腐蝕抑制劑包括,但不限於,四氫噻吩碸、2-巰基噻唑啉、2,3,5-三甲基吡苊、2-乙基-3,5-二甲基吡苊、喹
Figure 104120933-A0305-02-0015-5
啉、乙醯基吡咯、嗒苊、組胺酸、吡苊、甘胺酸、苯并咪唑、苯并三唑(BTA)、亞胺二乙酸(IDA)、穀胱甘肽(還原型)、半胱胺酸、2-巰基苯并咪唑、 胱胺酸、噻吩、巰基吡啶N-氧化物、噻胺HCl、二硫化四乙基甲硫碳醯胺(tetraethyl thiuram disulfide)、1,2,4-三唑、2,5-二巰基-1,3-噻二唑抗壞血酸、抗壞血酸、及其組合,較佳係四氫噻吩碸、吡苊、甘胺酸、組胺酸、抗壞血酸、及其組合。在一特佳具體例中,第一清洗組成物中包括聚山梨醇酯(例如,Tween 80/60/40/20),且可進一步包括唑類(例如,5-ATA、3-ATA)及DDPA或其組合。
可包括低k鈍化劑來降低低k層之化學侵蝕及保護晶圓免於額外氧化。硼酸係當前較佳的低k鈍化劑,但其他羥基添加劑亦可有利地用於該用途,例如,3-羥基-2-萘甲酸、丙二酸、亞胺二乙酸、五硼酸銨、尿素、甲基三乙氧矽烷及其混合物。較佳地,低k鈍化劑包括亞胺二乙酸、硼酸、或其組合。當存在時,組成物包括基於組成物之總重量至少0.01重量%低k鈍化劑,較佳至少0.1%。較佳地,基於下層低k材料之總重量,使用文中所述之移除組成物蝕刻/移除低於2重量%之下層低k材料,更佳低於1重量%,最佳低於0.5重量%。
有機溶劑(當存在時)促進水性清洗組成物之組分及有機殘留物之溶解,潤濕微電子裝置結構之表面以利於殘留物移除,防止殘留物再沈積,及/或使下層材料(例如,ULK)鈍化。此處涵蓋的有機溶劑包括,但不限於:醇、醚、吡咯啶酮、二醇、胺、及二醇醚,包括,但不限於,甲醇、乙醇、異丙醇、丁醇、及高級醇(諸如C2-C4二醇及C2-C4三醇)、四氫呋喃甲醇(THFA)、鹵化醇(諸如3-氯-1,2-丙二醇、3-氯-1-丙硫醇、1-氯-2-丙醇、2-氯-1-丙醇、3-氯-1-丙醇、3-溴-1,2-丙二醇、1-溴-2-丙醇、3-溴-1-丙醇、3-碘-1-丙醇、4-氯-1-丁醇、2-氯乙醇)、二氯甲烷、氯仿、乙酸、丙酸、三 氟乙酸、四氫呋喃(THF)、N-甲基吡咯啶酮(NMP)、環己基吡咯啶酮、N-辛基吡咯啶酮、N-苯基吡咯啶酮、甲基二乙醇胺、甲酸甲酯、二甲基甲醯胺(DMF)、二甲亞碸(DMSO)、四亞甲碸(四氫噻吩碸)、乙醚、苯氧基-2-丙醇(PPh)、苯丙酮、乳酸乙酯、乙酸乙酯、苯甲酸乙酯、乙腈、丙酮、乙二醇、丙二醇(PG)、1,3-丙二醇、1,4-丙二醇、二
Figure 104120933-A0305-02-0017-6
烷、丁內酯、碳酸丁二酯、碳酸乙二酯、碳酸丙二酯、二丙二醇、二甘醇單甲醚、三甘醇單甲醚、二甘醇單乙醚、三甘醇單乙醚、乙二醇單丙醚、乙二醇單丁醚、二甘醇單丁醚(即丁基卡必醇)、三甘醇單丁醚、乙二醇單己醚、二甘醇單己醚、乙二醇苯基醚、丙二醇甲基醚、二丙二醇甲基醚(DPGME)、三丙二醇甲基醚(TPGME)、二丙二醇二甲醚、二丙二醇乙基醚、丙二醇正丙基醚、二丙二醇正丙基醚(DPGPE)、三丙二醇正丙基醚、丙二醇正丁基醚、二丙二醇正丁基醚、三丙二醇正丁基醚、丙二醇苯基醚、二丙二醇甲基醚乙酸酯、四甘醇二甲基醚(TEGDE)、二元酯、甘油碳酸酯、N-甲醯基
Figure 104120933-A0305-02-0017-7
啉、磷酸三乙酯、及其組合。此外,有機溶劑可包含其他兩親性物質,即類似於表面活性劑同時包含親水性及疏水性部分的物質。疏水性質一般可藉由包含由烴或氟碳基團所組成之分子基團而賦予,及親水性質一般可藉由包含離子或不帶電極性官能基而賦予。有機溶劑較佳包括三丙二醇甲基醚(TPGME)、二丙二醇甲基醚(DPGME)、丙二醇、及其組合。當存在時,基於組成物之總重量,組成物包含約0.01重量%至約20重量%有機溶劑,較佳5重量%至20重量%。
涵蓋的緩衝物質包括磷酸鹽(例如,磷酸氫二銨、磷酸二氫銨、磷酸銨)及具有式NR1R2R3R4OH之氫氧化四級銨,其中 R1、R2、R3及R4係彼此相同或不同且係選自由C1-C6烷基、C6-C10芳基、及其組合所組成之群(例如,氫氧化四乙基銨(TEAH)、氫氧化四甲基銨(TMAH)、氫氧化四丙基銨(TPAH)、氫氧化四丁基銨(TBAH)、氫氧化三丁基甲基銨(TBMAH)、氫氧化苄基三甲基銨(BTMAH))。當存在時,基於組成物之總重量,組成物包含約0.1重量%至約20重量%緩衝物質。
氧化劑(當存在時)包括,但不限於,過氧化氫(H2O2)、FeCl3、FeF3、Fe(NO3)3、Sr(NO3)2、CoF3、MnF3、發氧方(oxone)(2KHSO5˙KHSO4˙K2SO4)、過碘酸、碘酸、氧化釩(V)、氧化釩(IV、V)、釩酸銨、多原子銨鹽(例如,過氧單硫酸銨、亞氯酸銨(NH4ClO2)、氯酸銨(NH4ClO3)、碘酸銨(NH4IO3)、硝酸銨(NH4NO3)、過硼酸銨(NH4BO3)、過氯酸銨(NH4ClO4)、過碘酸銨(NH4IO4)、過硫酸銨((NH4)2S2O8)、次氯酸銨(NH4ClO))、鎢酸銨((NH4)10H2(W2O7))、多原子鈉鹽(例如,過硫酸鈉(Na2S2O8)、次氯酸鈉(NaClO)、過硼酸鈉)、多原子鉀鹽(例如,碘酸鉀(KIO3)、過錳酸鉀(KMnO4)、過硫酸鉀、硝酸(HNO3)、過硫酸鉀(K2S2O8)、次氯酸鉀(KClO))、多原子四甲銨鹽(例如,亞氯酸四甲銨((N(CH3)4)ClO2)、氯酸四甲銨((N(CH3)4)ClO3)、碘酸四甲銨((N(CH3)4)IO3)、過硼酸四甲銨((N(CH3)4)BO3)、過氯酸四甲銨((N(CH3)4)ClO4)、過碘酸四甲銨((N(CH3)4)IO4)、過硫酸四甲銨((N(CH3)4)S2O8))、多原子四丁銨鹽(例如,過氧單硫酸四丁銨)、過氧單硫酸、硝酸鐵(Fe(NO3)3)、尿素過氧化氫((CO(NH2)2)H2O2)、過乙酸(CH3(CO)OOH)、1,4-苯醌、甲苯醌、二甲基-1,4-苯醌、四氯苯醌、四氧嘧啶(alloxan)、N-甲基
Figure 104120933-A0305-02-0018-8
啉N-氧化物、三甲胺N-氧化物、 及其組合。當存在時,氧化劑之量較佳係在約0.001重量%至1重量%,較佳約0.001重量%至約0.1重量%之範圍內。
在一特佳具體例中,第一清洗組成物包含以下組分,由其所組成,或基本上由其所組成:氟化銨、硼酸、十二烷基膦酸、及水。在另一特佳具體例中,水性組成物包含以下組分,由其所組成,或基本上由其所組成:TMAH、氟化氫銨、硼酸、十二烷基膦酸、及水。在又另一特佳具體例中,水性組成物包含以下組分,由其所組成,或基本上由其所組成:氟化銨、硼酸、十二烷基膦酸、聚山梨醇酯、及水。在又另一特佳具體例中,水性組成物包含以下組分,由其所組成,或基本上由其所組成:氟化銨、氟化氫銨、硼酸、十二烷基膦酸、聚山梨醇酯、及水。第一清洗組成物之pH範圍係4至14,較佳約6至約10,再更佳約6至約10或約8至約13。
在另一具體例中,文中所述之第一清洗組成物進一步包含電漿蝕刻後殘留物,其中該電漿蝕刻後殘留物包含選自由含鈦殘留物、聚合殘留物、含銅殘留物、含鎢殘留物、含鈷殘留物、及其組合所組成之群之殘留材料。該殘留材料可溶解及/或懸浮於第一清洗組成物中。
在一具體例中,第一清洗組成物適用於選擇性移除側壁殘留物及/或蝕刻後殘留物,而不會實質地蝕刻圖案化或毯覆鎢層、銅層、TiN、含鈷層及/或ULK層。較佳地,TiN之蝕刻速率係低於約1埃/分鐘(Å min-1),較佳低於約0.5埃/分鐘;W之蝕刻速率係低於約3埃/分鐘,較佳低於約1埃/分鐘;及鈷損耗在五分鐘內低於20埃且Co之蝕刻速率係低於1埃/分鐘,較佳五分鐘內之損耗低於10埃且Co之蝕刻速率係低於0.5埃/分鐘,再更 佳五分鐘內之損耗低於5埃且Co之蝕刻速率係低於0.3埃/分鐘。類似地,低k介電材料之蝕刻速率較佳係低於約0.5埃/分鐘,較佳低於約0.3埃/分鐘。
有利地,文中所述之第一清洗組成物有效地自微電子裝置之頂表面、側壁、及通孔和線路移除電漿蝕刻後殘留物,而不會損害存於裝置上之ILD、覆蓋層、及/或金屬互連層。此外,不管是否先蝕刻溝渠或通孔,該等組成物皆可使用。
當明瞭在一般清洗應用中,一般實務係製造高度濃縮形式以在極度稀釋下使用。舉例而言,第一清洗組成物可以更為濃縮的形式製造(包含至少約20重量%水以供溶解用),其後再在製造商處、在使用前、及/或在工廠在使用期間以額外溶劑(例如,水及/或有機溶劑)稀釋。稀釋比率可在約0.1份稀釋劑:1份移除組成物濃縮物至約100份稀釋劑:1份移除組成物濃縮物範圍內。應明瞭在稀釋後,移除組成物之許多組分之重量百分比的比例將維持不變。
文中所述之第一清洗組成物係經由簡單地添加各別成分及混合至均勻狀態而容易地調配得。此外,可輕易地將組成物調配為單一包裝配方或在使用點處混合的多份配方,較佳係多份配方。可將多份配方之個別份於工具處或於工具上游之儲槽中混合。各別成分的濃度可在組成物的特定倍數內寬廣地改變,即更稀或更濃,且當明瞭文中所述之組成物可變化及替代地包含與本文之揭示內容一致之成分的任何組合,由其所組成,或基本上由其所組成。
因此,另一態樣係關於一種套組,其包括存於一或多個容器中之一或多種適於形成本文所述之第一清洗組成物的組 分。較佳地,該套組包括存於一或多個容器中之至少一種腐蝕抑制劑、至少一種蝕刻劑來源、至少一種鈍化劑、水、視需要之至少一種有機溶劑、視需要之至少一種緩衝物質、及視需要之至少一種氧化劑之較佳組合,其用於在工廠或使用點處與水結合。套組之容器必需適於儲存及運送該等清洗組成物組分,例如,NOWPak®容器(Advanced Technology Materials,Inc.,Danbury,Conn.,USA)。容納第一清洗組成物之組分的一或多個容器較佳包括用於使該一或多個容器中之組分流體相通,以進行摻混及配送的構件。舉例來說,參照NOWPak®容器,可對該一或多個容器中之襯裡的外側施加氣體壓力,以導致襯裡之至少一部分的內容物排出,且因此可流體相通而進行摻混及配送。或者,可對習知之可加壓容器的頂部空間施加氣體壓力,或可使用泵於達成流體相通。此外,系統較佳包括用於將經摻混之清洗組成物配送至製程工具的配送口。
較佳使用實質上化學惰性、不含雜質、可撓性及彈性的聚合膜材料,諸如高密度聚乙烯,於製造該一或多個容器的襯裡。理想的襯裡材料不需要共擠塑或障壁層來進行加工,且不含任何會不利影響待置於襯裡中之組分之純度需求的顏料、UV抑制劑、或加工劑。理想襯裡材料的清單包括含純粹(無添加劑)聚乙烯、純粹聚四氟乙烯(PTFE)、聚丙烯、聚胺基甲酸酯、聚二氯亞乙烯、聚氯乙烯、聚縮醛、聚苯乙烯、聚丙烯腈、聚丁烯等等的膜。此等襯裡材料的較佳厚度係在約5密爾(mil)(0.005英吋)至約30密爾(0.030英吋)之範圍內,例如,20密爾(0.020英吋)之厚度。
關於套組之容器,將以下專利及專利申請案之揭示內容的各別全體併入本文為參考資料:美國專利第7,188,644號,標 題「使超純液體中之顆粒產生減至最小的裝置及方法(APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS)」;美國專利第6,698,619號,標題「可回收及再利用的桶中袋流體儲存及配送容器系統(RETURNABLE AND REUSABLE,BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM)」;及2007年5月9日以John E.Q.Hughes之名義提出申請之美國專利申請案第60/916,966號,標題「材料摻混及分佈用的系統及方法(SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION)」;及2008年5月9日以Advanced Technology Materials,Inc.之名義提出申請之PCT/US08/63276,標題「材料摻混及分佈用的系統及方法(SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION)」。
當應用至微電子製造操作時,第一清洗組成物可有效用於自微電子裝置之表面清洗電漿蝕刻後殘留物,且可在施用經調配來自裝置表面移除其他材料之其他組成物之前或之後應用於該表面。文中所述之第一清洗組成物不會損壞微電子裝置表面上之超低k(ULK)ILD材料、金屬互連材料、硬遮罩覆蓋層、及鈷覆蓋層且較佳移除至少90%在移除加工之前存於裝置上之殘留物,更佳至少95%,及最佳移除至少99%之待移除殘留物。
在電漿蝕刻後殘留物移除應用中,第一清洗組成物可以任何適當方式施用至待清洗之裝置,例如,經由將組成物噴塗於待清洗裝置之表面上,經由將待清洗裝置浸泡於靜態或動態體積之組成物中,經由使待清洗裝置與已於其上吸收組成物之另一材料 (例如,墊、或纖維吸收性塗布器元件)接觸,或藉由任何其他藉以使組成物與待清洗裝置進行移除接觸之適當手段、方式或技術。此外,本文涵蓋批式或單一晶圓加工。
在第三態樣中,使用第一清洗組成物於自其上具有電漿蝕刻後殘留物之微電子裝置將其移除,典型上使該第一清洗組成物與裝置在約20℃至約90℃,較佳約40℃至約70℃,及最佳約50℃至約60℃範圍內之溫度下靜態或動態接觸約1分鐘至約30分鐘,較佳約1分鐘至約10分鐘之時間。該接觸較佳係靜態。該等接觸時間及溫度係為說明性,可使用任何其他可有效地自裝置至少部分地移除蝕刻後殘留材料之適宜時間及溫度條件。自微電子裝置「至少部分移除」殘留材料係相當於移除至少90%之材料,較佳移除至少95%。最佳地,使用文中所述之第一清洗組成物移除至少99%之該殘留材料。
於達成期望的移除作用後,可輕易地將第一清洗組成物自其先前經施用的裝置移除,例如,藉由沖洗、洗滌、或其他移除步驟,此可能係在文中所述組成物之指定最終應用中所需且有效。舉例來說,可使用包括去離子水之沖洗溶液沖洗裝置及/或乾燥(例如,旋轉乾燥、N2、蒸氣乾燥等等)。
必要時,可能需要清洗後烘烤步驟及/或異丙醇蒸氣乾燥步驟來移除可能吸收至ILD材料孔隙中之非揮發性材料以不致改變低k介電材料之電容。
在第四態樣中,一種自其上具有材料之微電子裝置移除該材料之方法,該方法包括使微電子裝置與第二清洗組成物接觸足夠的時間,以自微電子裝置至少部分移除該材料,其中該第二清 洗組成物包含至少一種蝕刻劑、至少一種金屬抑制劑、至少一種有機溶劑、水、及視需要之至少一種鈍化劑。該第二清洗組成物可有效用於自微電子裝置之表面清洗電漿蝕刻後殘留物,且可在施用經調配來自裝置表面移除其他材料之其他組成物之前或之後應用於該表面。文中所述之第二清洗組成物不會損壞微電子裝置表面上之超低k(ULK)ILD材料、金屬互連材料、硬遮罩覆蓋層、及鈷覆蓋層且較佳移除至少90%在移除加工之前存於裝置上之殘留物,更佳至少95%,及最佳移除至少99%之待移除殘留物。
在電漿蝕刻後殘留物移除應用中,第二清洗組成物可以任何適當方式施用至待清洗之裝置,例如,經由將組成物噴塗於待清洗裝置之表面上,經由將待清洗裝置浸泡於靜態或動態體積之組成物中,經由使待清洗裝置與已於其上吸收組成物之另一材料(例如,墊、或纖維吸收性塗布器元件)接觸,或藉由任何其他藉以使組成物與待清洗裝置進行移除接觸之適當手段、方式或技術。此外,本文涵蓋批式或單一晶圓加工。
第二清洗組成物典型上係與裝置在約20℃至約90℃,較佳約40℃至約70℃,及最佳約50℃至約60℃範圍內之溫度下靜態或動態接觸約1分鐘至約30分鐘,較佳約1分鐘至10分鐘之時間。該接觸較佳係靜態。該等接觸時間及溫度係為說明性,可使用任何其他可有效地自裝置至少部分地移除蝕刻後殘留材料之適宜時間及溫度條件。自微電子裝置「至少部分移除」殘留材料係相當於移除至少90%之材料,較佳移除至少95%。最佳地,使用文中所述之第二清洗組成物移除至少99%之該殘留材料。
於達成期望的移除作用後,可輕易地將第二清洗組成 物自其先前經施用的裝置移除,例如,藉由沖洗、洗滌、或其他移除步驟,此可能係在文中所述組成物之指定最終應用中所需且有效。舉例來說,可使用包括去離子水之沖洗溶液沖洗裝置及/或乾燥(例如,旋轉乾燥、N2、蒸氣乾燥等等)。
第二清洗組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種蝕刻劑、至少一種金屬抑制劑、至少一種有機溶劑、水、及視需要之至少一種鈍化劑,其等係基於組成物之總重量以下述量存在:
Figure 104120933-A0305-02-0025-3
第二清洗組成物之組分係與前文針對第一清洗組成物所述者相同。較佳地,該至少一種蝕刻劑包含氟化氫銨、TBA-BF4、或其組合。較佳地,該至少一種金屬抑制劑包含ATDT、TAZ、殺藻胺、巰基苯并噻唑、5-ATA、或其組合,最佳為ATDT及TAZ或5-ATA之組合。較佳地,該至少一種有機溶劑包含TPGME與TEGDE或DPGME。
在一較佳具體例中,第二清洗組成物實質上不含研磨劑或其他無機顆粒材料、胺、氯化物(Cl-)、金屬鹵化物、矽酸鹽、及其組合。第二態樣之第二清洗組成物之pH較佳係在約3至約8,較佳約6至約8之範圍內。
另一態樣係關於根據文中所述方法製得之經改良的微電子裝置及包含該等微電子裝置之產品。
又再一態樣係關於製造包含微電子裝置之物件的方法,該方法包括使微電子裝置與組成物接觸足夠的時間,以自其上具有電漿蝕刻後殘留物之微電子裝置清洗該殘留物,及將該微電子裝置併入至該物件中,其中該組成物可係文中所述之第一或第二清洗組成物。
又再一態樣係關於製造包含微電子裝置之物件的方法,該方法包括使微電子裝置與組成物接觸足夠的時間,以自其上具有電漿蝕刻後殘留物之微電子裝置清洗該殘留物,及將該微電子裝置併入至該物件中,其中該組成物可係文中所述之第一或第二清洗組成物。
在又另一態樣中,可將文中所述之第一或第二清洗組成物利用於微電子裝置製程之其他態樣中,即於電漿蝕刻後殘留物清洗步驟之後。舉例來說,可將組成物用於移除灰化後殘留物及/或可將其稀釋及用作化學機械拋光(CMP)後清洗。
在又另一態樣中,描述一種製造物件,該物件包含微電子裝置基板、殘留材料、及清洗組成物,其中該清洗組成物可係文中所述之第一或第二清洗組成物,及其中該殘留材料係選自由含鈦殘留物、聚合殘留物、含銅殘留物、含鎢殘留物、含鈷殘留物、及其組合所組成之群。
雖然本發明已參照說明具體例及特徵以不同方式揭示於文中,但當明瞭前文所述之具體例及特徵並非要限制本發明,且熟悉技藝人士當可基於文中之揭示內容明白其他的變化、修改及其他具體例。因此,本發明係應廣泛解釋為涵蓋在後文所述之申請專利範圍之精神及範疇內的所有該等變化、修改及替代具體例。

Claims (10)

  1. 一種水性清洗組成物,其包含約0.001重量%至約10重量%之至少一種非離子表面活性劑腐蝕抑制劑、約0.01重量%至約10重量%之至少一種蝕刻劑來源、約0.01重量%至約10重量%之至少一種鈍化劑、約50重量%至約99.5重量%之水、視需要之約0重量%至約20重量%之至少一種有機溶劑、視需要之約0%重量至約20重量%之至少一種緩衝物質、視需要之約0重量%至約10重量%之至少一種額外腐蝕抑制劑、及視需要之約0重量%至約1重量%之至少一種氧化劑,其中該水性清洗組成物適用於自其上具有電漿蝕刻後殘留物之微電子裝置清洗該殘留物,其中該清洗組成物具有約8至13之pH。
  2. 如申請專利範圍第1項之水性清洗組成物,其中,該至少一種蝕刻劑包含選自由下列所組成之群之氟化物:氟化氫銨、氟化銨、及其組合。
  3. 如申請專利範圍第1項之水性清洗組成物,其中,該至少一種鈍化劑包含選自由下列所組成之群之物質:硼酸、3-羥基-2-萘甲酸、丙二酸、亞胺二乙酸、五硼酸銨、尿素、甲基三乙氧矽烷、及其混合物。
  4. 如申請專利範圍第1項之水性清洗組成物,其中,該至少一種非離子表面活性劑腐蝕抑制劑包含選自由下列所組成之群之物質:聚(乙二醇)、聚(丙二醇)、氧化乙烯/氧化丙烯嵌段共聚物、聚山梨醇酯、聚氧伸丙基/聚氧伸乙基嵌段共聚物、及其組合。
  5. 如申請專利範圍第1至4項中任一項之水性清洗組成物,其包含至少一種選自由下列所組成之群之額外腐蝕抑制劑:5-胺基四 唑、5-苯基苯并三唑、1H-四唑-5-乙酸、1-苯基-2-四唑啉-5-硫酮、苯并咪唑、甲基四唑、試鉍硫醇I(Bismuthiol I)、胞嘧啶、鳥嘌呤、胸嘧啶、吡唑、亞胺二乙酸(IDA)、丙硫醇、苯并羥肟酸、檸檬酸、抗壞血酸、5-胺基-1,3,4-噻二唑-2-硫醇(ATDT)、苯并三唑(BTA)、1,2,4-三唑(TAZ)、甲苯三唑、5-甲基苯并三唑(mBTA)、5-硝基苯并三唑、苯并三唑羧酸、3-胺基-5-巰基-1,2,4-三唑、1-胺基-1,2,4-三唑、羥基苯并三唑、2-(5-胺基戊基)苯并三唑、1-胺基-1,2,3-三唑、1-胺基-5-甲基-1,2,3-三唑、3-胺基-1,2,4-三唑(3-ATA)、3-巰基-1,2,4-三唑、3-異丙基-1,2,4-三唑、5-苯基硫醇-苯并三唑、鹵基苯并三唑、萘并三唑、2-巰基苯并咪唑(MBI)、2-巰基苯并噻唑、4-甲基-2-苯基咪唑、2-巰基噻唑啉、5-胺基-1,2,4-三唑(5-ATA)、十二烷基硫酸鈉(SDS)、ATA-SDS、3-胺基-5-巰基-1,2,4-三唑、伸戊基四唑、5-苯基-1H-四唑、5-苄基-1H-四唑、Ablumine O、2-苄基吡啶、琥珀醯亞胺、2,4-二胺基-6-甲基-1,3,5-三苊、噻唑、三苊、1,3-二甲基-2-咪唑啶酮、1,5-五亞甲基四唑、1-苯基-5-巰基四唑、二胺甲基三苊、咪唑啉硫酮、4-甲基-4H-1,2,4-三唑-3-硫醇、4-胺基-4H-1,2,4-三唑、3-胺基-5-甲硫基-1H-1,2,4-三唑、苯并噻唑、咪唑、吲二唑(indiazole)、腺嘌呤、腺苷酸、咔唑、糖精、尿酸、安息香肟、殺藻胺(benzalkonium chloride)、氯化苄基二甲基十二烷基銨、溴化肉豆蔻基三甲基銨、溴化十二烷基三甲基銨、氯化十六烷基吡啶、Aliquat® 336、氯化苄基二甲基苯基銨、CrodaquatTM TES、Rewoquat® CPEM、對甲苯磺酸十六烷基三甲基銨、氫氧化十六烷基三甲基銨、二氯化1-甲基-1’-十四烷基-4,4’-聯吡啶、溴化烷基三甲基銨、鹽酸安保寧(amprolium hydrochloride)、氫氧化苯乙基銨(benzethonium hydroxide)、氯化苯乙基銨(benzethonium chloride)、氯化苄基二甲基十六烷基銨、氯化苄基二甲基十四烷基銨、溴化苄基十二烷基二甲基銨、氯化苄基十二烷基二甲基銨、氯化鯨蠟基吡啶、膽鹼對甲苯磺酸鹽、溴化二甲基二-十八烷基銨、溴化十二烷基乙基二甲基銨、氯化十二烷基三甲基銨、溴化乙基十六烷基二甲基銨、吉拉德試劑(Girard’s reagent)、十六烷基(2-羥乙基)二甲基磷酸二氫銨、溴化十六烷基吡啶、溴化十六烷基三甲基銨、氯化十六烷基三甲基銨、氯化甲基苯乙基銨、N,N’,N’-聚氧伸乙基(10)-N-牛脂-1,3-二胺基丙烷液體、溴化羥苯乙胺(oxyphenonium bromide)、溴化四庚基銨、溴化肆(癸基)銨、通佐溴銨(thonzonium bromide)、氯化三-十二烷基銨、溴化三甲基十八烷基銨、四氟硼酸1-甲基-3-正辛基咪唑鎓、四氟硼酸1-癸基-3-甲基咪唑鎓、氯化1-癸基-3-甲基咪唑鎓、溴化三-十二烷基甲基銨、氯化二甲基二硬脂基銨、溴化鯨蠟基三甲基銨、溴化肉豆蔻基三甲基銨、氯化六羥季銨(hexamethonium chloride)、十二烷基苯磺酸、十二烷基苯磺酸鈉、十二烷基膦酸(DDPA)、及其組合。
  6. 如申請專利範圍第1至4項中任一項之水性清洗組成物,其中,該組成物實質上不含研磨材料及鹼金及鹼土金屬氫氧化物鹽。
  7. 如申請專利範圍第1至4項中任一項之水性清洗組成物,其中,該組成物進一步包含至少一種緩衝物質。
  8. 如申請專利範圍第1至4項中任一項之水性清洗組成物,其中,該組成物進一步包含至少一種有機溶劑。
  9. 如申請專利範圍第1至4項中任一項之水性清洗組成物,其中,該組成物進一步包含至少一種氧化劑。
  10. 一種自其上具有材料之微電子裝置移除該材料之方法,該方法包括使該微電子裝置與如請求項1至9中任一項之水性清洗組成物接觸足夠的時間,以自該微電子裝置至少部分移除該材料。
TW104120933A 2014-06-30 2015-06-29 用於移除蝕刻後殘留物之具有鎢及鈷相容性之水性及半水性清洗劑 TWI713458B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201462018818P 2014-06-30 2014-06-30
US62/018,818 2014-06-30

Publications (2)

Publication Number Publication Date
TW201619363A TW201619363A (zh) 2016-06-01
TWI713458B true TWI713458B (zh) 2020-12-21

Family

ID=55019841

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104120933A TWI713458B (zh) 2014-06-30 2015-06-29 用於移除蝕刻後殘留物之具有鎢及鈷相容性之水性及半水性清洗劑

Country Status (5)

Country Link
US (1) US11978622B2 (zh)
KR (1) KR102405063B1 (zh)
CN (1) CN107155367B (zh)
TW (1) TWI713458B (zh)
WO (1) WO2016003729A1 (zh)

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10460954B2 (en) * 2014-06-04 2019-10-29 Entegris, Inc. Anti-reflective coating cleaning and post-etch residue removal composition having metal, dielectric and nitride compatibility
US11978622B2 (en) 2014-06-30 2024-05-07 Entegris, Inc. Aqueous and semi-aqueous cleaners for the removal of post-etch residues with tungsten and cobalt compatibility
US9595448B2 (en) * 2015-06-29 2017-03-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for cleaning plasma processing chamber and substrate
US10538846B2 (en) * 2015-12-11 2020-01-21 Dongwoo Fine-Chem Co., Ltd. Etching solution composition for tungsten layer, method for preparing electronic device using the same and electronic device
WO2018058341A1 (en) * 2016-09-28 2018-04-05 Dow Global Technologies Llc Sulfoxide/glycol ether based solvents for use in the electronics industry
WO2018067763A1 (en) 2016-10-06 2018-04-12 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulations for removing residues on semiconductor substrates
WO2018175222A1 (en) * 2017-03-24 2018-09-27 Fujifilm Electronic Materials U.S.A., Inc. Cleaning compositions for removing residues on semiconductor substrates
CN107357143B (zh) * 2017-07-25 2018-06-19 上海新阳半导体材料股份有限公司 一种清洗剂、其制备方法和应用
TW201912775A (zh) * 2017-08-22 2019-04-01 美商富士軟片電子材料美國股份有限公司 清潔組成物
KR102295991B1 (ko) * 2017-08-31 2021-09-01 후지필름 가부시키가이샤 처리액, 키트, 기판의 세정 방법
CN107904663A (zh) * 2017-12-01 2018-04-13 绍兴拓邦电子科技有限公司 一种晶体硅抛光添加剂及其用于晶体硅抛光的使用方法
CN109868193A (zh) * 2017-12-05 2019-06-11 南风化工集团股份有限公司 一种太阳能板清洗剂
KR102448220B1 (ko) * 2018-01-25 2022-09-27 메르크 파텐트 게엠베하 포토레지스트 제거제 조성물
KR102405559B1 (ko) * 2018-03-30 2022-06-07 후지필름 가부시키가이샤 처리액
US20210189298A1 (en) * 2018-04-04 2021-06-24 Basf Se IMIDAZOLIDINETHIONE-CONTAINING COMPOSITIONS FOR POST-ASH RESIDUE REMOVAL AND/OR FOR OXIDATIVE ETCHING OF A LAYER OR MASK COMPRISING TiN
CN108676402A (zh) * 2018-06-26 2018-10-19 苏州鸿宇工业清洗技术有限公司 一种环保防锈剂
JP7128948B2 (ja) * 2018-07-06 2022-08-31 インテグリス・インコーポレーテッド 材料を選択的にエッチングするための改善
CN110713868A (zh) * 2018-07-13 2020-01-21 巴斯夫欧洲公司 可移除氮化钛的蚀刻后残渣清理溶液
JP7108042B2 (ja) * 2018-09-12 2022-07-27 富士フイルム株式会社 薬液、基板の処理方法
CN112996893A (zh) 2018-11-08 2021-06-18 恩特格里斯公司 化学机械研磨后(post cmp)清洁组合物
JP2022514611A (ja) 2018-12-21 2022-02-14 インテグリス・インコーポレーテッド コバルト基板のcmp後洗浄のための組成物及び方法
TWI727254B (zh) * 2019-01-30 2021-05-11 許富翔 清潔含鎢半導體元件的方法
CN113439326A (zh) * 2019-02-13 2021-09-24 株式会社德山 含有次氯酸根离子和pH缓冲剂的半导体晶圆的处理液
US11456170B2 (en) * 2019-04-15 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Cleaning solution and method of cleaning wafer
TW202106859A (zh) * 2019-06-03 2021-02-16 美商富士軟片電子材料美國股份有限公司 蝕刻組成物
CN114080473A (zh) * 2019-08-08 2022-02-22 巴斯夫欧洲公司 用于抑制钨蚀刻的组合物
CN114269892B (zh) * 2019-08-27 2024-02-23 株式会社力森诺科 组合物、及粘接性聚合物的清洗方法
KR20220099116A (ko) * 2019-11-12 2022-07-12 어플라이드 머티어리얼스, 인코포레이티드 감소된 수소 증착 프로세스들
CN111440613B (zh) * 2019-12-09 2022-03-25 杭州格林达电子材料股份有限公司 一种tmah系各向异性硅蚀刻液及其制备方法
US20210317389A1 (en) * 2020-04-14 2021-10-14 William Quan Chemical product for rapid removal of food burned on to the surfaces of cooktops
CN111809182A (zh) * 2020-07-08 2020-10-23 江苏和达电子科技有限公司 一种用于铜/钼(铌)/igzo膜层的刻蚀液及其制备方法和应用
US20220157708A1 (en) * 2020-11-17 2022-05-19 Intel Corporation Vertical metal splitting using helmets and wrap-around dielectric spacers
CN113174591B (zh) * 2021-03-20 2023-02-07 福建闽威科技股份有限公司 一种双面印制线路板化学镀铜前处理工艺及处理设备
CN113150884B (zh) * 2021-04-27 2022-12-30 上海新阳半导体材料股份有限公司 一种含氟清洗液组合物的制备方法
CN113522848B (zh) * 2021-07-19 2023-07-11 中国电子科技集团公司第九研究所 铁氧体单晶衬底表面活化方法
CN115369405B (zh) * 2021-10-21 2023-12-12 江苏和达电子科技有限公司 一种双氧水系金属蚀刻液组合物及其使用方法
CN116262889B (zh) * 2021-12-13 2024-02-23 上海新阳半导体材料股份有限公司 等离子刻蚀清洗后中和清洗剂在清洗半导体器件中的应用
CN115160933B (zh) * 2022-07-27 2023-11-28 河北工业大学 一种用于钴互连集成电路钴cmp的碱性抛光液及其制备方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200730621A (en) * 2005-10-05 2007-08-16 Advanced Tech Materials Oxidizing aqueous cleaner for the removal of post-etch residues
TW201028466A (en) * 2008-12-19 2010-08-01 Sanyo Chemical Ind Ltd Detergent for electronic material
WO2012009639A2 (en) * 2010-07-16 2012-01-19 Advanced Technology Materials, Inc. Aqueous cleaner for the removal of post-etch residues
TW201336973A (zh) * 2005-06-07 2013-09-16 Advanced Tech Materials 金屬及介電相容□牲抗反射塗層清洗及移除組成物

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ES2160892T3 (es) * 1996-05-30 2001-11-16 Nalco Chemical Co Uso de una mezcla de tensioactivos para inhibir la corrosion.
US6224785B1 (en) * 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
US6635118B2 (en) * 2001-01-17 2003-10-21 International Business Machines Corporation Aqueous cleaning of polymer apply equipment
MY131912A (en) 2001-07-09 2007-09-28 Avantor Performance Mat Inc Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US6698619B2 (en) 2002-05-03 2004-03-02 Advanced Technology Materials, Inc. Returnable and reusable, bag-in-drum fluid storage and dispensing container system
US7188644B2 (en) 2002-05-03 2007-03-13 Advanced Technology Materials, Inc. Apparatus and method for minimizing the generation of particles in ultrapure liquids
US8003587B2 (en) 2002-06-06 2011-08-23 Ekc Technology, Inc. Semiconductor process residue removal composition and process
DK1664935T3 (da) * 2003-08-19 2008-01-28 Mallinckrodt Baker Inc Rensesammensætninger til mikroelektronik
JP2007519942A (ja) * 2003-12-02 2007-07-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド レジスト、barc、およびギャップフィル材料を剥離する化学物質ならびに方法
US7888302B2 (en) * 2005-02-03 2011-02-15 Air Products And Chemicals, Inc. Aqueous based residue removers comprising fluoride
TWI339780B (en) * 2005-07-28 2011-04-01 Rohm & Haas Elect Mat Stripper
WO2007120259A2 (en) * 2005-11-08 2007-10-25 Advanced Technology Materials, Inc. Formulations for removing copper-containing post-etch residue from microelectronic devices
US9058975B2 (en) * 2006-06-09 2015-06-16 Lam Research Corporation Cleaning solution formulations for substrates
US7947637B2 (en) * 2006-06-30 2011-05-24 Fujifilm Electronic Materials, U.S.A., Inc. Cleaning formulation for removing residues on surfaces
WO2008080097A2 (en) 2006-12-21 2008-07-03 Advanced Technology Materials, Inc. Liquid cleaner for the removal of post-etch residues
JP2010524208A (ja) * 2007-03-31 2010-07-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド ウエハ再生のために材料を剥離する方法
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
EP2155373A4 (en) 2007-05-09 2014-10-22 Foresight Proc Llc SYSTEMS AND METHOD FOR THE MIXTURE AND DISTRIBUTION OF MATERIALS
KR101752684B1 (ko) * 2008-10-21 2017-07-04 엔테그리스, 아이엔씨. 구리 세척 및 보호 조성물
US20100105595A1 (en) 2008-10-29 2010-04-29 Wai Mun Lee Composition comprising chelating agents containing amidoxime compounds
JP5513196B2 (ja) * 2010-03-25 2014-06-04 富士フイルム株式会社 洗浄組成物及び半導体装置の製造方法
JP5913869B2 (ja) * 2011-08-31 2016-04-27 林純薬工業株式会社 エッチング液組成物およびエッチング方法
JP2015512971A (ja) * 2012-02-15 2015-04-30 インテグリス,インコーポレイテッド 組成物を使用したcmp後除去及び使用方法
US20140137899A1 (en) * 2012-11-21 2014-05-22 Dynaloy, Llc Process for removing substances from substrates
WO2014092756A1 (en) * 2012-12-13 2014-06-19 Parker-Hannifin Corporation Cleaning composition for metal articles
CN105683336A (zh) * 2013-06-06 2016-06-15 高级技术材料公司 用于选择性蚀刻氮化钛的组合物和方法
US11978622B2 (en) 2014-06-30 2024-05-07 Entegris, Inc. Aqueous and semi-aqueous cleaners for the removal of post-etch residues with tungsten and cobalt compatibility

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201336973A (zh) * 2005-06-07 2013-09-16 Advanced Tech Materials 金屬及介電相容□牲抗反射塗層清洗及移除組成物
TW200730621A (en) * 2005-10-05 2007-08-16 Advanced Tech Materials Oxidizing aqueous cleaner for the removal of post-etch residues
TW201028466A (en) * 2008-12-19 2010-08-01 Sanyo Chemical Ind Ltd Detergent for electronic material
WO2012009639A2 (en) * 2010-07-16 2012-01-19 Advanced Technology Materials, Inc. Aqueous cleaner for the removal of post-etch residues

Also Published As

Publication number Publication date
CN107155367A (zh) 2017-09-12
US20170200601A1 (en) 2017-07-13
CN107155367B (zh) 2021-12-21
KR20170027787A (ko) 2017-03-10
TW201619363A (zh) 2016-06-01
WO2016003729A1 (en) 2016-01-07
KR102405063B1 (ko) 2022-06-07
US11978622B2 (en) 2024-05-07

Similar Documents

Publication Publication Date Title
TWI713458B (zh) 用於移除蝕刻後殘留物之具有鎢及鈷相容性之水性及半水性清洗劑
TWI655273B (zh) 選擇性蝕刻氮化鈦之組成物及方法
JP6503102B2 (ja) 窒化チタンハードマスク及びエッチ残留物除去
JP6723152B2 (ja) 窒化チタンを選択的にエッチングするための組成物及び方法
JP6329909B2 (ja) 窒化チタンを選択的にエッチングするための組成物および方法
TWI683889B (zh) 用於移除金屬硬遮罩及蝕刻後殘餘物之具有Cu/W相容性的水性配方
JP5237300B2 (ja) エッチング後残留物を除去するための液体洗浄剤
US11085011B2 (en) Post CMP cleaning compositions for ceria particles
JP2013533631A (ja) エッチング後残渣を除去するための水性洗浄剤
JP2020531654A (ja) 洗浄組成物
JP2022535440A (ja) エッチング組成物