KR20220099116A - 감소된 수소 증착 프로세스들 - Google Patents

감소된 수소 증착 프로세스들 Download PDF

Info

Publication number
KR20220099116A
KR20220099116A KR1020227019531A KR20227019531A KR20220099116A KR 20220099116 A KR20220099116 A KR 20220099116A KR 1020227019531 A KR1020227019531 A KR 1020227019531A KR 20227019531 A KR20227019531 A KR 20227019531A KR 20220099116 A KR20220099116 A KR 20220099116A
Authority
KR
South Korea
Prior art keywords
containing precursor
tungsten
plasma
hydrogen
semiconductor processing
Prior art date
Application number
KR1020227019531A
Other languages
English (en)
Inventor
샤오콴 민
벤카타 샤라트 찬드라 파리미
프라샨트 쿠마르 쿨쉬레쉬타
광덕 이
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20220099116A publication Critical patent/KR20220099116A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • H01L21/02642Mask materials other than SiO2 or SiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

반도체 프로세싱의 예시적인 방법들은 수소-함유 전구체로 기판의 표면을 처리하는 단계를 포함할 수 있다. 기판은 반도체 프로세싱 챔버의 프로세싱 구역 내에 배치될 수 있다. 방법들은 기판을 텅스텐-함유 전구체와 접촉시키는 단계를 포함할 수 있다. 방법들은 기판 상에 텅스텐을 포함하는 개시 층을 형성하는 단계를 포함할 수 있다. 방법들은 수소-함유 전구체로 개시 층을 처리하는 단계를 포함할 수 있다. 방법들은 텅스텐-함유 전구체 및 탄소-함유 전구체의 플라즈마를 형성하는 단계를 포함할 수 있다. 플라즈마 내의 수소는 탄소-함유 전구체에 포함된 수소로 제한될 수 있다. 방법들은 개시 층 상에 텅스텐-함유 하드마스크 층을 형성하는 단계를 포함할 수 있다.

Description

감소된 수소 증착 프로세스들
[0001] 본 출원은 2019년 11월 12일자로 출원된 미국 특허 출원 번호 제62/934,289호를 우선권으로 주장하며, 그 내용들은 이로써 모든 목적들을 위해 그 전체가 인용에 의해 포함된다.
[0002] 본 기술은 반도체 프로세싱을 위한 방법들 및 컴포넌트들에 관한 것이다. 더 구체적으로, 본 기술은 하드마스크 막들을 생성하기 위한 시스템들 및 방법들에 관한 것이다.
[0003] 집적 회로들은 기판 표면들 상에 복잡하게 패터닝된 재료 층들을 생성하는 프로세스들에 의해 가능해진다. 기판 상에 패터닝된 재료를 생성하는 것은 재료를 형성 및 제거하기 위한 제어된 방법들을 필요로 한다. 디바이스 사이즈들이 계속해서 감소함에 따라, 구조들의 종횡비들이 증가할 수 있고, 제거 동작들 동안 이들 구조들의 치수들을 유지하는 것은 난제가 될 수 있다. 기판 상의 재료들의 패터닝을 가능하게 하기 위해, 하드마스크들이 이용될 수 있다. 패터닝되는 재료 층들의 수가 확장됨에 따라, 다수의 재료들에 대한 하드마스크 선택성이 더 큰 난제가 되고 있다.
[0004] 따라서, 고품질 디바이스들 및 구조들을 생성하기 위해 사용될 수 있는 개선된 시스템들 및 방법들이 필요하다. 이들 및 다른 요구들은 본 기술에 의해 해결된다.
[0005] 반도체 프로세싱의 예시적인 방법들은 수소-함유 전구체로 기판의 표면을 처리하는 단계를 포함할 수 있다. 기판은 반도체 프로세싱 챔버의 프로세싱 구역 내에 배치될 수 있다. 방법들은 기판을 텅스텐-함유 전구체와 접촉시키는 단계를 포함할 수 있다. 방법들은 기판 상에 텅스텐을 포함하는 개시 층을 형성하는 단계를 포함할 수 있다. 방법들은 수소-함유 전구체로 개시 층을 처리하는 단계를 포함할 수 있다. 방법들은 텅스텐-함유 전구체 및 탄소-함유 전구체의 플라즈마를 형성하는 단계를 포함할 수 있다. 플라즈마 내의 수소는 탄소-함유 전구체에 포함된 수소로 제한될 수 있다. 방법들은 개시 층 상에 텅스텐-함유 하드마스크 층을 형성하는 단계를 포함할 수 있다.
[0006] 일부 실시예들에서, 기판의 표면을 처리하는 단계는 수소-함유 전구체의 플라즈마를 형성하는 단계, 및 수소 말단(hydrogen termination)들을 생성하기 위해, 기판의 표면을 플라즈마의 배출물들과 접촉시키는 단계를 포함할 수 있다. 텅스텐-함유 전구체는 기판의 표면을 처리하는 단계가 수행되는 동안 접촉시키는 단계를 위해 전달될 수 있다. 텅스텐-함유 전구체가 전달되는 동안 텅스텐-함유 전구체의 유량이 증가될 수 있다. 개시 층을 처리하는 단계는 수소-함유 전구체의 플라즈마를 형성하는 단계, 및 개시 층을 플라즈마의 배출물들과 접촉시키는 단계를 포함할 수 있다. 플라즈마는 또한, 질소-함유 전구체를 포함할 수 있다. 수소-함유 전구체는 이원자 수소이거나 또는 이원자 수소를 포함할 수 있고, 질소-함유 전구체는 이원자 질소이거나 또는 이원자 질소를 포함할 수 있다. 텅스텐-함유 전구체는 텅스텐 헥사플루오라이드이거나 또는 텅스텐 헥사플루오라이드를 포함할 수 있고, 탄소-함유 전구체는 프로펜이거나 또는 프로펜을 포함할 수 있다. 텅스텐-함유 전구체 및 탄소-함유 전구체의 플라즈마를 형성하는 단계 동안, 이원자 수소가 반도체 프로세싱 챔버로부터 제외될 수 있다. 방법들은 반도체 프로세싱 챔버의 프로세싱 구역의 노출된 표면들 상에 실리콘-함유 재료를 포함하는 시즈닝 층을 형성하는 단계를 포함할 수 있다.
[0007] 본 기술의 일부 실시예들은 반도체 프로세싱 방법들을 포함할 수 있다. 방법들은 반도체 프로세싱 챔버의 프로세싱 구역의 노출된 표면들 상에 시즈닝 층을 형성하는 단계를 포함할 수 있다. 방법들은 기판 상에 텅스텐을 포함하는 개시 층을 형성하는 단계를 포함할 수 있다. 기판은 반도체 프로세싱 챔버의 프로세싱 구역 내에 배치될 수 있다. 방법들은 텅스텐-함유 전구체 및 탄소-함유 전구체의 플라즈마를 형성하는 단계를 포함할 수 있다. 플라즈마를 형성하기 위해 전달되는 전구체들 내의 수소 대 플라즈마를 형성하기 위해 전달되는 전구체들 내의 텅스텐의 원자비(atomic ratio)는 8:1 미만일 수 있다. 방법들은 개시 층 상에 텅스텐-함유 하드마스크 층을 형성하는 단계를 포함할 수 있다.
[0008] 일부 실시예들에서, 시즈닝 층은 실리콘 옥사이드 및 실리콘 나이트라이드이거나, 또는 실리콘 옥사이드 및 실리콘 나이트라이드를 포함할 수 있다. 개시 층을 형성하는 단계는 수소-함유 전구체의 플라즈마를 형성하는 단계를 포함할 수 있다. 개시 층을 형성하는 단계는, 수소 말단들을 생성하기 위해, 기판의 표면을 플라즈마의 배출물들과 접촉시키는 단계를 포함할 수 있다. 개시 층을 형성하는 단계는 기판의 표면과 접촉하도록 텅스텐-함유 전구체를 프로세싱 구역 내로 유동시키는 단계를 포함할 수 있다. 텅스텐-함유 전구체는 기판의 표면과 접촉하도록 유동되는 동안 여기되지 않을 수 있다. 방법들은 수소-함유 전구체의 플라즈마를 형성하는 단계, 및 개시 층을 플라즈마의 배출물들과 접촉시키는 단계를 포함할 수 있다. 텅스텐-함유 전구체는 텅스텐 헥사플루오라이드이거나 또는 텅스텐 헥사플루오라이드를 포함할 수 있고, 탄소-함유 전구체는 프로필렌이거나 또는 프로필렌을 포함할 수 있다. 텅스텐-함유 전구체 및 탄소-함유 전구체의 플라즈마를 형성하는 단계 동안, 이원자 수소가 반도체 프로세싱 챔버로부터 제외될 수 있다.
[0009] 본 기술의 일부 실시예들은 반도체 프로세싱 방법들을 포함할 수 있다. 방법들은 반도체 프로세싱 챔버의 프로세싱 구역의 노출된 표면들 상에 시즈닝 층을 형성하는 단계를 포함할 수 있다. 방법들은 수소-함유 전구체로 기판의 표면을 처리하는 단계를 포함할 수 있다. 기판은 반도체 프로세싱 챔버의 프로세싱 구역 내에 배치될 수 있다. 방법들은 기판을 텅스텐-함유 전구체와 접촉시키는 단계를 포함할 수 있다. 방법들은 기판 상에 텅스텐을 포함하는 개시 층을 형성하는 단계를 포함할 수 있다. 방법들은 수소-함유 전구체로 개시 층을 처리하는 단계를 포함할 수 있다. 방법들은 텅스텐-함유 전구체 및 탄소-함유 전구체의 플라즈마를 형성하는 단계를 포함할 수 있다. 플라즈마 내의 수소는 탄소-함유 전구체에 포함된 수소로 제한될 수 있다. 방법들은 개시 층 상에 텅스텐-함유 하드마스크 층을 형성하는 단계를 포함할 수 있다.
[0010] 일부 실시예들에서, 방법들은 반도체 프로세싱 챔버로부터 기판을 제거하는 단계를 포함할 수 있다. 방법들은 반도체 프로세싱 챔버의 프로세싱 구역에서 챔버 세정 프로세스를 수행하는 단계를 포함할 수 있다. 방법들은 반도체 프로세싱 챔버의 프로세싱 구역 내에 산소-함유 전구체의 플라즈마를 형성하는 단계를 포함할 수 있다.
[0011] 그러한 기술은 종래의 시스템들 및 기법들에 비해 많은 이익들을 제공할 수 있다. 예컨대, 본 기술의 실시예들은 감소된 그레인 사이즈들을 특징으로 하는 하드마스크 막들을 생성할 수 있다. 추가적으로, 본 기술은 형성된 막들의 필링(peeling)을 감소시킬 수 있다. 이들 및 다른 실시예들은, 이들의 많은 장점들 및 특징들과 함께, 아래의 설명 및 첨부된 도면들과 함께 더 상세히 설명된다.
[0012] 개시되는 기술의 성질 및 장점들의 추가적인 이해는 도면들 및 본 명세서의 나머지 부분들을 참조함으로써 실현될 수 있다.
[0013] 도 1은 본 기술의 일부 실시예들에 따른 예시적인 플라즈마 시스템의 개략적인 단면도를 도시한다.
[0014] 도 2a는 본 기술의 일부 실시예들에 따른 반도체 프로세싱 방법에서의 동작들을 도시한다.
[0015] 도 2b는 본 기술의 일부 실시예들에 따른 반도체 프로세싱 방법에서의 동작들을 도시한다.
[0016] 도면들 중 여러 도면들은 개략도들로서 포함된다. 도면들은 예시적인 목적들을 위한 것이며, 실척인 것으로 구체적으로 언급되지 않는 한, 실척인 것으로 간주되지 않아야 한다는 것이 이해되어야 한다. 추가적으로, 개략도들로서, 도면들은 이해를 돕기 위해 제공되며, 현실적인 표현들과 비교하여 모든 양상들 또는 정보를 포함하지는 않을 수 있으며, 예시적인 목적들을 위해 과장된 자료를 포함할 수 있다.
[0017] 첨부된 도면들에서, 유사한 컴포넌트들 및/또는 특징들은 동일한 참조 라벨을 가질 수 있다. 추가로, 동일한 타입의 다양한 컴포넌트들은, 유사한 컴포넌트들 사이를 구별하는 문자가 참조 라벨을 뒤따르게 함으로써 구별될 수 있다. 제1 참조 라벨만이 본 명세서에서 사용되는 경우, 설명은 문자에 관계 없이 동일한 제1 참조 라벨을 갖는 유사한 컴포넌트들 중 임의의 컴포넌트에 적용가능하다.
[0018] 하드마스크 막들은 다수의 프로세싱 동작들을 위해 활용되며, 이는 상이한 재료들의 다수의 층들을 통해 패턴들을 형성하는 것을 포함할 수 있다. 하드마스크 막에 비해 이들 재료들의 에칭 선택성을 증가시키는 것은, 특히 피처(feature) 사이즈들이 계속해서 축소됨에 따라, 임계 치수들의 더 엄격한 제어를 제공한다. 비정질 실리콘 또는 탄소 막들이 흔히 하드마스크들로서 이용되지만, 이들 막들에 대한 선택성은, 기판 상에 다수의 상이한 막들을 가질 수 있고 고종횡비 피처들로의 패터닝을 필요로 할 수 있는 더 복잡한 메모리 및 로직 구조들에 대해 더 큰 난제가 되고 있다. 이에 따라서, 더 새로운 막들은 에칭 선택성을 증가시키기 위해 막 내에 금속 재료들의 혼입을 포함할 수 있다. 하나의 비-제한적인 예로서, 텅스텐이 다수의 옥사이드 및 나이트라이드 재료들에 대한 선택성을 증가시키기 위해 탄소 막에 혼입될 수 있다. 그러나, 막 내의 텅스텐 혼입이 증가됨에 따라, 다수의 문제들이 발생(develop)될 수 있다.
[0019] 텅스텐 및 다른 금속-함유 재료들은 금속-할라이드 전구체들을 사용하여 증착될 수 있다. 텅스텐의 경우, 텅스텐 헥사플루오라이드가 플라즈마-강화 화학 기상 증착 프로세스에서의 증착에서 사용될 수 있다. 플라즈마 증착 동안, 잔류 불소가 결정질 구조 내에 혼입될 수 있고, 막을 통해 기판과의 하드마스크 계면으로 확산될 수 있다. 이는 막의 접착을 감소시킬 수 있고, 이는 후속 에칭 동안 언더컷(undercut)을 유발할 수 있고 막 필링 및 디바이스 고장(failure)을 유발할 수 있다. 접착 문제들을 제한하기 위해, 많은 종래의 기술들은 증착 프로세스 동안 수소를 활용할 수 있으며, 이는 잔류 불소를 수용하고 이러한 잔류 불소를 휘발성 부산물로서 챔버로부터 회수(withdraw)할 수 있다.
[0020] 막 내로 수소를 혼입시키는 것은 프로세싱 동안 추가적인 문제들을 유발할 수 있다. 예컨대, 막에 혼입된 수소는 열적으로 덜 안정적일 수 있고, 나중의 프로세싱 동안 아웃개싱(outgassing)이 일어날 수 있다. 추가적으로, 수소는 막 응력에 영향을 미칠 수 있으며, 이는 막이 점점 더 압축되게 할 수 있고, 이는 막 박리(delamination)도 또한 유발할 수 있다. 마지막으로, 플라즈마 내의 수소의 볼륨들이 증착 프로세스에 영향을 미칠 수 있고, 형성되는 텅스텐 및 탄소 막의 증가된 그레인 사이즈 및 결정도를 유발할 수 있다. 예컨대, 종래의 프로세스들은 2 nm 이상의 그레인 사이즈들을 특징으로 할 수 있다. 임계 치수들이 20 nm 이하로 감소함에 따라, 이들 그레인 사이즈들은 표면 거칠기를 증가시킬 수 있고, 에칭 동작들 동안 라인-에지 거칠기 및 라인-폭 거칠기를 증가시킬 수 있다. 추가적으로, 더 큰 그레인 구조는 더 높은 종횡비 피처들의 형성 동안 유효 트위스팅(effective twisting)을 유발할 수 있으며, 이는 임계 치수 균일성을 낮춤으로써 후속 동작들에 영향을 미칠 수 있다.
[0021] 막들의 그레인 문제들을 개선하기 위해, 일부 종래의 프로세스들은 개선 조치를 취한다. 예컨대, 종래의 기법들은 텅스텐 혼입을 감소시키거나, 다수의 증착 동작들을 수행하거나, 또는 붕소 도핑을 혼입시킬 수 있다. 이들 변화들 전부는 프로세싱에 유해한 영향을 미칠 수 있다. 예컨대, 텅스텐 퍼센티지가 감소됨에 따라, 막에 대한 에칭 선택성도 또한 감소될 수 있다. 다수의 증착 동작들은 대기열 시간들을 증가시킬 수 있고, 붕소 혼입은 막 소광 계수(extinction coefficient)를 감소시킬 수 있다.
[0022] 본 기술은 증착 프로세스 동안 추가적인 수소 가스를 활용하지 않을 수 있는 텅스텐 증착을 수행함으로써 이들 문제들을 극복한다. 기판 표면에 개시 층을 형성함으로써, 본 기술은 접착을 개선할 수 있다. 하드마스크 막의 벌크를 형성할 때, 증착 프로세스로부터 수소를 제거함으로써, 더 많은 수의 핵 생성 사이트(site)들이 형성될 수 있으며, 이는 막 성장이 진행됨에 따라 그레인 사이즈를 감소시킬 수 있다. 본 기술은 또한, 증착을 추가로 개선하고 낙하(fall-on) 입자들을 감소시키기 위해, 챔버 세정, 처리 및 시즈닝을 수행할 수 있다.
[0023] 나머지 개시내용은 개시되는 기술을 활용하여 특정 증착 프로세스들을 통상적으로 식별할 것이지만, 설명되는 챔버들에서 일어날 수 있는 바와 같이, 시스템들 및 방법들은 다른 증착, 에칭 및 세정 챔버들 뿐만 아니라 프로세스들에 동일하게 적용가능하다는 것이 용이하게 이해될 것이다. 이에 따라서, 본 기술은 단독으로 이들 특정 증착 프로세스들 또는 챔버들에 사용되는 것에 대해 그렇게 제한되는 것으로 간주되지 않아야 한다. 본 개시내용은 본 기술의 실시예들에 따른 프로세스들을 수행하기 위해 사용될 수 있는 하나의 가능한 챔버를, 본 기술의 실시예들에 따라 이 시스템에 대한 추가적인 변형들 및 조정들이 설명되기 전에 논의할 것이다.
[0024] 도 1은 본 기술의 일부 실시예들에 따른 예시적인 프로세싱 챔버(100)의 단면도를 도시한다. 도면은, 본 기술의 하나 이상의 양상들을 통합하고 그리고/또는 본 기술의 실시예들에 따른 하나 이상의 동작들을 수행하도록 특정하게 구성될 수 있는 시스템의 개요를 예시할 수 있다. 챔버(100) 또는 수행되는 방법들의 추가적인 세부사항들은 아래에서 추가로 설명될 수 있다. 챔버(100)는 본 기술의 일부 실시예들에 따라 막 층들을 형성하기 위해 활용될 수 있지만, 방법들은 막 형성이 일어날 수 있는 임의의 챔버에서 유사하게 수행될 수 있다는 것이 이해되어야 한다. 프로세싱 챔버(100)는 챔버 바디(102), 챔버 바디(102) 내부에 배치된 기판 지지부(104), 및 챔버 바디(102)와 커플링되고 프로세싱 볼륨(120) 내의 기판 지지부(104)를 에워싸는 덮개 조립체(106)를 포함할 수 있다. 기판(103)은 개구(126)를 통해 프로세싱 볼륨(120)에 제공될 수 있으며, 개구(126)는 슬릿 밸브 또는 도어를 사용하여 프로세싱을 위해 통상적으로 밀봉될 수 있다. 기판(103)은 프로세싱 동안 기판 지지부의 표면(105) 상에 안착될 수 있다. 기판 지지부(104)는, 기판 지지부(104)의 샤프트(144)가 위치될 수 있는 축(147)을 따라, 화살표(145)로 표시된 바와 같이 회전가능할 수 있다. 대안적으로, 기판 지지부(104)는 증착 프로세스 동안 필요에 따라 회전하도록 들어 올려질 수 있다.
[0025] 플라즈마 프로파일 변조기(111)는 기판 지지부(104) 상에 배치된 기판(103)에 걸친 플라즈마 분포를 제어하기 위해 프로세싱 챔버(100)에 배치될 수 있다. 플라즈마 프로파일 변조기(111)는, 챔버 바디(102)에 인접하게 배치될 수 있고 덮개 조립체(106)의 다른 컴포넌트들로부터 챔버 바디(102)를 분리할 수 있는 제1 전극(108)을 포함할 수 있다. 제1 전극(108)은 덮개 조립체(106)의 일부일 수 있거나, 또는 별개의 측벽 전극일 수 있다. 제1 전극(108)은 환형 또는 링형 부재일 수 있고, 링 전극일 수 있다. 제1 전극(108)은 프로세싱 볼륨(120)을 둘러싸는 프로세싱 챔버(100)의 둘레 주위의 연속적인 루프일 수 있거나, 또는 원하는 경우, 선택된 위치들에서 불연속적일 수 있다. 제1 전극(108)은 또한 천공된 전극, 이를테면, 천공된 링 또는 메시 전극일 수 있거나, 또는 플레이트 전극, 이를테면, 예컨대, 2 차 가스 분배기일 수 있다.
[0026] 유전체 재료, 이를테면, 세라믹 또는 금속 옥사이드, 예컨대, 알루미늄 옥사이드 및/또는 알루미늄 나이트라이드일 수 있는 하나 이상의 아이솔레이터들(110a, 110b)은 제1 전극(108)과 접촉하고, 가스 분배기(112)로부터 그리고 챔버 바디(102)로부터 전기적으로 그리고 열적으로 제1 전극(108)을 분리할 수 있다. 가스 분배기(112)는 프로세싱 볼륨(120) 내로 프로세스 전구체들을 분배하기 위한 애퍼처들(118)을 정의할 수 있다. 가스 분배기(112)는 제1 전력 소스(142), 이를테면, RF 생성기, RF 전력 소스, DC 전력 소스, 펄스식 DC 전력 소스, 펄스식 RF 전력 소스, 또는 프로세싱 챔버와 커플링될 수 있는 임의의 다른 전력 소스와 커플링될 수 있다. 일부 실시예들에서, 제1 전력 소스(142)는 RF 전력 소스일 수 있다.
[0027] 가스 분배기(112)는 전도성 가스 분배기 또는 비-전도성 가스 분배기일 수 있다. 가스 분배기(112)는 또한, 전도성 및 비-전도성 컴포넌트들로 형성될 수 있다. 예컨대, 가스 분배기(112)의 바디는 전도성일 수 있는 한편, 가스 분배기(112)의 페이스 플레이트는 비-전도성일 수 있다. 가스 분배기(112)는 이를테면 도 1에 도시된 바와 같은 제1 전력 소스(142)에 의해 전력을 공급받을 수 있거나, 또는 가스 분배기(112)는 일부 실시예들에서 접지와 커플링될 수 있다.
[0028] 제1 전극(108)은 프로세싱 챔버(100)의 접지 경로를 제어할 수 있는 제1 튜닝 회로(128)와 커플링될 수 있다. 제1 튜닝 회로(128)는 제1 전자 센서(130) 및 제1 전자 제어기(134)를 포함할 수 있다. 제1 전자 제어기(134)는 가변 커패시터 또는 다른 회로 엘리먼트들이거나 또는 이를 포함할 수 있다. 제1 튜닝 회로(128)는 하나 이상의 인덕터들(132)이거나 또는 이를 포함할 수 있다. 제1 튜닝 회로(128)는 프로세싱 동안 프로세싱 볼륨(120)에 존재하는 플라즈마 조건들 하에서 가변적인 또는 제어가능한 임피던스를 가능하게 하는 임의의 회로일 수 있다. 예시된 바와 같은 일부 실시예들에서, 제1 튜닝 회로(128)는, 접지와 제1 전자 센서(130) 사이에 병렬로 커플링된, 제1 회로 레그 및 제2 회로 레그를 포함할 수 있다. 제1 회로 레그는 제1 인덕터(132A)를 포함할 수 있다. 제2 회로 레그는 제1 전자 제어기(134)와 직렬로 커플링된 제2 인덕터(132B)를 포함할 수 있다. 제2 인덕터(132B)는 제1 및 제2 회로 레그들 둘 모두를 제1 전자 센서(130)에 연결하는 노드와 제1 전자 제어기(134) 사이에 배치될 수 있다. 제1 전자 센서(130)는 전압 또는 전류 센서일 수 있고 제1 전자 제어기(134)와 커플링될 수 있으며, 이는 프로세싱 볼륨(120) 내부의 플라즈마 조건들의 어느 정도의 폐쇄-루프 제어를 제공할 수 있다.
[0029] 제2 전극(122)은 기판 지지부(104)와 커플링될 수 있다. 제2 전극(122)은 기판 지지부(104) 내에 내장되거나 또는 기판 지지부(104)의 표면과 커플링될 수 있다. 제2 전극(122)은 플레이트, 천공된 플레이트, 메시, 와이어 스크린, 또는 전도성 엘리먼트들의 임의의 다른 분산형 어레인지먼트(arrangement)일 수 있다. 제2 전극(122)은 튜닝 전극일 수 있고, 도관(146), 예컨대 기판 지지부(104)의 샤프트(144)에 배치된, 50 옴과 같은 선택된 저항을 갖는 예컨대 케이블에 의해 제2 튜닝 회로(136)와 커플링될 수 있다. 제2 튜닝 회로(136)는 제2 가변 커패시터일 수 있는 제2 전자 제어기(140) 및 제2 전자 센서(138)를 가질 수 있다. 제2 전자 센서(138)는 전압 또는 전류 센서일 수 있고, 프로세싱 볼륨(120) 내의 플라즈마 조건들에 대한 추가적인 제어를 제공하기 위해 제2 전자 제어기(140)와 커플링될 수 있다.
[0030] 바이어스 전극 및/또는 정전 척킹 전극일 수 있는 제3 전극(124)이 기판 지지부(104)와 커플링될 수 있다. 제3 전극은 임피던스 매칭 회로일 수 있는 필터(148)를 통해 제2 전력 소스(150)와 커플링될 수 있다. 제2 전력 소스(150)는 DC 전력, 펄스식 DC 전력, RF 바이어스 전력, 펄스식 RF 소스 또는 바이어스 전력, 또는 이들 또는 다른 전력 소스들의 조합일 수 있다. 일부 실시예들에서, 제2 전력 소스(150)는 RF 바이어스 전력일 수 있다.
[0031] 도 1의 덮개 조립체(106) 및 기판 지지부(104)는 플라즈마 또는 열적 프로세싱을 위한 임의의 프로세싱 챔버에 사용될 수 있다. 동작 시에, 프로세싱 챔버(100)는 프로세싱 볼륨(120) 내의 플라즈마 조건들의 실시간 제어를 제공할 수 있다. 기판(103)은 기판 지지부(104) 상에 배치될 수 있고, 프로세스 가스들은 임의의 원하는 유동 계획에 따라 입구(114)를 사용하여 덮개 조립체(106)를 통해 유동될 수 있다. 가스들은 출구(152)를 통해 프로세싱 챔버(100)를 빠져 나갈 수 있다. 프로세싱 볼륨(120)에 플라즈마를 설정하기 위해 전력이 가스 분배기(112)와 커플링될 수 있다. 일부 실시예들에서, 기판은 제3 전극(124)을 사용하여 전기 바이어스를 겪을 수 있다.
[0032] 프로세싱 볼륨(120) 내의 플라즈마를 에너자이징(energizing)할 시에, 플라즈마와 제1 전극(108) 사이에 전위차가 설정될 수 있다. 플라즈마와 제2 전극(122) 사이에 전위차가 또한 설정될 수 있다. 이어서, 전자 제어기들(134, 140)은 2 개의 튜닝 회로들(128 및 136)에 의해 표현되는 접지 경로들의 유동 특성들을 조정하기 위해 사용될 수 있다. 중심으로부터 에지까지의 플라즈마 밀도 균일성 및 증착 레이트의 독립적인 제어를 제공하기 위해 세트 포인트가 제1 튜닝 회로(128) 및 제2 튜닝 회로(136)에 전달될 수 있다. 전자 제어기들 둘 모두가 가변 커패시터들일 수 있는 실시예들에서, 전자 센서들은, 독립적으로 두께 불균일성을 최소화하고 증착 레이트를 최대화하기 위해 가변 커패시터들을 조정할 수 있다.
[0033] 튜닝 회로들(128, 136) 각각은 개개의 전자 제어기들(134, 140)을 사용하여 조정될 수 있는 가변 임피던스를 가질 수 있다. 전자 제어기들(134, 140)이 가변 커패시터들인 경우, 가변 커패시터들 각각의 커패시턴스 범위, 및 제1 인덕터(132A) 및 제2 인덕터(132B)의 인덕턴스들은, 임피던스 범위를 제공하도록 선정될 수 있다. 이러한 범위는 각각의 가변 커패시터의 커패시턴스 범위에서 최소치를 가질 수 있는 플라즈마의 주파수 및 전압 특성들에 의존할 수 있다. 따라서, 제1 전자 제어기(134)의 커패시턴스가 최소치 또는 최대치일 때, 제1 튜닝 회로(128)의 임피던스가 높아서, 기판 지지부 위에 최소 에어리얼(aerial) 또는 측방향 커버리지를 갖는 플라즈마 형상이 야기될 수 있다. 제1 전자 제어기(134)의 커패시턴스가 제1 튜닝 회로(128)의 임피던스를 최소화하는 값에 접근할 때, 플라즈마의 에어리얼 커버리지가 최대치로 성장하여서, 기판 지지부(104)의 전체 작동 영역을 효과적으로 커버할 수 있다. 제1 전자 제어기(134)의 커패시턴스가 최소 임피던스 세팅으로부터 벗어날 때, 플라즈마 형상은 챔버 벽들로부터 축소될 수 있고, 기판 지지부의 에어리얼 커버리지가 감소될 수 있다. 제2 전자 제어기(140)는, 제2 전자 제어기(140)의 커패시턴스가 변화될 수 있기 때문에, 기판 지지부 위의 플라즈마의 에어리얼 커버리지를 증가 및 감소시키는 유사한 효과를 가질 수 있다.
[0034] 전자 센서들(130, 138)은, 폐쇄 루프에서 개개의 회로들(128, 136)을 튜닝하기 위해 사용될 수 있다. 사용되는 센서의 타입에 따른, 전류 또는 전압에 대한 세트 포인트가 각각의 센서에 인스톨(install)될 수 있고, 센서에는, 세트 포인트로부터의 편차를 최소화하기 위해 각각의 개개의 전자 제어기(134, 140)에 대한 조정을 결정하는 제어 소프트웨어가 제공될 수 있다. 결과적으로, 플라즈마 형상은 프로세싱 동안 선택되고 동적으로 제어될 수 있다. 전술한 논의가 가변 커패시터들일 수 있는 전자 제어기들(134, 140)에 기반하지만, 조정가능 특성을 갖는 임의의 전자 컴포넌트가 조정가능 임피던스를 갖는 튜닝 회로들(128 및 136)을 제공하기 위해 사용될 수 있다는 것이 이해되어야 한다.
[0035] 도 2는 본 기술의 일부 실시예들에 따른 프로세싱 방법(200)에서의 예시적인 동작들을 도시한다. 방법은 위에서 설명된 프로세싱 챔버(100)를 포함하는 다양한 프로세싱 챔버들에서 수행될 수 있다. 방법(200)은, 본 기술에 따른 방법들의 일부 실시예들과 특정하게 연관될 수 있거나 또는 연관되지 않을 수 있는, 다수의 선택적인 동작들을 포함할 수 있다. 예컨대, 동작들 중 많은 동작들이 더 넓은 범위의 구조 형성을 제공하기 위해서 설명되지만, 기술에 중대하지 않거나, 또는 용이하게 인식될 바와 같이 대안적인 방법론에 의해 수행될 수 있다.
[0036] 방법(200)은, 프로세싱을 위해 챔버를 준비하고 하드마스크 막을 형성하기 위한 다수의 동작들 또는 다른 증착 동작들을 포함할 수 있는 프로세싱 방법을 포함할 수 있다. 방법은 방법(200)의 개시 전에 선택적인 동작들을 포함할 수 있거나, 또는 방법은 추가적인 동작들을 포함할 수 있다. 예컨대, 방법(200)은 예시된 것과 상이한 순서들로 수행되는 동작들을 포함할 수 있다. 설명될 바와 같이, 선택적인 동작(205)에서, 일부 실시예들에서, 다수의 반복들이 수행될 수 있고 방법은 이전의 챔버 세정에 후속하여 수행될 수 있다. 예시적인 세정 동작들은 플라즈마 강화 할로겐-함유 또는 다른 에천트 전구체들을 활용할 수 있다. 재료들을 제거하는 데 유익하지만, 할로겐 재료들은 위에서 논의된 바와 같이 알루미늄 챔버 컴포넌트들과 상호작용할 수 있으며, 하나의 비-제한적인 예에서, 불소 전구체를 활용하는 것은 알루미늄 플루오라이드가 챔버의 프로세싱 구역 주위에 형성 및/또는 재증착되게 할 수 있다.
[0037] 일부 실시예들에서, 방법(200)은 선택적인 동작(210)에서 플라즈마 처리를 포함할 수 있으며, 플라즈마 처리는 플라즈마 강화 전구체를 포함할 수 있으며, 플라즈마 강화 전구체는 원격 플라즈마 유닛으로부터 보내지거나 또는 프로세싱 구역에서 인 시튜로 발생될 수 있다. 전구체는 수소-함유 전구체, 산소-함유 전구체, 또는 임의의 다른 전구체를 포함할 수 있으며, 이는 다시 알루미늄 또는 알루미늄 옥사이드로의 알루미늄 플루오라이드의 변환을 가능하게 하기 위해 사용될 수 있다. 하나의 비-제한적인 예로서, 아산화질소, 또는 어떤 다른 산소-함유 전구체, 이를테면, 산소가 프로세싱 구역에 전달될 수 있고, 플라즈마가 생성될 수 있다. 형성된 산소-함유 플라즈마 배출물들은 알루미늄 플루오라이드를 알루미늄 옥사이드로 변환시킬 수 있으며, 이러한 알루미늄 옥사이드는 챔버 표면들 상에 더 안정적으로 남아있을 수 있다. 추가적으로, 전구체는 챔버로부터 알루미늄 플루오라이드 재료들을 제거할 수 있다.
[0038] 이어서, 선택적인 동작(215)에서 챔버 내에서 시즈닝 또는 처리 막이 발생될 수 있다. 예컨대, 이전에 설명된 프로세싱 볼륨(120)의 노출된 표면들을 포함하는 프로세싱 구역 내의 영역들과 같은, 프로세싱 챔버의 표면들 위에 옥사이드 재료, 이를테면, 실리콘 옥사이드가 형성될 수 있다. 구역은 내부 플라즈마 구역일 수 있으며, 내부 플라즈마 구역은 다수의 챔버 컴포넌트들에 의해 적어도 부분적으로 정의될 수 있다. 예컨대, 챔버 측벽들, 샤워헤드 또는 페이스플레이트, 및 페데스탈은 모두 프로세싱 구역의 양상들에 포함되거나 또는 프로세싱 구역의 양상들을 적어도 부분적으로 정의할 수 있다. 프로세싱 구역 내에 플라즈마가 형성될 수 있고, 실리콘 옥사이드를 증착하기 위한 전구체들이 도입될 수 있다. 실리콘 옥사이드의 막은 챔버 표면들 위에 제1 두께로 형성될 수 있으며, 이는 표면들의 완벽한 코팅을 보장할 수 있다.
[0039] 실리콘 옥사이드 표면의 형성 후에, 방법(200)은 나이트라이드 재료, 이를테면, 실리콘 나이트라이드를 형성하는 단계를 포함할 수 있다. 실리콘 나이트라이드는 실리콘 옥사이드 위에 제2 두께로 형성될 수 있다. 프로세싱 챔버 내의 컴포넌트들은 알루미늄이거나 또는 알루미늄을 포함할 수 있다. 실리콘 나이트라이드는 알루미늄 표면들 상에 쉽게 증착 또는 부착되지 않을 수 있다. 그러나, 실리콘 나이트라이드는, 일부 막 증착들이 발생할 수 있는 프로세싱 조건들 하에서 에칭에 저항할 수 있는 막을 제공할 수 있다. 예컨대, 아래에서 추가로 설명될 바와 같이, 텅스텐 및 탄소 막이 생성될 수 있고, 텅스텐-함유 전구체는 할로겐화(halogenated) 텅스텐 전구체를 포함할 수 있다. 인 시튜 플라즈마는 보호되지 않은 알루미늄과 반응할 수 있는 할로겐 배출물들을 형성하는 전구체를 해리시킬 수 있다. 실리콘 나이트라이드는 불소를 포획할 수 있고, 텅스텐 및 탄소 막들에 대한 더 우수한 접착 표면을 제공할 수 있으며, 이는 기판 상의 낙하 입자들을 감소시킬 수 있다. 실리콘 옥사이드는 알루미늄에 더 잘 접착될 수 있지만, 텅스텐 및 탄소 막들에 대한 충분한 접착을 제공하지 않을 수 있으며, 이는 기판으로 추가적인 낙하 입자들을 도입할 수 있다.
[0040] 제1 층과 제2 층이 상이한 이점들을 제공하기 때문에, 제1 실리콘 옥사이드 층은 제2 실리콘 나이트라이드 층과 상이한 두께일 수 있다. 예컨대, 일부 실시예들에서, 실리콘 옥사이드의 역할은 챔버 표면들에 걸쳐 완전한 코팅을 제공하는 것일 수 있다. 이에 따라서, 제1 두께는 챔버 표면들을 충분히 코팅하기 위해 제한될 수 있다. 그러므로, 제1 옥사이드 층은 약 50 nm 이하의 제1 두께를 특징으로 할 수 있고, 약 40 nm 이하, 약 30 nm 이하, 약 20 nm 이하, 약 10 nm 이하, 약 9 nm 이하, 약 8 nm 이하, 약 7 nm 이하, 약 6 nm 이하, 약 5 nm 이하, 약 4 nm 이하, 또는 그 미만의 제1 두께를 특징으로 할 수 있다. 제1 층은 약 1 nm 이상, 또는 그 초과의 두께를 특징으로 할 수 있으며, 이는 내부 표면들의 완벽한 커버리지를 보장할 수 있다. 커버리지 내에 갭들이 형성된다면, 실리콘 나이트라이드는 쉽게 부착되지 않을 수 있고, 알루미늄 노출이 발생할 수 있다.
[0041] 일부 실시예들에서, 실리콘 나이트라이드의 역할은 플라즈마 프로세싱 동안 내성 코팅을 제공하는 것일 수 있다. 이에 따라서, 제2 두께는 일부 실시예들에서 제1 두께보다 더 두꺼울 수 있으며, 이는 개선된 저항, 게터링(gettering), 및 플라즈마 배출물들에 대한 보호를 제공할 수 있다. 그러므로, 예컨대, 제2 나이트라이드 층은 약 50 nm 이상의 제2 두께를 특징으로 할 수 있고, 약 60 nm 이상, 약 70 nm 이상, 약 80 nm 이상, 약 90 nm 이상, 약 100 nm 이상, 약 120 nm 이상, 약 140 nm 이상, 약 160 nm 이상, 약 180 nm 이상, 약 200 nm 이상, 또는 그 초과의 두께를 특징으로 할 수 있다.
[0042] 코팅 또는 시즈닝의 형성에 후속하여, 선택적인 동작(220)에서, 기판이 프로세싱 구역 내에 배치될 수 있다. 예컨대, 기판 지지부는 방법 동안 옥사이드 및 나이트라이드로 코팅될 수 있고, 기판은 기판 지지부 상의 제1 층 및 제2 재료 층 위에 놓이는 상태로 포지셔닝될 수 있다. 예컨대, 기판은 기판 지지부 위에 형성된 실리콘 나이트라이드 재료 상에 포지셔닝될 수 있다. 예시적인 기판들은, 실리콘, 실리콘 게르마늄을 포함하는 임의의 수의 재료들뿐만 아니라, 옥사이드들, 나이트라이드들, 또는 반도체 기판 상에 형성될 수 있는 임의의 다른 재료들을 포함하는 임의의 수의 이전에 형성된 막들을 포함할 수 있다.
[0043] 일부 실시예들에서, 기판은 실리콘일 수 있거나 또는 실리콘을 포함할 수 있지만, 추가적인 재료들이 사용될 수 있다. 동작(225)에서, 기판의 표면은 나중의 증착 동작들의 개시를 가능하게 하도록 처리될 수 있다. 기판 표면의 처리는 하나 이상의 전구체들을 이용하여 수행될 수 있고, 예컨대 수소-함유 전구체, 질소-함유 전구체, 및/또는 다른 전구체들을 포함할 수 있다. 플라즈마는 전구체들로 형성될 수 있으며, 이는 기판의 표면 상에 수소 말단들을 생성할 수 있으며, 이는 후속 재료들의 혼입을 가능하게 할 수 있다. 수소 라디칼들의 상호작용은 표면에 댕글링 결합(dangling bond)들 또는 다른 리간드 말단들을 생성할 수 있으며, 이는 전구체들과의 상호작용을 증가시킬 수 있다. 동작(230)에서, 텅스텐-함유 전구체가 기판과 접촉하도록 프로세싱 구역에 전달될 수 있다. 예컨대, 텅스텐-함유 전구체, 이를테면, 텅스텐 헥사플루오라이드는 기판의 표면에서의 댕글링 결합들과 상호작용하도록 전달될 수 있다. 이는 기판의 표면에 텅스텐 층을 형성할 수 있으며, 이는 일부 실시예들에서 두께가 약 5 Å 이하일 수 있다.
[0044] 일부 실시예들에서, 텅스텐-함유 전구체는 형성 동안 조절된 전달을 가질 수 있다. 텅스텐-함유 전구체는 처리를 위한 플라즈마의 종결에 후속하여 전달될 수 있고, 일부 실시예들에서, 여기되지 않은 전구체로서 전달될 수 있다. 추가적으로, 텅스텐-함유 전구체는 일부 실시예들에서 처리 동작 동안 또는 적어도 부분적으로 처리 동작 동안 전달될 수 있다. 예컨대, 텅스텐-함유 전구체의 초기 유량이 처리 동작 동안 제공될 수 있고, 일정 시간 기간 동안 상향으로 느리게 램핑(ramped)된 이후에 플라즈마를 소멸시킬 수 있다. 플라즈마 처리 동안 유량을 느리게 램핑함으로써, 감소된 불소 라디칼 생성이 일어날 수 있으며, 이는 일부 실시예들에서 개시 층에서의 불소 혼입을 제한할 수 있다.
[0045] 동작(235)에서, 후속 처리 동작이 개시 층에 대해 수행될 수 있다. 처리는, 동작(225)에서 수행된 처리와 유사한 또는 상이한 재료들을 포함할 수 있다. 예컨대, 수소-함유 전구체 및/또는 질소-함유 전구체가 기판 프로세싱 구역에 전달될 수 있고, 플라즈마가 전구체들로부터 생성될 수 있다. 플라즈마 배출물들은 텅스텐-함유 개시 층과 접촉하고 그리고/또는 이러한 텅스텐-함유 개시 층에 충격을 가할(bombard) 수 있다. 이러한 상호작용은 텅스텐 재료 내에 질소를 혼입시킬 수 있고, 또한 추가적인 충격으로 인하여 질소 빈자리들을 생성할 수 있다. 생성되는 텅스텐 및 질소 층은 후속 증착을 위한 배리어 층으로서 동작할 수 있고, 추가적인 증착을 위한 핵 생성 사이트들을 제공할 수 있다.
[0046] 일부 실시예들에서, 개시 층 형성은 임의의 수의 사이클들 동안 처리와 함께 반복될 수 있다. 예컨대, 텅스텐 및 질소 층을 추가로 발생시키기 위해, 텅스텐-함유 전구체가 프로세싱 구역으로 다시 유동될 수 있다. 추가적으로, 방법을 반복함으로써, 불소 및/또는 텅스텐이 구조 내의 빈자리들에 혼입될 수 있다. 이는 벌크 막 형성 동안 후속하여 전달되는 불소의 나중의 확산을 제한할 수 있다. 개시 층의 하나 이상의 발생 사이클들 후에, 방법(200)은 텅스텐 및 탄소 막의 벌크 층을 형성할 수 있다.
[0047] 동작(240)에서, 플라즈마는 텅스텐-함유 전구체 및 수소-함유 전구체로 형성될 수 있다. 텅스텐-함유 전구체는 텅스텐-헥사플루오라이드 또는 임의의 다른 텅스텐-함유 재료일 수 있거나 또는 이를 포함할 수 있고, 탄소-함유 전구체는 다수의 탄소-함유 전구체들을 포함할 수 있다. 예컨대, 탄소-함유 전구체는 임의의 알칸, 알켄, 또는 임의의 다른 탄소-함유 재료이거나 또는 이를 포함할 수 있다. 전구체는 탄소-및-수소-함유 전구체들을 포함할 수 있으며, 이는 임의의 양(amount)의 탄소 및 수소 결합을 포함할 수 있다. 일부 실시예들에서, 탄소-함유 전구체는 탄소-대-탄소 및 탄소-및-수소 결합으로 구성될 수 있다.
[0048] 이전에 언급된 바와 같이, 벌크 막 또는 하드마스크는 막의 그레인 사이즈의 감소를 가능하게 하기 위해 수소의 포함이 제한되어 형성될 수 있다. 탄소-함유 전구체가 수소를 포함할 수 있지만, 일부 실시예들에서, 추가적인 수소 소스가 제공되지 않을 수 있다. 예컨대, 이원자 수소는 전달되는 전구체들로부터 제외될 수 있다. 불활성 전구체들 또는 캐리어 가스들에 텅스텐-함유 전구체 및 탄소-함유 전구체가 제공될 수 있지만, 일부 실시예들에서, 다른 화학적 반응성 전구체들은 전구체들과 함께 전달되지 않을 수 있다. 플라즈마에 제공되는 수소를 탄소-함유 전구체에 포함된 수소로 제한함으로써, 플라즈마를 형성하기 위해 전달되는 수소의 원자비는 수소 가스가 추가적으로 제공되는 경우보다 더 낮을 수 있다. 예컨대, 전달된 전구체들 내의 수소 대 텅스텐의 원자비는 실시예들에서 약 8:1 이하일 수 있고, 약 7:1 이하, 약 6:1 이하, 약 5:1 이하, 약 4:1 이하, 약 3:1 이하, 약 2:1 이하, 또는 그 미만일 수 있다. 하나의 비-제한적인 예에서, 탄소-함유 전구체는 프로펜일 수 있고, 플라즈마를 형성하기 위해 전달되는 전구체들에 대해 수소 대 텅스텐의 비는 6:1일 수 있다. 플라즈마 배출물들은 이를테면 기판 위에 놓이는 개시 층 상에 증착될 수 있고, 동작(245)에서, 탄소-함유 또는 텅스텐-함유 하드마스크 막이거나 또는 이를 포함할 수 있는 하드마스크 막을 형성할 수 있다.
[0049] 전달된 플라즈마-생성 전구체들과의 추가적인 수소의 혼입은 플라즈마의 특성들에 영향을 미칠 수 있다. 예컨대, 수소는 흔히, 전달되는 대부분의 전구체일 수 있으며, 이는 플라즈마 내에 많은 수의 수소 라디칼들을 생성할 수 있다. 플라즈마가 텅스텐-함유 전구체들을 적어도 부분적으로 해리시킬 수 있지만, 수소 라디칼들은 또한, 전구체로부터 불소를 화학적으로 제거하는 것과 같이 텅스텐 재료와의 화학적 상호작용을 증가시킬 수 있다. 그러나, 수소의 양은 또한, 핵 생성 사이트들을 차단하고 증착되는 재료들을 제거하는 것으로 인해 증착 레이트들을 낮출 수 있다.
[0050] 수소 전달을 제거함으로써 감소된 화학적 상호작용을 개선하기 위해, 본 기술의 일부 실시예들은 전달되는 텅스텐-함유 전구체의 양을 증가시킬 수 있다. 예컨대, 수소 재료의 제공 없이 텅스텐 전달량들을 유지하는 것은, 생성되는 막에서의 텅스텐 혼입을 감소시킬 수 있는데, 그 이유는 감소된 양의 텅스텐 라디칼들 및 배출물들이 기판 표면에서 증착되거나 또는 상호작용할 수 있기 때문이다. 표면에 혼입되는 텅스텐의 양을 증가시키고 막 내의 텅스텐 퍼센티지를 유지하기 위해, 텅스텐 유량은 약 100 sccm 이상으로 유지될 수 있고, 약 150 sccm 이상, 약 200 sccm 이상, 약 250 sccm 이상, 약 300 sccm 이상, 또는 그 초과로 유지될 수 있다. 추가적으로, 일부 실시예들에서, 텅스텐-함유 전구체 유량은 일부 실시예들에서 탄소-함유 전구체보다 더 높게 유지될 수 있지만, 탄소-함유 전구체의 원자 유량이 더 높을 수 있다. 예컨대, 예시적인 전구체들인 텅스텐 헥사플루오라이드 및 프로펜의 경우, 텅스텐-함유 전구체 대 탄소-함유 전구체의 체적 유량비는 약 1:1 이상일 수 있고, 약 1.05:1 이상, 약 1.10:1 이상, 약 1.15:1 이상, 약 1.20:1 이상, 약 1.25:1 이상, 약 1.30:1 이상, 약 1.35:1 이상, 약 1.40:1 이상, 또는 그 초과일 수 있다.
[0051] 이는 생성되는 막 내의 텅스텐 퍼센티지를 약 10% 이상으로 유지할 수 있고, 생성되는 막 내의 텅스텐 퍼센티지를 약 12% 이상, 약 13% 이상, 약 14% 이상, 약 15% 이상, 약 16% 이상, 약 17% 이상, 약 18% 이상, 약 19% 이상, 약 20% 이상, 약 21% 이상, 약 22% 이상, 약 23% 이상, 약 24% 이상, 약 25% 이상, 약 26% 이상, 약 27% 이상, 약 28% 이상, 약 29% 이상, 또는 그 초과로 유지할 수 있다. 추가적으로, 이원자 수소의 전달을 제거함으로써, 벌크 막에 대한 증착 레이트가 증가될 수 있다. 예컨대, 증착 전구체들과 이원자 수소를 이용하여 형성된 막과 비교하여, 본 기술은 약 1.2 배 더 빠른 증착 레이트를 제공할 수 있고, 약 1.4 배 이상, 약 1.6 배 이상, 약 1.8 배 이상, 약 2.0 배 이상, 약 2.2 배 이상, 약 2.4 배 이상, 약 2.6 배 이상, 약 2.8 배 이상, 또는 그 초과로 더 빠른 증착 레이트를 제공할 수 있다.
[0052] 수소의 혼입을 감소시키는 것은 텅스텐 혼입을 위해 기판에 추가적인 핵 생성 사이트들을 제공할 수 있다. 핵 생성 포지션들의 수를 증가시킴으로써, 각각의 사이트의 일반적인 면적(area)이 감소될 수 있으며, 이는 막에 대한 그레인 성장을 위한 면적을 감소시킬 수 있다. 플라즈마 전구체들 내의 수소 함량을 감소시키거나 또는 제거함으로써, 형성되는 막들의 평균 그레인 사이즈는 약 2.5 nm 이하일 수 있고, 일부 실시예들에서, 약 2.4 nm 이하, 약 2.3 nm 이하, 약 2.2 nm 이하, 약 2.1 nm 이하, 약 2.0 nm 이하, 약 1.9 nm 이하, 약 1.8 nm 이하, 약 1.7 nm 이하, 약 1.6 nm 이하, 약 1.5 nm 이하, 약 1.4 nm 이하, 약 1.3 nm 이하, 약 1.2 nm 이하, 또는 그 미만일 수 있다. 이들 더 작은 그레인 사이즈들은 고종횡비 피처들 또는 감소된 임계 치수들을 특징으로 하는 피처들의 형성 동안 임계 치수 균일성을 증가시킬 수 있다.
[0053] 이를테면 원하는 두께로 벌크 막을 형성함으로써 증착 프로세스가 완료된 후에, 기판은 선택적인 동작(250)에서 프로세싱 구역으로부터 제거될 수 있다. 프로세스로부터의 잔류 탄소 재료 또는 텅스텐 및 탄소 재료가 실리콘 나이트라이드 시즈닝의 표면들 상에 또는 노출된 챔버 표면들 상에 수집되었을 수 있다. 다른 프로세스들에서, 실리콘 나이트라이드에 의해 보호되는 표면들 상에 대안적인 전구체들이 배치될 수 있다. 이에 따라서, 일부 실시예들에서, 챔버 세정이 선택적인 동작(255)에서 수행될 수 있으며, 이는 이전에 설명된 동작(205)에서 수행된 세정과 유사하거나 또는 동일할 수 있다. 예컨대, 선택적인 동작(255)에서 챔버 세정을 수행하는 것은, 일부 실시예들에서, 추가적인 기판에 대해 방법(200)을 재시작할 수 있다. 챔버 세정은 잔류 재료들의 제거를 가능하게 하기 위해 프로세싱 구역 내에서 플라즈마 강화될 수 있는 할로겐-함유 전구체를 활용할 수 있다. 세정 프로세스는 잔류 텅스텐 및 탄소 재료의 일부 또는 모든 양상들을 제거할 수 있고, 일부 실시예들에서 시즈닝 재료의 각각의 층의 일부 또는 전부를 제거할 수 있다.
[0054] 이어서, 프로세스는 후속 기판에 대해 반복될 수 있다. 일부 실시예들에서, 전체 방법이 반복되지는 않을 수 있다. 예컨대, 일부 프로세싱 동작들에서, 실리콘 나이트라이드 재료는 프로세싱 구역에 걸쳐 완전히 제거되는 것은 아닐 수 있고, 따라서 실리콘 옥사이드 재료는 온전하게 유지될 수 있다. 결과적으로, 일부 실시예들에서, 방법은, 이를테면 프로세스의 어떤 중간 동작에서 방법(200)을 시작함으로써, 실리콘 나이트라이드 막을 형성하거나 또는 개질(reforming)하거나 또는 보수하는 단계를 포함할 수 있다. 방법은, 일부 실시예들에서, 언급된 동작들 중 임의의 동작으로 개시될 수 있다. 막들의 벌크 증착으로부터 이원자 수소 및 다른 수소 소스들을 제거함으로써, 광학 특성들 또는 다른 막 특성들을 유지하거나 또는 개선하면서, 종래의 기술들에 비해 개선된 그레인 구조들이 제공될 수 있다. 불소 확산 및 생성되는 막들의 다른 양상들을 수용하기 위해, 개시 또는 배리어 층이 벌크 막과 하부(underlying) 기판의 계면에 통합될 수 있다.
[0055] 이전의 설명에서, 설명의 목적들을 위해, 본 기술의 다양한 실시예들의 이해를 제공하기 위해서 많은 세부사항들이 제시되었다. 그러나, 특정 실시예들이 이들 세부사항들 중 일부 없이 또는 추가적인 세부사항들과 함께 실시될 수 있다는 것이 당업자에게 자명할 것이다.
[0056] 여러 실시예들을 개시하였지만, 실시예들의 사상을 벗어나지 않으면서 다양한 수정들, 대안적인 구성들 및 등가물들이 사용될 수 있다는 것이 당업자들에 의해 인식될 것이다. 추가적으로, 본 기술을 불필요하게 모호하게 하는 것을 회피하기 위해서, 다수의 잘 알려진 프로세스들 및 엘리먼트들은 설명되지 않았다. 이에 따라서, 위의 설명은 기술의 범위를 제한하는 것으로 간주되지 않아야 한다.
[0057] 값들의 범위가 주어진 경우, 그러한 값들의 범위의 상위 한계값과 하위 한계값 사이에 존재하는 각각의 값은, 문맥상 달리 명백히 표시되어 있지 않은 한 하위 한계값의 최소 자릿수의 단 단위 값의 10분의 1까지 또한 구체적으로 기재된 것으로 해석된다. 명시된 범위 내의 임의의 명시된 값들 또는 그 범위에 속하는 명시되지 않은 값들과 그러한 명시된 범위 내의 임의의 다른 명시된 값 또는 그 범위에 속하는 다른 값 사이에 존재하는 각각의 소범위가 포함된다. 이러한 소범위의 상위 한계값 및 하위 한계값은 독립적으로 그러한 범위에 포함되거나 그러한 범위에서 제외될 수 있고, 각각의 범위는, 상위 한계값과 하위 한계값 중 하나 또는 둘 모두가 그러한 소범위에 포함되든지, 둘 모두가 그러한 소범위에서 제외되는지 간에, 구체적으로 제외된 임의의 한계값이 명시된 범위에 있는 한, 또한 본 기술에 포함된다. 명시된 범위가 한계값들 중 하나 또는 둘 모두를 포함하는 경우, 그렇게 포함된 한계값들 중 하나 또는 둘 모두를 제외한 범위들이 또한 포함된다.
[0058] 본원에서 그리고 첨부된 청구항들에서 사용되는 바와 같이, 단수 형태들은, 문맥이 명확하게 달리 지시하지 않는 한, 복수의 언급들을 포함한다. 따라서, 예컨대, "전구체"에 대한 언급은 복수의 그러한 전구체를 포함하고, "층"에 대한 언급은 당업자들에게 알려진 하나 이상의 층들 및 이들의 등가물들에 대한 언급을 포함하는 식이다.
[0059] 또한, 본 명세서에서 그리고 다음의 청구항들에서 사용되는 경우, "포함한다(comprise)", "포함하는(comprising)", "함유한다(contain)", "함유하는(containing)", "포함한다(include)", 그리고 "포함하는(including)"이란 단어들은 진술된 특징들, 인티저(integer)들, 컴포넌트들 또는 동작들의 존재를 특정하는 것으로 의도되지만, 이들은 하나 이상의 다른 특징들, 인티저들, 컴포넌트들, 동작들, 액트들 또는 그룹들의 존재 또는 추가를 배제하지 않는다.

Claims (20)

  1. 수소-함유 전구체로 기판의 표면을 처리하는 단계 ―상기 기판은 반도체 프로세싱 챔버의 프로세싱 구역 내에 배치됨―;
    상기 기판을 텅스텐-함유 전구체와 접촉시키는 단계;
    상기 기판 상에 텅스텐을 포함하는 개시 층을 형성하는 단계;
    수소-함유 전구체로 상기 개시 층을 처리하는 단계;
    상기 텅스텐-함유 전구체 및 탄소-함유 전구체의 플라즈마를 형성하는 단계 ―상기 플라즈마 내의 수소는 상기 탄소-함유 전구체에 포함된 수소로 제한됨―; 및
    상기 개시 층 상에 텅스텐-함유 하드마스크 층을 형성하는 단계
    를 포함하는,
    반도체 프로세싱 방법.
  2. 제1 항에 있어서,
    상기 기판의 표면을 처리하는 단계는,
    상기 수소-함유 전구체의 플라즈마를 형성하는 단계, 및
    수소 말단(hydrogen termination)들을 생성하기 위해, 상기 기판의 표면을 상기 플라즈마의 배출물들과 접촉시키는 단계
    를 포함하는,
    반도체 프로세싱 방법.
  3. 제1 항에 있어서,
    상기 텅스텐-함유 전구체는 상기 기판의 표면을 처리하는 단계가 수행되는 동안 상기 접촉시키는 단계를 위해 전달되는,
    반도체 프로세싱 방법.
  4. 제3 항에 있어서,
    상기 텅스텐-함유 전구체가 전달되는 동안 상기 텅스텐-함유 전구체의 유량이 증가되는,
    반도체 프로세싱 방법.
  5. 제1 항에 있어서,
    상기 개시 층을 처리하는 단계는,
    상기 수소-함유 전구체의 플라즈마를 형성하는 단계, 및
    상기 개시 층을 상기 플라즈마의 배출물들과 접촉시키는 단계
    를 포함하는,
    반도체 프로세싱 방법.
  6. 제5 항에 있어서,
    상기 플라즈마는 질소-함유 전구체를 더 포함하는,
    반도체 프로세싱 방법.
  7. 제6 항에 있어서,
    상기 수소-함유 전구체는 이원자 수소를 포함하고, 상기 질소-함유 전구체는 이원자 질소를 포함하는,
    반도체 프로세싱 방법.
  8. 제1 항에 있어서,
    상기 텅스텐-함유 전구체는 텅스텐 헥사플루오라이드를 포함하고, 상기 탄소-함유 전구체는 프로 펜을 포함하는,
    반도체 프로세싱 방법.
  9. 제8 항에 있어서,
    상기 텅스텐-함유 전구체 및 상기 탄소-함유 전구체의 플라즈마를 형성하는 단계 동안, 상기 반도체 프로세싱 챔버로부터 이원자 수소가 제외되는,
    반도체 프로세싱 방법.
  10. 제1 항에 있어서,
    상기 반도체 프로세싱 챔버의 상기 프로세싱 구역의 노출된 표면들 상에 실리콘-함유 재료를 포함하는 시즈닝 층을 형성하는 단계를 더 포함하는,
    반도체 프로세싱 방법.
  11. 반도체 프로세싱 챔버의 프로세싱 구역의 노출된 표면들 상에 시즈닝 층을 형성하는 단계;
    기판 상에 텅스텐을 포함하는 개시 층을 형성하는 단계 ―상기 기판은 상기 반도체 프로세싱 챔버의 상기 프로세싱 구역 내에 배치됨―;
    텅스텐-함유 전구체 및 탄소-함유 전구체의 플라즈마를 형성하는 단계 ―상기 플라즈마를 형성하기 위해 전달되는 전구체들 내의 수소 대 상기 플라즈마를 형성하기 위해 전달되는 전구체들 내의 텅스텐의 원자비(atomic ratio)는 8:1 미만임―; 및
    상기 개시 층 상에 텅스텐-함유 하드마스크 층을 형성하는 단계
    를 포함하는,
    반도체 프로세싱 방법.
  12. 제11 항에 있어서,
    상기 시즈닝 층은 실리콘 옥사이드 및 실리콘 나이트라이드를 포함하는,
    반도체 프로세싱 방법.
  13. 제11 항에 있어서,
    상기 개시 층을 형성하는 단계는,
    수소-함유 전구체의 플라즈마를 형성하는 단계,
    수소 말단들을 생성하기 위해, 상기 기판의 표면을 상기 플라즈마의 배출물들과 접촉시키는 단계, 및
    상기 기판의 표면과 접촉하도록 텅스텐-함유 전구체를 상기 프로세싱 구역 내로 유동시키는 단계
    를 포함하는,
    반도체 프로세싱 방법.
  14. 제13 항에 있어서,
    상기 텅스텐-함유 전구체는 상기 기판의 표면과 접촉하도록 유동되는 동안 여기되지 않는,
    반도체 프로세싱 방법.
  15. 제11 항에 있어서,
    수소-함유 전구체의 플라즈마를 형성하는 단계, 및
    상기 개시 층을 상기 플라즈마의 배출물들과 접촉시키는 단계
    를 더 포함하는,
    반도체 프로세싱 방법.
  16. 제11 항에 있어서,
    상기 텅스텐-함유 전구체는 텅스텐 헥사플루오라이드를 포함하고, 상기 탄소-함유 전구체는 프로필렌을 포함하는,
    반도체 프로세싱 방법.
  17. 제16 항에 있어서,
    상기 텅스텐-함유 전구체 및 상기 탄소-함유 전구체의 플라즈마를 형성하는 단계 동안, 상기 반도체 프로세싱 챔버로부터 이원자 수소가 제외되는,
    반도체 프로세싱 방법.
  18. 반도체 프로세싱 챔버의 프로세싱 구역의 노출된 표면들 상에 시즈닝 층을 형성하는 단계;
    수소-함유 전구체로 기판의 표면을 처리하는 단계 ―상기 기판은 상기 반도체 프로세싱 챔버의 상기 프로세싱 구역 내에 배치됨―;
    상기 기판을 텅스텐-함유 전구체와 접촉시키는 단계;
    상기 기판 상에 텅스텐을 포함하는 개시 층을 형성하는 단계;
    수소-함유 전구체로 상기 개시 층을 처리하는 단계;
    상기 텅스텐-함유 전구체 및 탄소-함유 전구체의 플라즈마를 형성하는 단계 ―상기 플라즈마 내의 수소는 상기 탄소-함유 전구체에 포함된 수소로 제한됨―; 및
    상기 개시 층 상에 텅스텐-함유 하드마스크 층을 형성하는 단계
    를 포함하는,
    반도체 프로세싱 방법.
  19. 제18 항에 있어서,
    상기 반도체 프로세싱 챔버로부터 상기 기판을 제거하는 단계; 및
    상기 반도체 프로세싱 챔버의 상기 프로세싱 구역에서 챔버 세정 프로세스를 수행하는 단계
    를 더 포함하는,
    반도체 프로세싱 방법.
  20. 제19 항에 있어서,
    상기 반도체 프로세싱 챔버의 상기 프로세싱 구역 내에 산소-함유 전구체의 플라즈마를 형성하는 단계를 더 포함하는,
    반도체 프로세싱 방법.
KR1020227019531A 2019-11-12 2020-11-11 감소된 수소 증착 프로세스들 KR20220099116A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962934289P 2019-11-12 2019-11-12
US62/934,289 2019-11-12
PCT/US2020/059947 WO2021096914A1 (en) 2019-11-12 2020-11-11 Reduced hydrogen deposition processes

Publications (1)

Publication Number Publication Date
KR20220099116A true KR20220099116A (ko) 2022-07-12

Family

ID=75847071

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227019531A KR20220099116A (ko) 2019-11-12 2020-11-11 감소된 수소 증착 프로세스들

Country Status (6)

Country Link
US (1) US20210143010A1 (ko)
JP (1) JP2023501588A (ko)
KR (1) KR20220099116A (ko)
CN (1) CN114830299A (ko)
TW (1) TWI764369B (ko)
WO (1) WO2021096914A1 (ko)

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130048606A1 (en) * 2011-08-31 2013-02-28 Zhigang Mao Methods for in-situ chamber dry clean in photomask plasma etching processing chamber
US20140273451A1 (en) * 2013-03-13 2014-09-18 Applied Materials, Inc. Tungsten deposition sequence
US8951429B1 (en) * 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US11978622B2 (en) * 2014-06-30 2024-05-07 Entegris, Inc. Aqueous and semi-aqueous cleaners for the removal of post-etch residues with tungsten and cobalt compatibility
US9520295B2 (en) * 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
TWI716511B (zh) * 2015-12-19 2021-01-21 美商應用材料股份有限公司 用於鎢原子層沉積製程作為成核層之正形非晶矽
TWI720106B (zh) * 2016-01-16 2021-03-01 美商應用材料股份有限公司 Pecvd含鎢硬遮罩膜及製造方法
KR102441431B1 (ko) * 2016-06-06 2022-09-06 어플라이드 머티어리얼스, 인코포레이티드 표면을 갖는 기판을 프로세싱 챔버에 포지셔닝하는 단계를 포함하는 프로세싱 방법
US10312137B2 (en) * 2016-06-07 2019-06-04 Applied Materials, Inc. Hardmask layer for 3D NAND staircase structure in semiconductor applications
TWI680496B (zh) * 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積
US11062897B2 (en) * 2017-06-09 2021-07-13 Lam Research Corporation Metal doped carbon based hard mask removal in semiconductor fabrication
US20210082696A1 (en) * 2018-03-01 2021-03-18 Applied Materials, Inc. Systems and methods of formation of a metal hardmask in device fabrication
EP4038454A4 (en) * 2019-10-02 2023-10-25 Lam Research Corporation SUBSTRATO SURFACE MODIFICATION WITH HIGH EUV ABSORBERS FOR HIGH PERFORMANCE EUV PHOTORESISTS
US11573452B2 (en) * 2020-11-20 2023-02-07 Applied Materials, Inc. Method for LCoS DBR multilayer stack protection via sacrificial hardmask for RIE and CMP processes

Also Published As

Publication number Publication date
WO2021096914A1 (en) 2021-05-20
US20210143010A1 (en) 2021-05-13
CN114830299A (zh) 2022-07-29
TWI764369B (zh) 2022-05-11
TW202133218A (zh) 2021-09-01
JP2023501588A (ja) 2023-01-18

Similar Documents

Publication Publication Date Title
WO2022020190A1 (en) Flowable film formation and treatments
KR20220097483A (ko) 재료 표면 거칠기를 감소시키기 위한 방법들
US11699577B2 (en) Treatment for high-temperature cleans
US20210143010A1 (en) Reduced hydrogen deposition processes
US20220336216A1 (en) Helium-free silicon formation
US11935751B2 (en) Boron nitride for mask patterning
US11710631B2 (en) Tensile nitride deposition systems and methods
US11894228B2 (en) Treatments for controlling deposition defects
US20220293416A1 (en) Systems and methods for improved carbon adhesion
US20230360924A1 (en) Low temperature carbon gapfill
US20230360903A1 (en) Large area gapfill using volumetric expansion
US20240038527A1 (en) Forming films with improved film quality
US20220020589A1 (en) Dielectric coating for deposition chamber
US11817313B2 (en) Methods for pressure ramped plasma purge
US20210134592A1 (en) Surface encasing material layer
US20220122811A1 (en) Electric arc mitigating faceplate
US20220020599A1 (en) Integration processes utilizing boron-doped silicon materials
CN114867890A (zh) 用于等离子体沉积的初始调制
TW202410123A (zh) 低溫碳隙填充
KR20240011785A (ko) 금속 도핑된 탄소 하드마스크들
WO2023230065A1 (en) Low temperature silicon oxide gap fill
CN118140292A (zh) 用于控制沉积缺陷的处置
KR20230049106A (ko) 박막 층들의 펄스형-플라즈마 증착
CN118020141A (zh) 定向选择性沉积

Legal Events

Date Code Title Description
A201 Request for examination