CN114830299A - 减少氢沉积工艺 - Google Patents

减少氢沉积工艺 Download PDF

Info

Publication number
CN114830299A
CN114830299A CN202080088499.XA CN202080088499A CN114830299A CN 114830299 A CN114830299 A CN 114830299A CN 202080088499 A CN202080088499 A CN 202080088499A CN 114830299 A CN114830299 A CN 114830299A
Authority
CN
China
Prior art keywords
containing precursor
tungsten
plasma
hydrogen
semiconductor processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080088499.XA
Other languages
English (en)
Inventor
闵笑全
V·S·C·帕里米
P·K·库尔施拉希萨
K·李
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN114830299A publication Critical patent/CN114830299A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • H01L21/02642Mask materials other than SiO2 or SiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

半导体处理的示例性方法可包括用含氢前驱物处理基板的表面。基板可设置在半导体处理腔室的处理区域内。方法可包括使基板与含钨前驱物接触。方法可包括在基板上形成包括钨的起始层。方法可包括由含氢前驱物处理起始层。方法可包括形成含钨前驱物和含碳前驱物的等离子体。等离子体中的氢可限于含碳前驱物中包括的氢。方法可包括在起始层上形成含钨硬掩模层。

Description

减少氢沉积工艺
相关申请的交叉引用
本申请要求于2019年11月12日提交的美国专利申请第62/934,289号的优先权的权益,此专利申请的内容出于所有目的通过引用其整体并入本文。
技术领域
本技术涉及用于半导体处理的方法和部件。更具体而言,本技术涉及用于产生硬掩模膜的系统和方法。
背景技术
通过在基板表面上产生复杂图案化材料层的工艺使得集成电路成为可能。在基板上产生图案化材料需要用于形成和移除材料的受控方法。随着元件尺寸持续减小,结构的深宽比(aspect ratio)可能增加,并且在移除操作期间维持这些结构的尺寸可能受到挑战。为了促进在基板上的材料的图案化,可采用硬掩模。随着被图案化的材料层的数量增加,对于多种材料的硬掩模选择性正成为更大的挑战。
因此,需要用于产生高质量元件和结构的改进的系统和方法。通过本技术解决这些和其他需求。
发明内容
半导体处理的示例性方法可包括用含氢前驱物处理基板的表面。基板可设置在半导体处理腔室的处理区域内。方法可包括使基板与含钨前驱物接触。方法可包括在基板上形成包括钨的起始(initiation)层。方法可包括用含氢前驱物处理起始层。方法可包括形成含钨前驱物和含碳前驱物的等离子体。等离子体中的氢可限于含碳前驱物中包括的氢。方法可包括在起始层上形成含钨硬掩模层。
在一些实施例中,处理基板的表面可包括:形成含氢前驱物的等离子体,以及使基板的表面与等离子体的流出物接触以产生氢末端(hydrogen termination)。当正在执行处理基板的表面时,可输送含钨前驱物以进行接触。在输送含钨前驱物时,含钨前驱物的流速(flow rate)可增加。处理起始层可包括:形成含氢前驱物的等离子体,以及使起始层与等离子体的流出物接触。等离子体还可包括含氮前驱物。含氢前驱物可为或可包括双原子氢,并且含氮前驱物可为或可包括双原子氮。含钨前驱物可为或可包括六氟化钨,并且含碳前驱物可为或可包括丙烯。当形成含钨前驱物和含碳前驱物的等离子体时,可从半导体处理腔室排除双原子氢。方法可包括在半导体处理腔室的处理区域的暴露表面上形成包括含硅材料的调整层(seasoning layer)。
本技术的一些实施例可涵盖半导体处理的方法。方法可包括在半导体处理腔室的处理区域的暴露表面上形成调整层。方法可包括在基板上形成包括钨的起始层。基板可设置在半导体处理腔室的处理区域内。方法可包括形成含钨前驱物和含碳前驱物的等离子体。用以形成等离子体所输送的前驱物中的氢与用以形成等离子体所输送的前驱物中的钨的原子比可小于8:1。方法可包括在起始层上形成含钨硬掩模层。
在一些实施例中,调整层可为或可包括氧化硅和氮化硅。形成起始层的步骤可包括形成含氢前驱物的等离子体。形成起始层的步骤可包括使基板的表面与等离子体的流出物接触以产生氢末端。形成起始层的步骤可包括使含钨前驱物流入处理区域中以接触基板的表面。含钨前驱物当流动以接触基板的表面时可未被激发。方法可包括:形成含氢前驱物的等离子体,以及使起始层与等离子体的流出物接触。含钨前驱物可为或可包括六氟化钨,并且含碳前驱物可为或可包括丙烯。当形成含钨前驱物和含碳前驱物的等离子体时,可从半导体处理腔室排除双原子氢。
本技术的一些实施例可涵盖半导体处理的方法。方法可包括在半导体处理腔室的处理区域的暴露表面上形成调整层。方法可包括用含氢前驱物处理基板的表面。基板可设置在半导体处理腔室的处理区域内。方法可包括使基板与含钨前驱物接触。方法可包括在基板上形成包括钨的起始层。方法可包括用含氢前驱物处理起始层。方法可包括形成含钨前驱物和含碳前驱物的等离子体。等离子体中的氢可限于含碳前驱物中包括的氢。方法可包括在起始层上形成含钨硬掩模层。
在一些实施例中,方法可包括从半导体处理腔室移除基板。方法可包括在半导体处理腔室的处理区域中执行腔室清洁工艺。方法可包括在半导体处理腔室的处理区域内形成含氧前驱物的等离子体。
相较于常规系统和技术,这种技术可提供众多益处。例如,本技术的实施例可产生特征在于减小的晶粒尺寸的硬掩模膜。另外,本技术可减少形成的膜的剥离。结合以下描述和附图更详细地描述这些和其他实施例以及它们的许多优点和特征。
附图说明
通过参照说明书的其余部分和附图,可实现对所公开的技术的本质和优点的进一步理解。
图1示出根据本技术的一些实施例的示例性等离子体系统的示意性剖面图。
图2A示出根据本技术的一些实施例的半导体处理方法中的操作。
图2B示出根据本技术的一些实施例的半导体处理方法中的操作。
附图中的一些附图作为示意图被包括在内。应理解,附图仅用于说明目的,除非特别说明为按尺度,否则不应视为按尺度。另外,作为示意图,附图被提供用以协助理解,并且与现实的表示相比可不包括所有方面或资讯,并且为了说明目的可包括夸大的材料。
在附图中,类似的部件和/或特征可具有相同的参考标号。此外,可通过在参考标号后加上一个区分类似部件的字母来区分相同类型的各种部件。若在说明书中仅使用第一参考标号,则描述可适用于具有相同的第一参考标号的类似部件中的任一者,而无论字母如何。
具体实施方式
硬掩模用于许多处理操作,处理操作可包括经由多种不同材料层形成图案。增加这些材料相对于硬掩模膜的蚀刻选择性提供更严格地控制临界尺寸,特别是随着特征尺寸持续缩小。非晶硅或碳膜经常用作硬掩模,尽管对于更复杂的存储器和逻辑结构这些膜的选择性正成为更大的挑战,更复杂的存储器和逻辑结构可在基板上具有多种不同的膜,并且可能需要将其图案化成高深宽比特征。因此,较新的膜可包括在膜内并入金属材料以增加蚀刻选择性。作为一个非限制性实例,钨可并入碳膜以增加相对于多种氧化物和氮化物材料的选择性。然而,随着钨在膜内的并入增加,可能会发展出许多问题。
可使用金属卤化物前驱物来沉积钨和其他含金属材料。在钨的情况下,六氟化钨可用于等离子体增强化学气相沉积工艺中的沉积。在等离子体沉积期间,残留的氟可并入结晶结构内,并且可经由膜扩散至与基板的硬掩模界面。这可能减少膜的粘着性,这可能在后续蚀刻期间导致底切(undercut),并且可能导致膜剥离和元件故障。为了限制粘着问题,许多常规的技术可在沉积工艺期间利用氢,氢可接受残留的氟并且将其从腔室抽出作为挥发性副产物。
将氢并入膜中可能在处理期间导致另外的问题。例如,并入膜中的氢的热稳定性可能较低,并且在随后的处理期间可能发生释气(outgassing)。另外,氢可能影响膜应力,这可能导致膜变得越来越压缩,这还可能导致膜分层。最后,等离子体内的氢量可能影响沉积工艺,并且可能导致所形成的钨和碳膜的晶粒尺寸和结晶度增加。例如,常规工艺的特征可在于2nm或更大的晶粒尺寸。随着临界尺寸减小至20nm或更小,这些晶粒尺寸可能增加表面粗糙度,并且可能增加蚀刻操作期间的线边缘粗糙度和线宽粗糙度。另外,较大的晶粒结构可能在形成较高深宽比特征期间导致有效扭曲,这可能通过降低临界尺寸均匀性而影响后续操作。
为了改善膜的晶粒问题,一些常规工艺采取补救措施。例如,常规技术可减少钨并入、执行多次沉积操作或并入硼掺杂。所有这些改变可能不利地影响处理。例如,随着钨百分比减少,膜的蚀刻选择性可能也减少。多次沉积操作可能增加等候时间,并且硼并入可能减少膜消光系数。
本技术通过执行在沉积工艺期间可不利用另外的氢气的钨沉积来克服这些问题。通过在基板表面处形成起始层,本技术可改善粘着。当形成硬掩模膜的本体(bulk)时,通过从沉积工艺移除氢,可形成更多数量的成核位置,随着膜成长进行,这可减小晶粒尺寸。本技术还可执行腔室清洁、处理和调整以进一步改善沉积并且减少掉落颗粒。
尽管剩余的公开内容将例行地识别利用所公开的技术的具体的沉积工艺,但将容易理解,系统和方法等同适用于其他沉积、蚀刻和清洁腔室,以及在所述腔室中可能发生的工艺。因此,不应认为技术仅限于与这些具体的沉积工艺或腔室一起使用。在描述根据本技术的实施例的对这系统的另外的变化和调整之前,本公开内容将论述可用于执行根据本技术的实施例的工艺的一种可能的腔室。
图1示出了根据本技术的一些实施例的示例性处理腔室100的剖面图。所述附图可以示出并入本技术的一个或多个方面的系统的概述,和/或可具体配置以根据本技术的实施例执行一个或多个操作的系统的概述。以下可进一步描述腔室100的另外的细节或所执行的方法。腔室100可用以根据本技术的一些实施例来形成膜层,尽管应理解,方法可类似地在其中可能发生膜形成的任何腔室中执行。处理腔室100可包括腔室主体102、基板支撑件104和盖组件106,基板支撑件104设置在腔室主体102内部,并且盖组件106与腔室主体102耦接并且将基板支撑件104封闭在处理空间120中。可经由开口126将基板103提供至处理空间120,开口126可常规地通过狭缝阀或门而被密封以进行处理。在处理期间基板103可坐落于基板支撑件的表面105上。如由箭头145指示,基板支撑件104可沿轴147旋转,基板支撑件104的杆144可位于轴147处。替代地,可在沉积工艺期间根据需要来举升基板支撑件104以旋转。
等离子体轮廓调节器111可设置在处理腔室100中,以控制跨设置于基板支撑件104上的基板103的等离子体分布。等离子体轮廓调节器111可包括第一电极108,第一电极108可设置于邻近腔室主体102,并且可使腔室主体102与盖组件106的其他部件分离。第一电极108可为盖组件106的部分,或可为单独的侧壁电极。第一电极108可为环形或环状构件,并且可为环形电极。第一电极108可为环绕处理空间120、绕处理腔室100的圆周的连续环,或若需要则可在所选位置处为不连续的。第一电极108还可为穿孔电极,诸如穿孔环或网状电极,或可为板电极,例如,诸如二次气体分配器。
一个或多个隔离器110a、110b可接触第一电极108并且使第一电极108与气体分配器112并与腔室主体102电且热隔离,隔离器110a、110b可为介电材料,诸如陶瓷或金属氧化物,例如氧化铝和/或氮化铝。气体分配器112可界定用于将工艺前驱物分配至处理空间120中的孔118。气体分配器112可与第一电功率源142耦接,第一电功率源142是诸如RF产生器、RF功率源、DC功率源、脉冲DC功率源、脉冲RF功率源或可与处理腔室耦接的任何其他功率源。在一些实施例中,第一电功率源142可为RF功率源。
气体分配器112可为导电气体分配器或非导电气体分配器。气体分配器112还可由导电和非导电部件形成。例如,气体分配器112的主体可为导电的,而气体分配器112的面板可为非导电的。气体分配器112可诸如通过图1所示的第一电功率源142供电,或在一些实施例中气体分配器112可接地。
第一电极108可与第一调谐电路128耦接,第一调谐电路128可控制处理腔室100的接地路径。第一调谐电路128可包括第一电子传感器130和第一电子控制器134。第一电子控制器134可为或可包括可变电容器或其他电路元件。第一调谐电路128可为或可包括一个或多个电感器132。第一调谐电路128可为在处理期间在存在于处理空间120中的等离子体条件下实现可变或可控制的阻抗的任何电路。在所示的一些实施例中,第一调谐电路128可包括在接地与第一电子传感器130之间并联耦接的第一电路支路和第二电路支路。第一电路支路可包括第一电感器132A。第二电路支路可包括与第一电子控制器134串联耦接的第二电感器132B。第二电感器132B可设置在第一电子控制器134与将第一电路支路和第二电路支路两者皆连接至第一电子传感器130的节点之间。第一电子传感器130可为电压或电流传感器并且可与第一电子控制器134耦接,其可对处理空间120内的等离子体条件提供一定程度的闭回路控制。
第二电极122可与基板支撑件104耦接。第二电极122可嵌入于基板支撑件104内或与基板支撑件104的表面耦接。第二电极122可为板、穿孔板、网、丝网或任何其他导电元件的分布布置。第二电极122可为调谐电极,且可通过导管146与第二调谐电路136耦接,导管146例如为设置在基板支撑件104的杆144中具有诸如50欧姆的选定电阻的电缆。第二调谐电路136可具有第二电子传感器138和第二电子控制器140,第二电子控制器140可为第二可变电容器。第二电子传感器138可为电压或电流传感器,并且可与第二电子控制器140耦接以提供对处理空间120中的等离子体条件的进一步控制。
第三电极124可为偏压电极和/或静电吸附电极,第三电极124可与基板支撑件104耦接。第三电极可经由滤波器148与第二电功率源150耦接,滤波器148可为阻抗匹配电路。第二电功率源150可为DC功率、脉冲DC功率、RF偏压功率、脉冲RF源或偏压功率,或这些或其他功率源的组合。在一些实施例中,第二电功率源150可为RF偏压功率。
图1的盖组件106和基板支撑件104可与任何用于等离子体或热处理的处理腔室一起使用。在操作中,处理腔室100可提供对处理空间120中的等离子体条件的即时控制。基板103可设置在基板支撑件104上,并且可根据任何期望的流动计划使用入口114使工艺气体流过盖组件106。气体可经由出口152离开处理腔室100。电功率可与气体分配器112耦合以在处理空间120中建立等离子体。在一些实施例中,可使用第三电极124使基板承受电偏压。
当在处理空间120中激发等离子体时,可在等离子体与第一电极108之间建立电位差。还可在等离子体与第二电极122之间建立电位差。然后可使用电子控制器134、140来调整由两个调谐电路128和136表示的接地路径的流动性质。可将设定点传递至第一调谐电路128和第二调谐电路136,以提供对沉积速率及对从中心至边缘的等离子体密度均匀性的独立的控制。在其中电子控制器皆可为可变电容器的实施例中,电子传感器可调整可变电容器以独立地使沉积速率最大化并使厚度不均匀性最小化。
调谐电路128、136中的每一者可具有可变阻抗,所述可变阻抗可使用个别的电子控制器134、140来调整。当电子控制器134、140为可变电容器时,可选择每个可变电容器的电容范围以及第一电感器132A和第二电感器132B的电感来提供阻抗范围。此范围可取决于等离子体的频率和电压特性,其可具有在每个可变电容器的电容范围中的最小值。因此,当第一电子控制器134的电容为最小值或最大值时,第一调谐电路128的阻抗可能很高,从而造成等离子体形状在基板支撑件上方具有最小的空中或横向覆盖。当第一电子控制器134的电容接近使第一调谐电路128的阻抗最小化的值时,等离子体的空中覆盖可增长至最大值,从而有效地覆盖基板支撑件104的整个工作区域。随着第一电子控制器134的电容偏离最小值阻抗设定,等离子体形状可从腔室壁收缩并且基板支撑件的空中覆盖可能下降。第二电子控制器140可具有类似的效果,随着第二电子控制器140的电容可改变而增加和减少在基板支撑件之上的等离子体的空中覆盖。
电子传感器130、138可用于以闭回路来调谐个别电路128、136。取决于使用的传感器的类型,可将电流或电压的设定点安装在每个传感器中,并且传感器可提供有控制软件,控制软件确定对每个个别电子控制器134、140的调整,以使与设定点的偏离最小化。因此,可在处理期间选择等离子体形状并且动态地控制等离子体形状。应理解,尽管前面论述是基于可为可变电容器的电子控制器134、140,但任何具有可调特性的电子部件皆可用来对调谐电路128和136提供可调阻抗。
图2示出根据本技术的一些实施例的处理方法200中的示例性操作。所述方法可在包括上述处理腔室100的各种处理腔室中执行。方法200可包括多个任选的操作,其可或可不与根据本技术的方法的一些实施例特定相关。例如,描述许多操作以便提供更大范围的结构形成,但这些操作对技术不是关键的,或可通过将容易理解的替代方法来执行。
方法200可包括处理方法,所述处理方法可包括用于准备用于处理的腔室的许多操作以及形成硬掩模膜或其他沉积操作。方法可包括在方法200起始之前的任选的操作,或方法可包括另外的操作。例如,方法200可包括以与所示的顺序不同的顺序执行的操作。如将说明的,在一些实施例中,在任选的操作205,可执行多次重复并且可在先前的腔室清洁之后执行方法。示例性清洁操作可利用等离子体增强的含卤素或其他蚀刻剂前驱物。尽管有利于移除材料,但卤素材料可如上所论述与铝腔室部件相互作用,并且在一个非限制性实例中,利用氟前驱物可能导致氟化铝在腔室的处理区域周围形成和/或重新沉积。
在一些实施例中,方法200可包括在任选的操作210的等离子体处理,所述等离子体处理可包括等离子体增强前驱物,等离子体增强前驱物可从远端等离子体单元发送或在处理区域中原位发展。前驱物可包括含氢前驱物、含氧前驱物或任何其他前驱物,其可用于或促进氟化铝转换回铝或氧化铝。作为一个非限制性实例,一氧化二氮或一些其他含氧前驱物(诸如氧气)可输送至处理区域,并且可产生等离子体。形成的含氧等离子体流出物可将氟化铝转换成氧化铝,氧化铝可更稳定地保留在腔室表面上。另外,前驱物可从腔室移除氟化铝材料。
然后可在任选的操作215在腔室内发展调整或处理膜。例如,可在处理腔室的表面上方形成氧化物材料(诸如氧化硅),诸如在处理区域内的区域,包括先前描述的处理空间120的暴露表面。所述区域可为内部等离子体区域,其可至少部分地由多个腔室部件来界定。例如,腔室侧壁、喷头或面板以及基座皆可被包括在处理区域中或至少部分地界定处理区域的方面。可在处理区域内形成等离子体,并且可引入用于沉积氧化硅的前驱物。可在腔室表面之上形成第一厚度的氧化硅的膜,这可确保表面的完全涂布。
在形成氧化硅表面之后,方法200可包括形成氮化物材料,诸如氮化硅。可在氧化硅之上形成第二厚度的氮化硅。处理腔室内的部件可为铝或可包括铝。氮化硅可能不容易沉积或粘着在铝表面上。然而,氮化硅可提供可在可能发生某些膜沉积的处理条件下抵抗蚀刻的膜。例如,如以下将进一步描述,可产生钨和碳膜,并且含钨前驱物可包括卤化的钨前驱物。原位等离子体可使前驱物解离形成卤素流出物,卤素流出物可与未保护的铝反应。氮化硅可捕捉氟,并且可为钨和碳膜提供更好的粘着表面,这可减少基板上的掉落颗粒。氧化硅可更好地粘着至铝,但可能无法为钨和碳膜提供足够的粘着,这可能引入另外的掉落颗粒至基板。
因为第一层与第二层提供不同的益处,所以氧化硅的第一层的厚度可与氮化硅的第二层的厚度不同。例如,在一些实施例中,氧化硅的角色可为跨腔室表面提供完整的涂布。因此,可限制第一厚度以充分涂布腔室表面。因此,氧化物的第一层的特征可在于小于或约50nm的第一厚度,并且特征可在于小于或约40nm、小于或约30nm、小于或约20nm、小于或约10nm、小于或约9nm、小于或约8nm、小于或约7nm、小于或约6nm、小于或约5nm、小于或约4nm或更小的第一厚度。第一层的特征可在于大于或约1nm或更大的厚度,这可确保内部表面的完全覆盖。若在覆盖层中形成间隙,则氮化硅可能不容易粘着,并且可能发生铝暴露。
在一些实施例中,氮化硅的角色可为在等离子体处理期间提供抗性涂层。因此,在一些实施例中,第二厚度可大于第一厚度,这可提供与等离子体流出物的改善的抵抗、吸气和保护。例如,因此氮化物的第二层的特征可在于大于或约50nm的第二厚度,并且特征可在于大于或约60nm、大于或约70nm、大于或约80nm、大于或约90nm、大于或约100nm、大于或约120nm、大于或约140nm、大于或约160nm、大于或约180nm、大于或约200nm或更大的厚度。
在形成涂层或调整层之后,可在任选的操作220将基板放置在处理区域内。例如,在方法期间可由氧化物和氮化物涂布基板支撑件,并且可将基板放置在覆盖基板支撑件上的材料的第一层和第二层上。例如,基板可位于基板支撑件上方形成的氮化硅材料上。示例性基板可包括许多种材料,包括硅、硅锗,以及许多种先前形成的膜,包括氧化物、氮化物或可在半导体基板上形成的任何其他材料。
在一些实施例中,基板可为或可包括硅,尽管可使用另外的材料。在操作225,可处理基板的表面以促进起始随后的沉积操作。基板表面的处理可由一或更多种前驱物来执行,并且可包括例如含氢前驱物、含氮前驱物和/或其他前驱物。等离子体可由前驱物形成,其可在基板的表面上产生氢末端,这可促进并入后续材料。氢自由基的相互作用可在表面处产生悬空键或其他配体末端,这可增加与前驱物的相互作用。在操作230,可将含钨前驱物输送至处理区域以接触基板。可输送含钨前驱物(诸如六氟化钨)以与基板的表面处的悬空键相互作用。这可在基板的表面处形成钨层,在一些实施例中,钨层的厚度可小于或约
Figure BDA0003700863200000101
在一些实施例中,含钨前驱物在形成期间可具有调节的输送。含钨前驱物可在用于处理的等离子体终止之后输送,且在一些实施例中可输送作为未激发的前驱物。另外,在一些实施例中,可在处理操作期间或至少部分地在处理操作期间输送含钨前驱物。例如,可在处理操作期间提供含钨前驱物的初始流速,且可缓慢地上升一段时间,然后熄灭等离子体。通过在等离子体处理期间缓慢地增加流速,可减少氟自由基的产生,这在一些实施例中可限制氟并入起始层中。
在操作235,可对起始层执行后续处理操作。处理可包括与在操作225执行的处理类似或不同的材料。例如,可将含氢前驱物和/或含氮前驱物输送至基板处理区域,并且可从前驱物产生等离子体。等离子体流出物可能接触和/或轰击含钨起始层。这种相互作用可将氮并入钨材料内,并且还可从另外的轰击而产生氮空位。产生的钨和氮层可用作后续沉积的阻障层,且可提供成核位置以进一步沉积。
在一些实施例中,起始层形成可与处理一起重复任何数量的循环。例如,含钨前驱物可再次流至处理区域以进一步建立钨和氮层。另外,通过重复方法,氟和/或钨可并入结构内的空位中。这可限制随后在本体膜形成期间输送的氟的随后扩散。在建立起始层的一个或多个循环之后,方法200可形成钨和碳膜的本体层。
在操作240,等离子体可由含钨前驱物和含氢前驱物形成。含钨前驱物可为或可包括六氟化钨,或任何其他含钨材料,并且含碳前驱物可包括许多种含碳前驱物。例如,含碳前驱物可为或可包括任何烷烃、烯烃或任何其他含碳材料。前驱物可包括含碳和氢的前驱物,其可包括任何量的碳和氢键。在一些实施例中,含碳前驱物可由碳-碳和碳-氢键结组成。
如先前注意到,可在有限地引入氢的情况下形成本体膜或掩模以促进膜的晶粒尺寸减小。尽管含碳前驱物可包括氢,但在一些实施例中,可不提供另外的氢源。例如,双原子氢可从所输送的前驱物排除。尽管惰性前驱物或载气可与含钨前驱物和含碳前驱物一起提供,但在一些实施例中没有其他化学反应前驱物可与前驱物一起输送。通过将等离子体中提供的氢限制为含碳前驱物中包括的氢,与另外提供氢气的情况相比,用以形成等离子体所输送的氢的原子比可更低。例如,在实施例中,所输送的前驱物中的氢与钨的原子比可小于或约8:1,并且可小于或约7:1、小于或约6:1、小于或约5:1、小于或约4:1、小于或约3:1、小于或约2:1或更小。在一个非限制性实例中,含碳前驱物可为丙烯,并且对于用以形成等离子体所输送的前驱物,氢与钨的比可为6:1。在操作245,等离子体流出物可沉积在起始层上,诸如覆盖基板,并且形成硬掩模膜,硬掩模膜可为或可包括含碳或含钨的硬掩模膜。
将另外的氢并入所输送的产生等离子体的前驱物可能影响等离子体的性质。例如,氢经常可为输送的多数前驱物,其可在等离子体内产生大量的氢自由基。尽管等离子体可使含钨前驱物至少部分地解离,但氢自由基还可增加与钨材料的化学相互作用,诸如从前驱物以化学方式移除氟。然而,由于阻挡成核位置并且移除被沉积的材料,氢量还可能降低沉积速率。
为了通过移除氢输送改善所减少的化学相互作用,本技术的一些实施例可增加所输送的含钨前驱物的量。例如,在不提供氢材料的情况下维持钨输送量可减少钨并入于所产生的膜中,因为减少的钨自由基和流出物量可于基板表面处沉积或相互作用。为了增加在表面处并入的钨量并且维持膜内的钨百分比,可将钨流速维持在大于或约100sccm,并且可维持在大于或约150sccm、大于或约200sccm、大于或约250sccm、大于或约300sccm或更高。另外,在一些实施例中,尽管含碳前驱物的原子流速可更高,但在某些实施例中,含钨前驱物流速可维持高于含碳前驱物的流速。例如,对于示例性前驱物六氟化钨和丙烯,含钨前驱物与含碳前驱物的体积流速比可大于或约1:1,并且可大于或约1.05:1、大于或约1.10:1、大于或约1.15:1、大于或约1.20:1、大于或约1.25:1、大于或约1.30:1、大于或约1.35:1、大于或约1.40:1或更高。
这可使产生的膜中的钨百分比维持大于或约10%,并且可使产生的膜中的钨百分比维持大于或约12%、大于或约13%、大于或约14%、大于或约15%、大于或约16%、大于或约17%、大于或约18%、大于或约19%、大于或约20%、大于或约21%、大于或约22%、大于或约23%、大于或约24%、大于或约25%、大于或约26%、大于或约27%、大于或约28%、大于或约29%或更高。另外,通过移除双原子氢的输送,可增加本体膜的沉积速率。例如,与利用双原子氢与沉积前驱物形成的膜相比,本技术可提供大于或约1.2倍快的沉积速率,并且可提供大于或约1.4倍快、大于或约1.6倍快、大于或1.8倍快、大于或2.0倍快、大于或约2.2倍快、大于或约2.4倍快、大于或约2.6倍快、大于或约2.8倍快或更高的沉积速率。
减少氢的并入可在基板处提供用于钨并入的另外的成核位置。通过增加成核位置的数量,可减少每个位置的一般面积,这可减小用于膜的晶粒生长的面积。通过减少或移除等离子体前驱物内的氢含量,所形成的膜的平均晶粒尺寸可小于或约2.5nm,并且在一些实施例中可小于或约2.4nm、小于或约2.3nm、小于或约2.2nm、小于或约2.1nm、小于或约2.0nm、小于或约1.9nm、小于或约1.8nm、小于或约1.7nm、小于或约1.6nm、小于或约1.5nm、小于或约1.4nm、小于或约1.3nm、小于或约1.2nm或更小。这些较小的晶粒尺寸可在形成高深宽比特征或特征在于减小的临界尺寸的特征期间增加临界尺寸均匀性。
在完成沉积工艺之后,诸如通过将本体膜形成为期望的厚度,可在任选的操作250从处理区域移除基板。残留碳材料或来自工艺的钨和碳材料可已收集在氮化硅调整的表面,或在暴露的腔室表面上。在其他工艺中,可将替代的前驱物设置在由氮化硅保护的表面上。因此,在一些实施例中,可在任选的操作255执行腔室清洁,所述腔室清洁可与先前描述的在操作205执行的清洁类似或相同。例如,在任选的操作255执行腔室清洁,可在一些实施例中针对另外的基板重新开始方法200。腔室清洁可利用含卤素前驱物,其可在处理区域内被等离子体增强以促进移除残留材料。在一些实施例中,清洁工艺可移除残留钨和碳材料的一些或所有方面,且可移除调整材料的每一层的一些或全部。
然后可针对随后的基板重复工艺。在一些实施例中,可不重复完整的方法。例如,在一些处理操作中,氮化硅材料可能没有在整个处理区域上被完全移除,且因此氧化硅材料可维持完整。因此,在一些实施例中,方法可包括形成或重整或修复氮化硅膜,诸如通过在工艺的一些中间操作处开始方法200。在一些实施例中,方法可以以任何所述的操作起始。通过从膜的本体沉积移除双原子氢和其他氢源,可提供优于常规技术的改进的晶粒结构,同时维持或改进光学性质或其他膜性质。为了适应所产生的膜的氟扩散和其他方面,可在本体膜与下方的基板的界面处并入起始层或阻障层。
在前面的描述中,为了解释的目的,已记载了众多细节以便提供对本技术的各种实施例的理解。然而,对于本领域技术人员将为显而易见的是,可在没有这些细节中的一些细节或具有另外的细节的情况下实践某些实施例。
已公开了几个实施例,本领域技术人员将认识,在不脱离实施例的精神的情况下,可使用各种修改、替代构造和均等物。另外,为了避免不必要地使本技术模糊,并未描述许多已知的工艺和元件。因此,以上描述不应视为限制技术的范围。
当提供值的范围时,应理解,除非上下文另外清楚地指出,否则还具体揭示了在所述范围的上限与下限之间的每个中间值至下限的单位的最小分数。涵盖了所述范围中的任何所述值或未陈述中间值与所述所述范围中的任何其他所述或中间值之间的任何较窄范围。这些较小范围的上限和下限可独立地被包括在所述范围中,或排除在所述范围之外,并且每个范围(其中任一个、皆无或两者界限被包括在较小的范围内)也被涵盖在技术内,遵守所述范围中任何具体排除的界限。当所述范围包括界限中的一者或两者时,则还包括排除那些所包括的界线中的任一者或两者的范围。
如本文和所附权利要求书所使用,除非上下文另外清楚地指出,否则单数形式“一”、“一个”和“所述”包括复数参照。因此,例如,对“前驱物”的参照包括多个这样的前驱物,而对“所述层”的参照包括对一层或多层和本领域技术人员已知的所述层的均等物的参照等等。
此外,当在本说明书和所附权利要求书中使用时,字词“包括(comprise(s))”、“包括(comprising)”、“含有(contain(s))”、“含有(containing)”、“包括(include(s))”和“包括(including)”欲指明所述的特征、整数、部件或操作的存在,但它们不排除一个或多个其他特征、整数、部件、操作、动作或群组的存在或添加。

Claims (20)

1.一种半导体处理方法,包括:
用含氢前驱物处理基板的表面,其中所述基板设置在半导体处理腔室的处理区域内;
使所述基板与含钨前驱物接触;
在所述基板上形成包括钨的起始层;
用含氢前驱物处理所述起始层;
形成所述含钨前驱物和含碳前驱物的等离子体,其中所述等离子体中的氢限于所述含碳前驱物中包括的氢;以及
在所述起始层上形成含钨硬掩模层。
2.如权利要求1所述的半导体处理方法,其中处理所述基板的所述表面的步骤包括:
形成所述含氢前驱物的等离子体,以及
使所述基板的所述表面与所述等离子体的流出物接触以产生氢末端。
3.如权利要求1所述的半导体处理方法,其中当执行处理所述基板的所述表面时,输送所述含钨前驱物以进行所述接触。
4.如权利要求3所述的半导体处理方法,其中在输送所述含钨前驱物时,所述含钨前驱物的流速增加。
5.如权利要求1所述的半导体处理方法,其中处理所述起始层包括:
形成所述含氢前驱物的等离子体,以及
使所述起始层与所述等离子体的流出物接触。
6.如权利要求5所述的半导体处理方法,其中所述等离子体进一步包括含氮前驱物。
7.如权利要求6所述的半导体处理方法,其中所述含氢前驱物包括双原子氢,并且其中所述含氮前驱物包括双原子氮。
8.如权利要求1所述的半导体处理方法,其中所述含钨前驱物包括六氟化钨,并且其中所述含碳前驱物包括丙烯。
9.如权利要求8所述的半导体处理方法,其中当形成所述含钨前驱物和所述含碳前驱物的所述等离子体时,从所述半导体处理腔室排除双原子氢。
10.如权利要求1所述的半导体处理方法,进一步包括:在所述半导体处理腔室的所述处理区域的暴露表面上形成包括含硅材料的调整层。
11.一种半导体处理方法,包括:
在半导体处理腔室的处理区域的暴露表面上形成调整层;
在基板上形成包括钨的起始层,其中所述基板设置在所述半导体处理腔室的所述处理区域内;
形成含钨前驱物和含碳前驱物的等离子体,其中用以形成所述等离子体所输送的前驱物中的氢与用以形成所述等离子体所输送的前驱物中的钨的原子比小于8:1;以及
在所述起始层上形成含钨硬掩模层。
12.如权利要求11所述的半导体处理方法,其中所述调整层包括氧化硅和氮化硅。
13.如权利要求11所述的半导体处理方法,其中形成所述起始层包括:
形成含氢前驱物的等离子体,
使所述基板的表面与所述等离子体的流出物接触以产生氢末端,以及
使含钨前驱物流入所述处理区域中以接触所述基板的所述表面。
14.如权利要求13所述的半导体处理方法,其中所述含钨前驱物当流动以接触所述基板的所述表面时未被激发。
15.如权利要求11所述的半导体处理方法,进一步包括:
形成含氢前驱物的等离子体,
使所述起始层与所述等离子体的流出物接触。
16.如权利要求11所述的半导体处理方法,其中所述含钨前驱物包括六氟化钨,并且其中所述含碳前驱物包括丙烯。
17.如权利要求16所述的半导体处理方法,其中当形成所述含钨前驱物和所述含碳前驱物的所述等离子体时,从所述半导体处理腔室排除双原子氢。
18.一种半导体处理方法,包括:
在半导体处理腔室的处理区域的暴露表面上形成调整层;
用含氢前驱物处理基板的表面,其中所述基板设置在所述半导体处理腔室的所述处理区域内;
使所述基板与含钨前驱物接触;
在所述基板上形成包括钨的起始层;
用含氢前驱物处理所述起始层;
形成所述含钨前驱物和含碳前驱物的等离子体,其中所述等离子体中的氢限于所述含碳前驱物中包括的氢;以及
在所述起始层上形成含钨硬掩模层。
19.如权利要求18所述的半导体处理方法,进一步包括:
从所述半导体处理腔室移除所述基板;以及
在所述半导体处理腔室的所述处理区域中执行腔室清洁工艺。
20.如权利要求19所述的半导体处理方法,进一步包括:在所述半导体处理腔室的所述处理区域内形成含氧前驱物的等离子体。
CN202080088499.XA 2019-11-12 2020-11-11 减少氢沉积工艺 Pending CN114830299A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962934289P 2019-11-12 2019-11-12
US62/934,289 2019-11-12
PCT/US2020/059947 WO2021096914A1 (en) 2019-11-12 2020-11-11 Reduced hydrogen deposition processes

Publications (1)

Publication Number Publication Date
CN114830299A true CN114830299A (zh) 2022-07-29

Family

ID=75847071

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080088499.XA Pending CN114830299A (zh) 2019-11-12 2020-11-11 减少氢沉积工艺

Country Status (6)

Country Link
US (1) US20210143010A1 (zh)
JP (1) JP2023501588A (zh)
KR (1) KR20220099116A (zh)
CN (1) CN114830299A (zh)
TW (1) TWI764369B (zh)
WO (1) WO2021096914A1 (zh)

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130048606A1 (en) * 2011-08-31 2013-02-28 Zhigang Mao Methods for in-situ chamber dry clean in photomask plasma etching processing chamber
US20140273451A1 (en) * 2013-03-13 2014-09-18 Applied Materials, Inc. Tungsten deposition sequence
US8951429B1 (en) * 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
CN107155367B (zh) * 2014-06-30 2021-12-21 恩特格里斯公司 利用钨及钴兼容性移除蚀刻后残余物的含水及半含水清洁剂
US9520295B2 (en) * 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
TWI716511B (zh) * 2015-12-19 2021-01-21 美商應用材料股份有限公司 用於鎢原子層沉積製程作為成核層之正形非晶矽
TWI720106B (zh) * 2016-01-16 2021-03-01 美商應用材料股份有限公司 Pecvd含鎢硬遮罩膜及製造方法
KR102441431B1 (ko) * 2016-06-06 2022-09-06 어플라이드 머티어리얼스, 인코포레이티드 표면을 갖는 기판을 프로세싱 챔버에 포지셔닝하는 단계를 포함하는 프로세싱 방법
US10312137B2 (en) * 2016-06-07 2019-06-04 Applied Materials, Inc. Hardmask layer for 3D NAND staircase structure in semiconductor applications
TWI680496B (zh) * 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積
US11062897B2 (en) * 2017-06-09 2021-07-13 Lam Research Corporation Metal doped carbon based hard mask removal in semiconductor fabrication
CN111919284A (zh) * 2018-03-01 2020-11-10 应用材料公司 在器件制造中形成金属硬掩模的系统和方法
US20220365434A1 (en) * 2019-10-02 2022-11-17 Lam Research Corporation Substrate surface modification with high euv absorbers for high performance euv photoresists
US11573452B2 (en) * 2020-11-20 2023-02-07 Applied Materials, Inc. Method for LCoS DBR multilayer stack protection via sacrificial hardmask for RIE and CMP processes

Also Published As

Publication number Publication date
JP2023501588A (ja) 2023-01-18
TWI764369B (zh) 2022-05-11
TW202133218A (zh) 2021-09-01
WO2021096914A1 (en) 2021-05-20
KR20220099116A (ko) 2022-07-12
US20210143010A1 (en) 2021-05-13

Similar Documents

Publication Publication Date Title
US10566206B2 (en) Systems and methods for anisotropic material breakthrough
US11495469B2 (en) Method for processing substrates
US11939674B2 (en) Methods to reduce material surface roughness
US11699577B2 (en) Treatment for high-temperature cleans
TWI764369B (zh) 減少氫沉積製程
CN117321242A (zh) 无氦硅形成
US11894228B2 (en) Treatments for controlling deposition defects
US20220384189A1 (en) Boron nitride for mask patterning
TWI837677B (zh) 用於高溫清潔的處理
TWI794691B (zh) 高硼含量硬遮罩材料及其形成方法
US20220020599A1 (en) Integration processes utilizing boron-doped silicon materials
TWI819398B (zh) 拉伸的氮化物沉積系統及方法
US20220341034A1 (en) Metal-doped boron films
US20220293416A1 (en) Systems and methods for improved carbon adhesion
CN114867890A (zh) 用于等离子体沉积的初始调制
KR20240011785A (ko) 금속 도핑된 탄소 하드마스크들
CN117999640A (zh) 高深宽比间隙填充内的缝隙移除

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination