CN105492576A - 选择性蚀刻氮化钛的组合物和方法 - Google Patents

选择性蚀刻氮化钛的组合物和方法 Download PDF

Info

Publication number
CN105492576A
CN105492576A CN201480047887.8A CN201480047887A CN105492576A CN 105492576 A CN105492576 A CN 105492576A CN 201480047887 A CN201480047887 A CN 201480047887A CN 105492576 A CN105492576 A CN 105492576A
Authority
CN
China
Prior art keywords
ammonium
acid
ether
composition
glycol
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201480047887.8A
Other languages
English (en)
Other versions
CN105492576B (zh
Inventor
埃马纽尔·I·库珀
陈丽敏
斯蒂芬·里皮
许家荣
涂胜宏
王界入
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Taiwan
Entegris Inc
Original Assignee
ATMI Taiwan Co Ltd
Advanced Technology Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ATMI Taiwan Co Ltd, Advanced Technology Materials Inc filed Critical ATMI Taiwan Co Ltd
Publication of CN105492576A publication Critical patent/CN105492576A/zh
Application granted granted Critical
Publication of CN105492576B publication Critical patent/CN105492576B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/10Etching, surface-brightening or pickling compositions containing an inorganic acid containing a boron compound
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/06Etching, surface-brightening or pickling compositions containing an inorganic acid with organic material
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

本发明提供了可用于从其上具有氮化钛和/或光致抗蚀剂蚀刻残留物材料的微电子器件上相对于绝缘材料而选择性除去所述氮化钛和/或光致抗蚀剂蚀刻残留物材料的组合物。所述清除组合物含有至少一种氧化剂、一种蚀刻剂和一种活化剂以提高氮化钛的蚀刻速率。

Description

选择性蚀刻氮化钛的组合物和方法
技术领域
本发明涉及在绝缘材料(即低k电介质)存在下选择性蚀刻氮化钛和/或光致抗蚀剂蚀刻残留物的组合物和方法,更具体地涉及在蚀刻速率和选择性高于裸露的或底层的低k电介质材料的蚀刻速率和选择性下有效地和有效率地蚀刻氮化钛和/或光致抗蚀剂蚀刻残留物的组合物和方法。
背景技术
光致抗蚀剂掩模普遍用于半导体工业中以将例如半导体或电介质的材料形成图案。在一种应用中,光致抗蚀剂掩模用于双镶嵌工艺中以在微电子器件的后端金属化中形成互连。所述双镶嵌工艺包括在覆盖金属传导体层例如铜层的低k电介质层上形成光致抗蚀剂掩模。然后按照所述光致抗蚀剂掩模蚀刻所述低k电介质层以形成暴露所述金属传导体层的过孔和/或沟道。所述过孔和沟道,通称为双镶嵌结构,通常利用两个光刻步骤划定。然后从所述低k电介质层上除去所述光致抗蚀剂掩模,之后将导电材料沉积到所述过孔和/或沟道中以形成互连。
随着微电子器件的尺寸减小,要达到过孔和沟道的临界尺寸变得更加困难。因此,利用金属硬掩模来提供更好的过孔和沟道剖面控制。所述金属硬掩模可由钛或氮化钛制成,并在形成双镶嵌结构的过孔和/或沟道后通过湿蚀刻过程除去。必要的是,所述湿蚀刻过程使用有效除去所述金属硬掩模和/或光致抗蚀剂蚀刻残留物而不影响底层的低k电介质材料的清除化学物质。换句话说,要求所述清除化学物质对于所述金属硬掩模,相对于所述低k电介质层而言,是高度选择性的。
因此,本发明的目的是提供改良的组合物,其相对于存在的低k电介质层而选择性除去硬掩模材料,同时不损害所述硬掩模的蚀刻速率。
发明内容
本发明涉及相对于存在的低k电介质层而选择性蚀刻硬掩模层和/或光致抗蚀剂蚀刻残留物的组合物和方法。更具体地,本发明涉及相对于低k电介质层而选择性蚀刻氮化钛和/或光致抗蚀剂蚀刻残留物的组合物和方法。
在一个方面,描述了从其上具有氮化钛和/或光致抗蚀剂蚀刻残留物材料的微电子器件的表面上选择性去除所述氮化钛和/或光致抗蚀剂蚀刻残留物材料的组合物,所述组合物包含至少一种氧化剂、至少一种活化剂和至少一种溶剂。
在另一个方面,描述了从其上具有氮化钛和/或光致抗蚀剂蚀刻残留物材料的表面上选择性去除所述氮化钛和/或光致抗蚀剂蚀刻残留物材料的组合物,所述组合物包含至少一种氧化剂、至少一种活化剂和至少一种溶剂,其中所述至少一种活化剂包含选自如下的物质:乙酸、乙酸铵、乙酸钠、乙酸钾、四甲基乙酸铵和其他四烷基乙酸铵、乙酸鏻、丁酸铵、三氟乙酸铵、氨基酸、磷酸、磷酸氢二铵、磷酸二氢铵、磷酸氢双(四甲基铵)、磷酸氢二钠、磷酸二氢钠、磷酸氢二钾、磷酸二氢钾、磷酸氢二四烷基铵、磷酸二氢二四烷基铵、磷酸氢二鏻、磷酸二氢鏻、膦酸铵、膦酸四烷基铵、膦酸钠、膦酸钾、膦酸鏻及其组合。
在又一个方面,描述了从其上具有氮化钛材料的微电子器件表面上蚀刻氮化钛材料的方法,所述方法包括用包含至少一种氧化剂、至少一种活化剂和至少一种溶剂的组合物接触所述表面,其中所述组合物从所述表面上相对于绝缘材料选择性地除去所述氮化钛材料。
在又一个方面,描述了从其上具有氮化钛材料的微电子器件表面上蚀刻氮化钛材料的方法,所述方法包括用包含至少一种氧化剂、至少一种活化剂和至少一种溶剂的组合物接触所述表面,其中所述组合物从所述表面上相对于绝缘材料而选择性地除去所述氮化钛材料,并且其中所述至少一种活化剂包含选自如下的物质:乙酸、乙酸铵、乙酸钠、乙酸钾、四甲基乙酸铵和其他四烷基乙酸铵、乙酸鏻、丁酸铵、三氟乙酸铵、氨基酸、磷酸、磷酸氢二铵、磷酸二氢铵、磷酸氢双(四甲基铵)、磷酸氢二钠、磷酸二氢钠、磷酸氢二钾、磷酸二氢钾、磷酸氢二四烷基铵、磷酸二氢二四烷基铵、磷酸氢二鏻、磷酸二氢鏻、膦酸铵、膦酸四烷基铵、膦酸钠、膦酸钾、膦酸鏻及其组合。
本发明的其它方面、特征和实施方式将从接下来的公开内容和所附的权利要求书中更充分地明了。
具体实施方式
总的来说,本发明涉及相对于存在的低k电介质层而选择性蚀刻硬掩模层和/或光致抗蚀剂蚀刻残留物的组合物和方法。更具体地说,本发明涉及相对于低k电介质层而选择性蚀刻氮化钛和/或光致抗蚀剂蚀刻残留物的组合物和方法。可以存在于微电子器件上的其他材料不应该被所述组合物明显去除或腐蚀。
为了易于参考,“微电子器件”对应于半导体衬底、平板显示器、相变存储器件、太阳能板和供用于微电子、集成电路、能量收集或计算机芯片应用而制造的其他产品包括太阳能电池器件、光伏器件和微型机电系统(MEMS)。要理解,术语“微电子器件”、“微电子衬底”和“微电子器件结构”不以任何方式意味着限制并且包括最终将变成微电子器件或微电子组件的任何衬底或结构。所述微电子器件可以是被图案化的、覆盖的、控制和/或试验器件。
“硬掩模覆盖层”在本文中使用时对应于沉积在电介质材料之上以在等离子体蚀刻步骤期间保护所述电介质材料的材料。硬掩模覆盖层传统上是氮化硅、氮氧化硅、氮化钛、氧氮化钛、钛和其他类似的化合物。
在本文中使用时,“氮化钛”和“TiNx”对应于纯氮化钛以及包括不定的化学计量和氧含量的不纯氮化钛(TiOxNy)。
在本文中使用时,“约”意欲对应于所指定值的±5%。
如本文中定义,“低k电介质材料”对应于在分层微电子器件中用作电介质材料的任何材料,其中所述材料具有小于约3.5的介电常数。优选地,所述低k电介质材料包括低极性材料,例如含硅有机聚合物、含硅杂化有机/无机材料、有机硅酸盐玻璃(OSG)、TEOS、氟化硅酸盐玻璃(FSG)、二氧化硅、和碳掺杂的氧化物(CDO)玻璃。要领会所述低k电介质材料可以具有变化的密度和变化的孔隙率。
如本文中定义,“胺”物质包括至少一种伯、仲和叔胺,条件是(i)包含羧酸基团和胺基团二者的物质(例如氨基酸),(ii)包含胺基团的表面活性剂,(iii)其中所述胺基团是取代基(例如,与芳基或杂环部分相连)的物质,和(iv)胺-N-氧化物不被认为是依据这种定义的“胺”。所述胺的化学式可以由NR1R2R3表示,其中R1、R2和R3可以是彼此相同或不同的,并选自氢、直链或支链C1-C6烷基(例如,甲基、乙基、丙基、丁基、戊基、己基)、C6-C10芳基(例如苄基)、直链或支链C1-C6烷醇(例如,甲醇、乙醇、丙醇、丁醇、戊醇、己醇)、及其组合,条件是R1、R2和R3不能全部是氢。
如本文中定义,“光致抗蚀剂蚀刻残留物”对应于包含光致抗蚀剂材料的任何残留物,或是在蚀刻或灰化步骤之后作为光致抗蚀剂的副产物的材料,这是本领域技术人员容易理解的。
“基本上没有”在本文中定义为小于2重量%,优选小于1重量%,更优选小于0.5重量%,更加优选小于0.1重量%,并最优选0重量%。
在本文中使用时,“氟化物”物质对应于包含离子型氟化物(F-)的物质。要领会,所述氟化物物质可以作为氟化物物质包含在内或当场产生。
在本文中使用时,“氯化物”物质对应于包含离子型氯化物(Cl-)的物质,条件是包含氯阴离子的表面活性剂不被认为是依据这种定义的“氯化物”。
如本文中定义,强碱是至少一个pKa大于11的任何碱,而弱碱是至少一个pKa小于11的任何碱。
本发明的组合物可以体现为多种多样的具体制剂,如在下文中更充分地描述。
在其中所述组合物的具体组分参考包括下限为零的重量百分比范围来论述所有这样的组合物中,应理解这样的组分在所述组合物的各种具体实施方式中可以存在或不存在,并且在存在这样的组分的情况下,它们存在的浓度,基于使用这样的组分的组合物的总重量,可以低到0.001重量%。
本发明的实施方式包括用于去除硬掩模和/或光致抗蚀剂蚀刻残留物的化学物质。在一种实施方式中,所述清除组合物是去除电介质层上的金属硬掩模和/或光致抗蚀剂蚀刻残留物并且对所述电介质层高度选择性的湿蚀刻溶液。在更具体的实施方式中,所述清除组合物是去除氮化钛层和/或光致抗蚀剂蚀刻残留物的湿蚀刻溶液,其对低k电介质材料是高度选择性的。
在第一个方面,描述了从其上具有氮化钛和/或光致抗蚀剂蚀刻残留物材料的微电子器件的表面上选择性去除所述氮化钛和/或光致抗蚀剂蚀刻残留物材料的组合物,所述组合物包含至少一种氧化剂、至少一种活化剂,其中所述活化剂增加TiN蚀刻速率。在一种实施方式中,从其上具有氮化钛和/或光致抗蚀剂蚀刻残留物材料的微电子器件的表面上去除所述氮化钛和/或光致抗蚀剂蚀刻残留物材料的组合物包含至少一种氧化剂、至少一种活化剂和至少一种溶剂,由其组成,或基本由其组成。在另一种实施方式中,从其上具有氮化钛和/或光致抗蚀剂蚀刻残留物材料的微电子器件的表面上去除所述氮化钛和/或光致抗蚀剂蚀刻残留物材料的组合物包含至少一种氧化剂、至少一种活化剂、至少一种氧化剂稳定剂和至少一种溶剂,由其组成,或基本由其组成。在又一种实施方式中,从其上具有氮化钛和/或光致抗蚀剂蚀刻残留物材料的微电子器件的表面上去除所述氮化钛和/或光致抗蚀剂蚀刻残留物材料的组合物包含至少一种氧化剂、至少一种活化剂、至少一种氧化剂稳定剂、至少一种电介质钝化剂和至少一种溶剂,由其组成,或基本由其组成。在另一种实施方式中,从其上具有氮化钛和/或光致抗蚀剂蚀刻残留物材料的微电子器件的表面上去除所述氮化钛和/或光致抗蚀剂蚀刻残留物材料的组合物包含至少一种氧化剂、至少一种活化剂、至少一种蚀刻剂和至少一种溶剂,由其组成,或基本由其组成。在再一种实施方式中,从其上具有氮化钛和/或光致抗蚀剂蚀刻残留物材料的微电子器件的表面上去除所述氮化钛和/或光致抗蚀剂蚀刻残留物材料的组合物包含至少一种氧化剂、至少一种活化剂、至少一种蚀刻剂、至少一种氧化剂稳定剂和至少一种溶剂,由其组成,或基本由其组成。在再一种实施方式中,从其上具有氮化钛和/或光致抗蚀剂蚀刻残留物材料的微电子器件的表面上去除所述氮化钛和/或光致抗蚀剂蚀刻残留物材料的组合物包含至少一种氧化剂、至少一种活化剂、至少一种蚀刻剂、至少一种氧化剂稳定剂、水和至少一种有机溶剂,由其组成,或基本由其组成。在又一种实施方式中,从其上具有氮化钛和/或光致抗蚀剂蚀刻残留物材料的微电子器件的表面上去除所述氮化钛和/或光致抗蚀剂蚀刻残留物材料的组合物包含至少一种氧化剂、至少一种活化剂、至少一种蚀刻剂、至少一种氧化剂稳定剂、至少一种电介质钝化剂和至少一种溶剂,由其组成,或基本由其组成。在第一个方面的各实施方式中,可以添加至少一种含硅化合物、至少一种表面活性剂、或其任何组合。这些组合物基本上没有研磨材料、氯化物源、金属卤化物、及其组合。取决于所使用的氧化剂的性质,这些组合物的pH值在约5至约13的范围内,优选约6至约10或约10至约13。
添加蚀刻剂是为了增加氮化钛的蚀刻速率。预期的蚀刻剂包括但不限于,HF,氟化铵,四氟硼酸,六氟硅酸,含有B-F或Si-F键的其他化合物,四丁基四氟硼酸铵(TBA-BF4),四烷基氟化铵(NR1R2R3R4F),强碱例如四烷基氢氧化铵(NR1R2R3R4OH),其中R1、R2、R3、R4可以彼此相同或不同并选自氢、直链或支链C1-C6烷基基团(例如甲基、乙基、丙基、丁基、戊基、己基)、C1-C6烷氧基基团(例如羟乙基、羟丙基)取代或未取代的芳基基团(例如苄基),弱碱,及其组合。优选地,所述氟化物源包含四氟硼酸、六氟硅酸、H2ZrF6、H2TiF6、HPF6、氟化铵、四甲基氟化铵、六氟硅酸铵、六氟钛酸铵、或氟化铵和四甲基氟化铵的组合。可选地,或除氟化物源外,所述蚀刻剂可以包含强碱例如四甲基氢氧化铵(TMAH)、四乙基氢氧化铵(TEAH)、四丙基氢氧化铵(TPAH)、四丁基氢氧化铵(TBAH)、苄基三甲基氢氧化铵(BTMAH)、氢氧化钾、氢氧化铵、苄基三乙基氢氧化铵(BTEAH)、四丁基氢氧化鏻(TBPH)、(2-羟乙基)三甲基氢氧化铵(氢氧化胆碱)、(2-羟乙基)三乙基氢氧化铵、(2-羟乙基)三丙基氢氧化铵、(1-羟丙基)三甲基氢氧化铵、乙基三甲基氢氧化铵、二乙基二甲基氢氧化铵(DEDMAH)、三乙基甲基氢氧化铵、正十六烷基三甲基氢氧化铵、1,1,3,3-四甲基胍(TMG)、碳酸胍、精氨酸、及其组合。预期的弱碱包括但不限于,氢氧化铵、单乙醇胺(MEA)、二乙醇胺(DEA)、三乙醇胺(TEA)、乙二胺、半胱氨酸、及其组合。最优选,所述蚀刻剂包含强碱例如TMAH、1,1,3,3-四甲基胍、(2-羟乙基)三甲基氢氧化铵、苄基三甲基氢氧化铵及其组合。
包含氧化剂是为了氧化TiNx中的Ti3+。在此预期的氧化剂包括但不限于,过氧化氢(H2O2)、FeCl3、FeF3、Fe(NO3)3、Sr(NO3)2、CoF3、MnF3、过硫酸氢钾制剂(2KHSO5·KHSO4·K2SO4)、高碘酸、碘酸、氧化钒(V)、氧化钒(IV,V)、钒酸铵、铵多元盐(例如,过氧单硫酸铵、亚氯酸铵(NH4ClO2)、氯酸铵(NH4ClO3)、碘酸铵(NH4IO3)、硝酸铵(NH4NO3)、过硼酸铵(NH4BO3)、硼酸铵((NH4)2B4O7)、五硼酸铵((NH4)B5O8)、或上述硼酸盐化合物与过氧化氢组合、高氯酸铵(NH4ClO4)、高碘酸铵(NH4IO4)、过硫酸铵((NH4)2S2O8)、次氯酸铵(NH4ClO))、钨酸铵((NH4)10H2(W2O7))、磷酸氢二铵((NH4)2HPO4)与过氧化氢组合、磷酸二氢铵((NH4)H2PO4)与过氧化氢组合、磷酸与过氧化氢组合、钠多元盐(例如过硫酸钠(Na2S2O8)、次氯酸钠(NaClO)、过硼酸钠)、钾多元盐(例如碘酸钾(KIO3)、高锰酸钾(KMnO4)、过硫酸钾、硝酸(HNO3)、过硫酸钾(K2S2O8)、次氯酸钾(KClO))、四甲基铵或四烷基铵多元盐(例如四甲基亚氯酸铵((N(CH3)4)ClO2)、四甲基氯酸铵((N(CH3)4)ClO3)、四甲基碘酸铵((N(CH3)4)IO3)、四甲基过硼酸铵((N(CH3)4)BO3)、四甲基高氯酸铵((N(CH3)4)ClO4)、四甲基高碘酸铵((N(CH3)4)IO4)、四甲基过硫酸铵((N(CH3)4)S2O8)、四丁基过氧一硫酸铵)、过氧一硫酸、硝酸铁(Fe(NO3)3)、过氧化氢脲((CO(NH2)2)H2O2)、过羧酸例如过甲酸(H(CO)OOH)、过乙酸(CH3(CO)OOH)、过丁酸(CH3CH2(CO)OOH)、过苯甲酸、过氧三氟乙酸(CF3(CO)OOH)、或者乙酸、甲酸、三氟乙酸、苯甲酸或它们的盐与过氧化氢组合、1,4-苯醌、甲苯醌、二甲基-1,4-苯醌、氯醌、阿脲、N-甲基吗啉N-氧化物、三甲胺N-氧化物,及其组合。当氧化剂是盐时,它可以是水合的或无水的。所述氧化剂可以在制造商处、在所述组合物引入到所述器件晶圆之前、或者在器件晶圆处、即原位引入到所述组合物中。优选地,用于第一方面的组合物的氧化剂包含过氧化氢。优选地,所述氧化剂包含过氧化氢、过氧化氢与强碱(例如TMAH、(2-羟乙基)三甲基氢氧化铵)、碘酸铵(NH4IO3)、高碘酸铵(NH4IO4)、磷酸氢二铵((NH4)2HPO4)、磷酸二氢铵((NH4)H2PO4)、或上述一种磷酸盐与过氧化氢组合、过乙酸(CH3(CO)OOH)、过氧三氟乙酸(CF3(CO)OOH)、过甲酸(H(CO)OOH)、过乙酸(CH3(CO)OOH)、过丁酸(CH3CH2(CO)OOH)、过氧三氟乙酸(CF3(CO)OOH)、或者乙酸、甲酸、三氟乙酸与过氧化氢组合。当所述氧化剂包含碘酸盐或高碘酸盐时,优选向所述清除组合物添加碘清除剂。虽然不希望受理论制约,但认为随着所述碘酸盐或高碘酸盐减少,碘蓄积,这增加了铜蚀刻速率。碘清除剂包括但不限于,酮,更优选具有羰基的α-氢的酮,例如4-甲基-2-戊酮、2,4-二甲基-3-戊酮、环己酮、5-甲基-3-庚酮、3-戊酮、5-羟基-2-戊酮、2,5-已二酮、4-羟基-4-甲基-2-戊酮、丙酮、丁酮、2-甲基-2-丁酮、3,3-二甲基-2-丁酮、4-羟基-2-丁酮、环戊酮、2-戊酮、3-戊酮、1-苯基乙酮、苯乙酮、苯甲酮、2-己酮、3-己酮、2-庚酮、3-庚酮、4-庚酮、2,6-二甲基-4-庚酮、2-辛酮、3-辛酮、4-辛酮、二环己酮、2,6-二甲基环己酮、2-乙酰基环己酮、2,4-戊二酮、薄荷酮、及其组合。优选地,所述碘清除剂包括4-甲基-2-戊酮、2,4-二甲基-3-戊酮或环己酮。
如上文介绍,所述组合物包括至少一种活化剂,其中所述活化剂被认为增加了TiN材料的蚀刻速率。活化剂包括但不限于,乙酸盐(例如乙酸、乙酸铵、乙酸钠、乙酸钾、四甲基乙酸铵和其他四烷基乙酸铵、乙酸鏻)、其它羧酸盐(例如丁酸铵、三氟乙酸铵、氨基酸)、磷酸盐(例如磷酸、磷酸氢二铵、磷酸二氢铵、磷酸氢双(四甲基铵)、磷酸氢二钠、磷酸二氢钠、磷酸氢二钾、磷酸二氢钾、磷酸氢二四烷基铵、磷酸二氢二四烷基铵、磷酸氢二鏻、磷酸二氢鏻)、膦酸铵、膦酸四烷基铵、膦酸钠、膦酸钾、膦酸鏻及其组合。优选地,所述活化剂包含至少一种磷酸盐例如磷酸氢二铵。
第一方面的组合物还可包含至少一种低k电介质钝化剂以减少所述低k电介质层的化学侵蚀和保护所述晶圆免于进一步氧化。优选的低k钝化剂包括但不限于,硼酸、硼酸盐(例如,五硼酸铵、四硼酸钠和硼酸铵)、3-羟基-2-萘甲酸、丙二酸、亚氨基二乙酸、铵阳离子例如烷基三甲基氯化或溴化铵和癸基三甲基氯化铵、肉毒碱、甜菜碱及其组合。当存在时,基于所述组合物的总重量,所述组合物包含约0.01重量%至约2重量%的电介质钝化剂。优选地,基于底层低k材料的总重量,使用本文中描述的组合物蚀刻/去除小于2重量%的所述底层电介质材料,更优选小于1重量%,最优选小于0.5重量%。
氧化剂稳定剂可添加于含水的组合物中,尤其当氧化剂在使用点之前的任何时间与其他组分结合时。氧化剂稳定剂包括但不限于,甘氨酸、丝氨酸、脯氨酸、亮氨酸、丙氨酸、天冬酰胺、天冬氨酸、谷氨酰胺、缬氨酸和赖氨酸、次氮基三乙酸、次氮基三(亚甲基膦)酸、亚氨基二乙酸、羟乙磷酸、乙二胺四乙酸(EDTA)、亚乙基二次氮基四(亚甲基膦)酸、(1,2-亚环己基二次氮基)四乙酸(CDTA)、尿酸、四乙二醇二甲醚、二亚乙基三胺五乙酸、二亚乙基三胺五(亚甲基膦)酸、丙二胺四乙酸、乙二胺二琥珀酸、磺胺、及其组合。优选地,所述氧化剂稳定剂包含EDTA、CDTA、磺胺或其组合。
所第一方面的组合物还可包含至少一种含硅化合物以降低所述蚀刻剂源的活性。在一种实施方式中,所述至少一种含硅化合物包含烷氧基硅烷。预期的烷氧基硅烷具有通式SiR1R2R3R4,其中R1、R2、R3和R4是彼此相同或不同的并选自直链C1-C6烷基(例如甲基、乙基、丙基、丁基、戊基、己基)、支链C1-C6烷基、C1-C6烷氧基(例如甲氧基、乙氧基、丙氧基、丁氧基、戊氧基、己氧基)、苯基及其组合。有经验的技术人员应该领会,为了表征为烷氧基硅烷,R1、R2、R3或R4的至少一个必须是C1-C6烷氧基。预期的烷氧基硅烷包括甲基三甲氧基硅烷、二甲基二甲氧基硅烷、苯基三甲氧基硅烷、四乙氧基硅烷(TEOS)、N-丙基三甲氧基硅烷、N-丙基三乙氧基硅烷、己基三甲氧基硅烷、己基三乙氧基硅烷、及其组合。可代替或附加于所述烷氧基硅烷使用的其他含硅化合物包括六氟硅酸铵、硅酸钠、四甲基硅酸铵(TMAS)、及其组合。优选的,所述含硅化合物包含TEOS、TMAS和硅酸钠。当存在时,基于所述组合物的总重量,所述含硅化合物的量在约0.001重量%至约2重量%的范围内。
为了确保润湿,尤其当pH低时,可向所述含水组合物添加表面活性剂,优选抗氧化的氟化阴离子型表面活性剂。在本发明的组合物中预期的阴离子型表面活性剂包括但不限于,氟表面活性剂例如UR和FS-62(DuPontCanadaInc.,Mississauga,加拿大安大略),和铵氟代烷基磺酸盐例如NovecTM4300(3M)。当使用的蚀刻剂包含氟化物时,预期使用可作为表面活性剂和蚀刻剂使用的长链四烷基氟化铵。
所述至少一种溶剂可包含水、至少一种水可混溶的有机溶剂或其组合,其中所述至少一种水可混溶的有机溶剂选自甲醇、乙醇、异丙醇、丁醇、戊醇、己醇、2-乙基-1-己醇、庚醇、辛醇、乙二醇、丙二醇、丁二醇、碳酸丁烯酯、碳酸乙烯酯、碳酸丙烯酯、二丙二醇、二乙二醇、二乙二醇单甲醚、三乙二醇单甲醚、二乙二醇单乙醚、三乙二醇单乙醚、乙二醇单丙醚、乙二醇单丁醚、二乙二醇单丁醚、三乙二醇单丁醚、乙二醇单已醚、二乙二醇单已醚、乙二醇苯醚、丙二醇甲醚、二丙二醇甲醚(DPGME)、三丙二醇甲醚(TPGME)、二丙二醇二甲醚、二丙二醇乙醚、丙二醇正丙醚、二丙二醇正丙醚(DPGPE)、三丙二醇正丙醚、丙二醇正丁醚、二丙二醇正丁醚、三丙二醇正丁醚、丙二醇苯醚、2,3-二氢十氟戊烷、乙基全氟丁醚、甲基全氟丁醚、二甲基亚砜(DMSO)、环丁砜、4-甲基-2-戊醇、及其组合。优选地,所述至少一种溶剂包含水,最优选去离子水。当存在时,优选所述至少一种有机溶剂包含选自二醇醚(例如二乙二醇单甲醚、二乙二醇单乙醚、二乙二醇单丁醚)、DMSO、环丁砜、及其组合的至少一种物质。
在另一种实施方式中,任何本发明的组合物还可以包含氮化钛和/或光致抗蚀剂蚀刻材料残留物,其中所述残留物悬浮和/或溶解在所述含水组合物中。
在所述第一方面的组合物的实施方式中,所述组合物包含至少一种氧化剂、至少一种蚀刻剂、至少一种活化剂、至少一种氧化剂稳定剂和至少一种溶剂,由其组成,或基本由其组成,所述组分基于所述组合物的总重量以下列范围存在:
优选地,所述氧化剂包含过氧化氢和所述蚀刻剂包含TMAH。
在所述第一方面的组合物的另一种实施方式中,所述组合物包含至少一种氧化剂、至少一种蚀刻剂、至少一种活化剂、至少一种氧化剂稳定剂、水、和至少一种有机溶剂,由其组成,或基本由其组成,所述组分基于所述组合物的总重量以下列范围存在:
优选地,所述氧化剂包含过氧化氢和所述蚀刻剂包含(2-羟乙基)三甲基氢氧化铵。
在所述第一方面的组合物的又一种实施方式中,所述组合物包含至少一种氧化剂、至少一种蚀刻剂、至少一种活化剂、至少一种氧化剂稳定剂、至少一种钝化剂、和至少一种溶剂,由其组成,或基本由其组成,所述组分基于所述组合物的总重量以下列范围存在:
优选地,所述氧化剂包含过氧化氢和所述蚀刻剂包含TMAH。
在特别优选的实施方式中,所述组合物包含强碱、活化剂、CDTA和水,由其组成,或基本由其组成。在另一种优选的实施方式中,所述组合物包含TMAH、活化剂、CDTA和水,由其组成,或基本由其组成。在又一种优选实施方式中,所述组合物包含(2-羟乙基)三甲基氢氧化铵、活化剂、CDTA、至少一种有机溶剂和水,由其组成,或基本由其组成。在再一种优选实施方式中,所述组合物包含TMAH、乙酸盐活化剂、CDTA和水,由其组成,或基本由其组成。在又一种优选实施方式中,所述组合物包含TMAH、乙酸盐活化剂、CDTA、硼酸铵和水,由其组成,或基本由其组成。在又一种优选实施方式中,所述组合物包含(2-羟乙基)三甲基氢氧化铵、磷酸盐活化剂、CDTA、至少一种有机溶剂和水,由其组成,或基本由其组成,其中pH在约6至约10的范围内。在又一种优选实施方式中,所述组合物包含强碱、磷酸氢二胺、CDTA、至少一种有机溶剂和水,由其组成,或基本由其组成,其中pH在约6至约10的范围内。在每种情况下,所述组合物用氧化剂例如过氧化氢稀释。
要领会,通常的做法是制成所述组合物的浓缩形式,在使用之前稀释。例如,所述组合物可以制造成更浓缩的形式,之后在制造商处、在使用之前、和/或在微芯片生产厂使用期间用至少一种溶剂稀释。稀释率可以在约0.1份稀释剂:1份组合物浓缩物至约100份稀释剂:1份组合物浓缩物的范围内。还应该领会,本文中描述的组合物包含氧化剂,其可能随时间不稳定。因此,所述浓缩形式可以基本上没有氧化剂并且所述氧化剂可以在使用之前由制造商和/或在微芯片生产厂使用期间引入到所述浓缩物或稀释的组合物中。在所述组合物的一种实施方式中,所述稀释剂是所述至少一种氧化剂并且稀释剂与浓缩物之比在约30:1至约1:1的范围内,优选约20:1至约5:1。例如,如果所述稀释剂是31%过氧化氢,则稀释剂与浓缩物之比可以在约5:1至约1:5的范围内,优选约2:1至约1:2。
本文中描述的组合物容易通过简单添加相应的成分并混合至均匀状态来配制。此外,所述组合物可以容易地配制为单包装制剂或多部分制剂,后者在使用点时或之前混合,优选多部分制剂。所述多部分制剂的各个部分可以在工具处或在混合区/部位例如在线混合器或在所述工具上游的储槽中混合。预期所述多部分制剂的各种部分可以含有成分/构分的任何组合,其当混合在一起时形成目标组合物。所述相应的成分的浓度可以广泛地以组合物的特定倍数变化,即更稀释或更浓缩,并且要领会所述组合物可以多变地和选择性地包含符合本文中公开的成分的任何组合,由所述组合组成或基本由所述组合组成。
因此,第二方面涉及试剂盒,所述试剂盒在一个或多个容器中包含适于形成本文中描述的组合物的一种或多种组分。所述试剂盒的容器必须适合于储存和运输所述组合物的组分,例如容器(AdvancedTechnologyMaterials,Inc.,Danbury,Conn.,USA)。所述含有所述组合物的组分的一个或多个容器优选包括在所述一个或多个容器中引起所述组分流体连通以供掺合和分配的机构。例如,参考容器,在所述一个或多个容器中可以在内衬的外面施加气压以引起所述内衬的至少一部分内容物排出并因此促成流体连通以供掺合和分配。或者,可以向常规可加压容器的顶部空间施加气压或者可以使用泵促成流体连通。另外,所述系统优选包括用于向加工工具分配所述掺合组合物的分配端口。
优选使用基本上化学惰性、无杂质、柔性和弹性的聚合膜材料,例如高密度聚乙烯,来制造所述一个或多个容器的内衬。理想的内衬材料是不需要共挤塑或阻挡层、并且不用任何颜料、紫外线抑制剂或者可以不利影响准备置于内衬中的组分的纯度要求的加工剂进行加工的。理想的内衬材料的列举包括包含纯(无添加剂)聚乙烯、纯聚四氟乙烯(PTFE)、聚丙烯、聚氨酯、聚偏氯乙烯、聚氯乙烯、聚缩醛、聚苯乙烯、聚丙烯腈、聚丁烯等等。这种内衬材料的优选厚度在约5密耳(0.005英寸)至约30密耳(0.030英寸)的范围内,例如20密耳(0.020英寸)的厚度。
关于所述试剂盒的容器,下列利和专利申请的公开内容以它们各自的全文在此在本文中引为参考:美国专利No.7,188,644,题为“最小化超纯液体中粒子产生的设备和方法(APPARATUSANDMETHODFORMINIMIZINGTHEGENERATIONOFPARTICLESINULTRAPURELIQUIDS)”;美国专利No.6,698,619,题为“可回收和可再利用的桶中袋流体储存和分配容器系统(RETURNABLEANDREUSABLE,BAG-IN-DRUMFLUIDSTORAGEANDDISPENSINGCONTAINERSYSTEM)”;和PCT/US08/63276,题为“材料掺合和分配的系统和方法(SYSTEMSANDMETHODSFORMATERIALBLENDINGANDDISTRIBUTION)”,2008年5月9日提交。
在第三方面,本发明涉及利用如本文中所述的第一方面的组合物从其上具有氮化钛材料的微电子器件表面上蚀刻氮化钛材料的方法。例如,可以在基本上不损害/去除所述微电子器件上存在的绝缘材料的情况下去除氮化钛材料。因此,在优选实施方式中,描述了利用如本文中所述的第一方面的组合物从其上具有氮化钛和/或光致抗蚀剂蚀刻残留物材料的微电子器件表面上相对于绝缘材料而选择性和基本上去除所述氮化钛和/或光致抗蚀剂蚀刻残留物材料的方法。在另一种优选实施方式中,描述了利用本文中描述的第一方面的组合物从其上具有氮化钛和/或光致抗蚀剂蚀刻残留物材料的微电子器件表面上相对于绝缘材料而选择性和基本上去除所述氮化钛和/或光致抗蚀剂蚀刻残留物材料的方法。
在蚀刻应用中,所述组合物以任何适合的方式施加于其上具有所述氮化钛和/或光致抗蚀剂蚀刻残留物材料的微电子器件表面,例如,通过在所述器件的表面上喷洒所述组合物,通过浸泡(在静态或动态的组合物体积中)包含氮化钛和/或光致抗蚀剂蚀刻残留物材料的所述器件,通过用其上吸收了所述组合物的另一种材料例如垫片或纤维性吸附敷涂元件接触所述器件,通过用循环组合物接触所述包含氮化钛和/或光致抗蚀剂蚀刻残留物材料的器件,或通过引起所述组合物与所述氮化钛和/或光致抗蚀剂蚀刻残留物材料发生清除性接触的任何其他合适的手段、方式或技术。所述施加可以在分批或单晶圆设备中,用于动态或静态清洁。有利地,本文中描述的组合物,依靠相对于可以存在于微电子器件结构上并暴露于所述组合物的其他材料例如绝缘材料(即低k电介质),它们对于氮化钛和/或光致抗蚀剂蚀刻残留物材料的选择性,达到以高效率和高度选择性的方式至少部分清除所述氮化钛和/或光致抗蚀剂蚀刻残留物材料。
在使用所述第一方面的组合物从其上具有氮化钛和/或光致抗蚀剂蚀刻残留物材料的微电子器件结构去除所述氮化钛和/或光致抗蚀剂蚀刻残留物材料中,所述组合物通常在单晶圆工具中与所述器件结构接触约0.3分钟至约30分钟的足够时间,优选约0.5分钟至约3分钟,温度在约20℃至约100℃的范围内,优选约30℃至约70℃。这样的接触时间和温度是说明性的,并且可以使用对于从所述器件结构至少部分去除所述氮化钛和/或光致抗蚀剂蚀刻残留物材料有效的任何其他合适的时间和温度条件。
在一种实施方式中,所述组合物在输送到所述器件结构期间在线加热。通过在线加热,而不是在浴槽本身中加热,所述组合物的寿命增加。
实现期望的蚀刻作用之后,所述组合物可以容易地从之前施加它的微电子器件上去除,例如,通过清洗、洗涤或在本文中描述的组合物给出的最终用途应用中可能是希望的和有效的其他清除步骤。例如,所述器件可以用清洗溶液包括去离子水清洗和/或干燥(例如,甩干、N2、蒸气干燥等)。
所述第一方面的组合物优选相对于绝缘(即低k电介质)材料而选择性蚀刻氮化钛材料。在一种实施方式中,氮化钛的蚀刻速率(高达 min-1,优选在50℃下高达约min-1和在60℃下高达约min-1,同时低k电介质的蚀刻速率低(约0.01至约min-1,优选约0.01至约min-1)。
本发明的第四方面涉及根据本文中描述的方法制造的改良微电子器件和包含这样的微电子器件的产品。
第五方面涉及制造包含微电子器件的制品的方法,所述方法包括将所述微电子器件与组合物接触足够的时间以从其上具有氮化钛和/或光致抗蚀剂蚀刻残留物材料的微电子器件表面上蚀刻去除所述氮化钛和/或光致抗蚀剂残留物材料,并将所述微电子器件纳入所述制品中,其中所述组合物包含至少一种氧化剂、至少一种蚀刻剂、至少一种活化剂、至少一种氧化剂稳定剂和至少一种溶剂,由其组成或基本由其组成。在又一种备选方案中,所述组合物包含至少一种氧化剂、至少一种蚀刻剂、至少一种活化剂、至少一种氧化剂稳定剂、至少一种电介质钝化剂和至少一种溶剂,由其组成或基本由其组成。所述组合物还可以包含氮化钛材料,由其构成或基本由其组成。
本发明的第六方面涉及包含微电子器件衬底、在所述衬底上的氮化钛层和本文中描述的组合物、由其组成或基本由其组成的制品。
本发明的特点和优点通过下面论述的说明性实施例更充分地显示。
实施例1
如下面表1所述制备根据所述第一方面的浓缩物。各制剂用31%H2O2:浓缩物9:1稀释。TiN试件在55和60℃下浸于各制剂中并且重复三次测定蚀刻速率,如表2中所示。
表1:制剂和蚀刻速率
表2:稀释制剂A-E的蚀刻速率
在每种情况下,超低k
电介质材料的膜损失当介电常数是k=2.5时小于并且当介电常数是k=2.3时小于二者均在60℃下,处理时间2min。
实施例2
如下面表3所述制备根据所述第一方面的浓缩物。各制剂用31%H2O2:浓缩物1:1稀释。TiN和BDIIx的试件在表4指出的温度下浸于各制剂中并且重复三次测定蚀刻速率,如表4中所示。
表3:制剂
表4:稀释制剂F-U的蚀刻速率
可以看出,低于某个量的氢氧化胆碱有效去除TiN而不去除任何低k电介质材料。
***
虽然本文中已经参考本发明的具体的方面、特征和说明性实施方式描述了本发明,但要领会,本发明的实用性不因此受到限制,而是推广并包括众多的其他变化、修改和备选实施方式,本发明领域的普通技术人员根据本文中的公开内容将能浮现出所述其他变化、修改和备选实施方式。相应地,如以下要求权利的本发明意欲在它的精神和范围内被广泛地诠释和解读为包括所有这样的变化、修改和备选实施方式。

Claims (18)

1.从其上具有氮化钛和/或光致抗蚀剂蚀刻残留物材料的微电子器件的表面上选择性去除所述氮化钛和/或光致抗蚀剂蚀刻残留物材料的组合物,所述组合物包含至少一种氧化剂、至少一种活化剂和至少一种溶剂。
2.权利要求1的组合物,其中所述至少一种活化剂包含选自下列的物质:乙酸、乙酸铵、乙酸钠、乙酸钾、四甲基乙酸铵和其他四烷基乙酸铵、乙酸鏻、丁酸铵、三氟乙酸铵、氨基酸、磷酸、磷酸氢二铵、磷酸二氢铵、磷酸氢双(四甲基铵)、磷酸氢二钠、磷酸二氢钠、磷酸氢二钾、磷酸二氢钾、磷酸氢二四烷基铵、磷酸二氢二四烷基铵、磷酸氢二鏻、磷酸二氢鏻、膦酸铵、膦酸四烷基铵、膦酸钠、膦酸钾、膦酸鏻及其组合。
3.权利要求1或2的组合物,其中所述活化剂包含乙酸铵、磷酸氢二铵或其组合。
4.权利要求1-3任一项的组合物,其中所述氧化剂包含选自下列的至少一种物质:过氧化氢(H2O2)、FeCl3、FeF3、Fe(NO3)3、Sr(NO3)2、CoF3、MnF3、过硫酸氢钾制剂(2KHSO5·KHSO4·K2SO4)、高碘酸、碘酸、氧化钒(V)、氧化钒(IV,V)、钒酸铵、过氧单硫酸铵、亚氯酸铵(NH4ClO2)、氯酸铵(NH4ClO3)、碘酸铵(NH4IO3)、硝酸铵(NH4NO3)、过硼酸铵(NH4BO3)、硼酸铵((NH4)2B4O7)、五硼酸铵((NH4)B5O8)、高氯酸铵(NH4ClO4)、高碘酸铵(NH4IO4)、过硫酸铵((NH4)2S2O8)、次氯酸铵(NH4ClO))、钨酸铵((NH4)10H2(W2O7))、磷酸氢二铵((NH4)2HPO4)与过氧化氢组合、磷酸二氢铵((NH4)H2PO4)与过氧化氢组合、磷酸与过氧化氢组合、过硫酸钠(Na2S2O8)、次氯酸钠(NaClO)、过硼酸钠、碘酸钾(KIO3)、高锰酸钾(KMnO4)、过硫酸钾、硝酸(HNO3)、过硫酸钾(K2S2O8)、次氯酸钾(KClO))、四甲基亚氯酸铵((N(CH3)4)ClO2、四甲基氯酸铵((N(CH3)4)ClO3)、四甲基碘酸铵((N(CH3)4)IO3)、四甲基过硼酸铵((N(CH3)4)BO3)、四甲基高氯酸铵((N(CH3)4)ClO4)、四甲基高碘酸铵((N(CH3)4)IO4)、四甲基过硫酸铵((N(CH3)4)S2O8)、四丁基过氧一硫酸铵、过氧一硫酸、硝酸铁(Fe(NO3)3)、过氧化氢脲((CO(NH2)2)H2O2)、过甲酸(H(CO)OOH)、过乙酸(CH3(CO)OOH)、过丁酸(CH3CH2(CO)OOH)、过苯甲酸、过氧三氟乙酸(CF3(CO)OOH)、乙酸、甲酸、三氟乙酸、苯甲酸、1,4-苯醌、甲苯醌、二甲基-1,4-苯醌、氯醌、阿脲、N-甲基吗啉N-氧化物、三甲胺N-氧化物及其组合,优选过氧化氢。
5.权利要求1-4任一项的组合物,其中所述至少一种溶剂包含选自下列的物质:水、甲醇、乙醇、异丙醇、丁醇、戊醇、己醇、2-乙基-1-己醇、庚醇、辛醇、乙二醇、丙二醇、丁二醇、己二醇、碳酸丁烯酯、碳酸乙烯酯、碳酸丙烯酯、碳酸氢胆碱、二丙二醇、二甲基亚砜、环丁砜、四氢糠醇(THFA)、1,2-丁二醇、1,4-丁二醇、四甲基脲、二乙二醇单甲醚、三乙二醇单甲醚、二乙二醇单乙醚、三乙二醇单乙醚、乙二醇单丙醚、乙二醇单丁醚、二乙二醇单丁醚、三乙二醇单丁醚、乙二醇单已醚、二乙二醇单已醚、乙二醇苯醚、丙二醇甲醚、二丙二醇甲醚(DPGME)、三丙二醇甲醚(TPGME)、二丙二醇二甲醚、二丙二醇乙醚、丙二醇正丙醚、二丙二醇正丙醚(DPGPE)、三丙二醇正丙醚、丙二醇正丁醚、二丙二醇正丁醚、三丙二醇正丁醚、丙二醇苯醚、2,3-二氢十氟戊烷、乙基全氟丁醚、甲基全氟丁醚、二甲基亚砜、环丁砜、4-甲基-2-戊醇、及其组合。
6.权利要求1-4任一项的组合物,其中所述至少一种溶剂包含水。
7.权利要求1-4任一项的组合物,其中所述至少一种溶剂包含水和选自下列的至少一种有机溶剂:甲醇、乙醇、异丙醇、丁醇、戊醇、己醇、2-乙基-1-己醇、庚醇、辛醇、乙二醇、丙二醇、丁二醇、己二醇、碳酸丁烯酯、碳酸乙烯酯、碳酸丙烯酯、碳酸氢胆碱、二丙二醇、二甲基亚砜、环丁砜、四氢糠醇(THFA)、1,2-丁二醇、1,4-丁二醇、四甲基脲、二乙二醇单甲醚、三乙二醇单甲醚、二乙二醇单乙醚、三乙二醇单乙醚、乙二醇单丙醚、乙二醇单丁醚、二乙二醇单丁醚、三乙二醇单丁醚、乙二醇单已醚、二乙二醇单已醚、乙二醇苯醚、丙二醇甲醚、二丙二醇甲醚(DPGME)、三丙二醇甲醚(TPGME)、二丙二醇二甲醚、二丙二醇乙醚、丙二醇正丙醚、二丙二醇正丙醚(DPGPE)、三丙二醇正丙醚、丙二醇正丁醚、二丙二醇正丁醚、三丙二醇正丁醚、丙二醇苯醚、2,3-二氢十氟戊烷、乙基全氟丁醚、甲基全氟丁醚、二甲基亚砜、环丁砜、4-甲基-2-戊醇、及其组合,所述有机溶剂优选二醇醚、DMSO、环丁砜的至少一种、及其组合。
8.权利要求1-7任一项的组合物,其还包含至少一种蚀刻剂。
9.权利要求8的组合物,其中所述蚀刻剂包含选自下列的物质:H2ZrF6、H2TiF6、HPF6、HF、氟化铵、四氟硼酸、六氟硅酸、四丁基四氟硼酸铵(TBA-BF4)、六氟硅酸铵、六氟钛酸铵、四甲基氢氧化铵(TMAH)、四乙基氢氧化铵(TEAH)、四丙基氢氧化铵(TPAH)、四丁基氢氧化铵(TBAH)、苄基三甲基氢氧化铵(BTMAH)、氢氧化钾、氢氧化铵、苄基三乙基氢氧化铵(BTEAH)、四丁基氢氧化鏻(TBPH)、(2-羟乙基)三甲基氢氧化铵、(2-羟乙基)三乙基氢氧化铵、(2-羟乙基)三丙基氢氧化铵、(1-羟丙基)三甲基氢氧化铵、乙基三甲基氢氧化铵、二乙基二甲基氢氧化铵(DEDMAH)、1,1,3,3-四甲基胍(TMG)、碳酸胍、精氨酸、氢氧化铵、单乙醇胺(MEA)、二乙醇胺(DEA)、三乙醇胺(TEA)、乙二胺、半胱氨酸、其中R1、R2、R3、R4可以彼此相同或不同并选自直链或支链C1-C6烷基基团的四烷基氟化铵(NR1R2R3R4F),及其组合。
10.权利要求8的组合物,其中所述蚀刻剂包含TMAH、(2-羟乙基)三甲基氢氧化铵、或其组合。
11.前述权利要求任一项的组合物,其还包含至少一种低k钝化剂,其选自硼酸、五硼酸铵、四硼酸钠、硼酸铵、3-羟基-2-萘甲酸、丙二酸、亚氨基二乙酸、烷基三甲基氯化铵、烷基三甲基溴化铵、癸基三甲基氯化铵、肉毒碱、甜菜碱及其组合。
12.前述权利要求任一项的组合物,其还包含至少一种氧化剂稳定剂,其选自甘氨酸、丝氨酸、脯氨酸、亮氨酸、丙氨酸、天冬酰胺、天冬氨酸、谷氨酰胺、缬氨酸和赖氨酸、次氮基三乙酸、次氮基三(亚甲基膦)酸、亚氨基二乙酸、羟乙磷酸、乙二胺四乙酸(EDTA)、亚乙基二次氮基四(亚甲基膦)酸、(1,2-亚环己基二次氮基)四乙酸(CDTA)、尿酸、四乙二醇二甲醚、二亚乙基三胺五乙酸、二亚乙基三胺五(亚甲基膦)酸、丙二胺四乙酸、乙二胺二琥珀酸、磺胺、及其组合,优选(1,2-亚环己基二次氮基)四乙酸。
13.前述权利要求任一项的组合物,其中所述组合物基本上没有研磨材料、氯化物、金属卤化物、及其组合。
14.前述权利要求任一项的组合物,其中所述组合物的pH在约6至约10的范围内。
15.权利要求1-13任一项的组合物,其中所述组合物的pH在约10至约13的范围内。
16.从其上具有氮化钛材料的微电子器件表面上蚀刻所述氮化钛材料的方法,所述方法包括用权利要求1-15任一项的组合物接触所述表面,其中所述组合物从所述表面上相对于绝缘材料而选择性地除去所述氮化钛材料。
17.权利要求16的方法,其中所述接触包括在约20℃至约100℃的温度下历时约0.3分钟至约30分钟的时间。
18.权利要求16-17任一项的方法,其中所述组合物在所希望的蚀刻作用之后被从所述表面上清洗掉。
CN201480047887.8A 2013-08-30 2014-08-28 选择性蚀刻氮化钛的组合物和方法 Active CN105492576B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361872297P 2013-08-30 2013-08-30
US61/872,297 2013-08-30
PCT/US2014/053172 WO2015031620A1 (en) 2013-08-30 2014-08-28 Compositions and methods for selectively etching titanium nitride

Publications (2)

Publication Number Publication Date
CN105492576A true CN105492576A (zh) 2016-04-13
CN105492576B CN105492576B (zh) 2019-01-04

Family

ID=52587332

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480047887.8A Active CN105492576B (zh) 2013-08-30 2014-08-28 选择性蚀刻氮化钛的组合物和方法

Country Status (7)

Country Link
US (1) US10428271B2 (zh)
EP (1) EP3039098B1 (zh)
KR (1) KR102340516B1 (zh)
CN (1) CN105492576B (zh)
SG (2) SG11201601158VA (zh)
TW (1) TWI638033B (zh)
WO (1) WO2015031620A1 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108754515A (zh) * 2018-05-16 2018-11-06 深圳仕上电子科技有限公司 利用氨水双氧水溶液剥离钛和氮化钛膜的方法
CN109423290A (zh) * 2017-08-25 2019-03-05 弗萨姆材料美国有限责任公司 用于在制造半导体器件过程中相对于氮化钛选择性地去除氮化钽的蚀刻溶液
CN110240907A (zh) * 2018-03-09 2019-09-17 弗萨姆材料美国有限责任公司 用于在半导体器件制造期间从硅-锗/锗叠层选择性除去硅-锗合金的蚀刻溶液
CN110272742A (zh) * 2018-03-16 2019-09-24 弗萨姆材料美国有限责任公司 用于钨字线凹进的蚀刻溶液
CN110777381A (zh) * 2018-07-26 2020-02-11 弗萨姆材料美国有限责任公司 用于TiN硬掩模去除和蚀刻残留物清洁的组合物
CN113122267A (zh) * 2019-12-31 2021-07-16 安集微电子科技(上海)股份有限公司 一种促进剂组合物在去除铜大马士革工艺中氮化钛的应用
CN114231288A (zh) * 2020-09-09 2022-03-25 东友精细化工有限公司 硅蚀刻液组合物、图案形成方法、阵列基板的制造方法、以及阵列基板

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
TWI654340B (zh) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
KR102352475B1 (ko) 2013-12-20 2022-01-18 엔테그리스, 아이엔씨. 이온-주입된 레지스트의 제거를 위한 비-산화성 강산의 용도
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
US20160340620A1 (en) 2014-01-29 2016-11-24 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
US9976111B2 (en) * 2015-05-01 2018-05-22 Versum Materials Us, Llc TiN hard mask and etch residual removal
KR102415954B1 (ko) * 2016-01-12 2022-07-01 동우 화인켐 주식회사 질화 티탄(TiN) 막의 식각액 조성물 및 그를 이용한 금속배선의 형성 방법
US10865484B2 (en) 2016-03-29 2020-12-15 Technic France Solution and method for etching titanium based materials
JP6860276B2 (ja) * 2016-09-09 2021-04-14 花王株式会社 樹脂マスク剥離用洗浄剤組成物
KR20180060489A (ko) 2016-11-29 2018-06-07 삼성전자주식회사 식각용 조성물 및 이를 이용한 반도체 장치 제조 방법
US20190103282A1 (en) * 2017-09-29 2019-04-04 Versum Materials Us, Llc Etching Solution for Simultaneously Removing Silicon and Silicon-Germanium Alloy From a Silicon-Germanium/Silicon Stack During Manufacture of a Semiconductor Device
US11993729B2 (en) * 2017-11-22 2024-05-28 Basf Se Chemical mechanical polishing composition
CN108085683A (zh) * 2018-01-22 2018-05-29 深圳市华星光电技术有限公司 一种蚀刻液组合物
US10529572B2 (en) * 2018-04-30 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
TWI791535B (zh) * 2018-06-11 2023-02-11 德商巴斯夫歐洲公司 可移除氮化鈦的蝕刻後殘渣清理溶液
JP2022502835A (ja) * 2018-09-12 2022-01-11 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド エッチング組成物
KR20210111289A (ko) 2019-01-11 2021-09-10 버슘머트리얼즈 유에스, 엘엘씨 산화하프늄 부식 억제제
JP2022524543A (ja) * 2019-03-11 2022-05-06 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 半導体装置の製造の間に窒化ケイ素を選択的に除去するためのエッチング溶液及び方法
JP2022547312A (ja) 2019-09-10 2022-11-11 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド エッチング組成物
KR20220166348A (ko) * 2020-04-14 2022-12-16 엔테그리스, 아이엔씨. 몰리브데넘을 에칭하기 위한 방법 및 조성물
EP4189728A1 (en) 2020-07-30 2023-06-07 Entegris, Inc. Compositions and methods for selectively etching silicon nitride films

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6447563B1 (en) * 1998-10-23 2002-09-10 Arch Specialty Chemicals, Inc. Chemical mechanical polishing slurry system having an activator solution
US20030148624A1 (en) * 2002-01-31 2003-08-07 Kazuto Ikemoto Method for removing resists
US7521406B2 (en) * 2004-02-11 2009-04-21 Mallinckrodt Baker, Inc Microelectronic cleaning composition containing halogen oxygen acids, salts and derivatives thereof
US20110186086A1 (en) * 2005-10-05 2011-08-04 Advanced Technology Materials, Inc. Oxidizing aqueous cleaner for the removal of post-etch residues
US20130109194A1 (en) * 2006-12-28 2013-05-02 Kao Corporation Polishing liquid composition
TW201333171A (zh) * 2011-12-28 2013-08-16 Advanced Tech Materials 選擇性蝕刻氮化鈦之組成物及方法

Family Cites Families (141)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
US5702075A (en) 1996-01-31 1997-12-30 David Lehrman Automatically collapsible support for an electrical cord for use with an ironing board
US7534752B2 (en) 1996-07-03 2009-05-19 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US6323168B1 (en) 1996-07-03 2001-11-27 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US6755989B2 (en) 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6224785B1 (en) 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US5993685A (en) 1997-04-02 1999-11-30 Advanced Technology Materials Planarization composition for removing metal films
US6322600B1 (en) 1997-04-23 2001-11-27 Advanced Technology Materials, Inc. Planarization compositions and methods for removing interlayer dielectric films
US5976928A (en) 1997-11-20 1999-11-02 Advanced Technology Materials, Inc. Chemical mechanical polishing of FeRAM capacitors
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
CA2332390A1 (en) 1998-05-18 1999-11-25 Advanced Technology Materials, Inc. Stripping compositions for semiconductor substrates
US6875733B1 (en) 1998-10-14 2005-04-05 Advanced Technology Materials, Inc. Ammonium borate containing compositions for stripping residues from semiconductor substrates
US6395194B1 (en) 1998-12-18 2002-05-28 Intersurface Dynamics Inc. Chemical mechanical polishing compositions, and process for the CMP removal of iridium thin using same
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6492308B1 (en) 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6723691B2 (en) 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6409781B1 (en) 2000-05-01 2002-06-25 Advanced Technology Materials, Inc. Polishing slurries for copper and associated materials
EP1211563B1 (en) * 2000-11-30 2011-12-21 Tosoh Corporation Resist stripper composition
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6627587B2 (en) 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US7029373B2 (en) 2001-08-14 2006-04-18 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6800218B2 (en) 2001-08-23 2004-10-05 Advanced Technology Materials, Inc. Abrasive free formulations for chemical mechanical polishing of copper and associated materials and method of using same
US6802983B2 (en) 2001-09-17 2004-10-12 Advanced Technology Materials, Inc. Preparation of high performance silica slurry using a centrifuge
US7557073B2 (en) 2001-12-31 2009-07-07 Advanced Technology Materials, Inc. Non-fluoride containing supercritical fluid composition for removal of ion-implant photoresist
US7326673B2 (en) 2001-12-31 2008-02-05 Advanced Technology Materials, Inc. Treatment of semiconductor substrates using long-chain organothiols or long-chain acetates
US7030168B2 (en) 2001-12-31 2006-04-18 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates
US7119418B2 (en) 2001-12-31 2006-10-10 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US6698619B2 (en) 2002-05-03 2004-03-02 Advanced Technology Materials, Inc. Returnable and reusable, bag-in-drum fluid storage and dispensing container system
US7188644B2 (en) 2002-05-03 2007-03-13 Advanced Technology Materials, Inc. Apparatus and method for minimizing the generation of particles in ultrapure liquids
US20040050406A1 (en) * 2002-07-17 2004-03-18 Akshey Sehgal Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US7011716B2 (en) 2003-04-29 2006-03-14 Advanced Technology Materials, Inc. Compositions and methods for drying patterned wafers during manufacture of integrated circuitry products
US6943139B2 (en) 2002-10-31 2005-09-13 Advanced Technology Materials, Inc. Removal of particle contamination on patterned silicon/silicon dioxide using supercritical carbon dioxide/chemical formulations
US7223352B2 (en) 2002-10-31 2007-05-29 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US20060019850A1 (en) 2002-10-31 2006-01-26 Korzenski Michael B Removal of particle contamination on a patterned silicon/silicon dioxide using dense fluid/chemical formulations
US7485611B2 (en) 2002-10-31 2009-02-03 Advanced Technology Materials, Inc. Supercritical fluid-based cleaning compositions and methods
US6989358B2 (en) 2002-10-31 2006-01-24 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for removal of photoresists
US7300601B2 (en) 2002-12-10 2007-11-27 Advanced Technology Materials, Inc. Passivative chemical mechanical polishing composition for copper film planarization
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
US6735978B1 (en) 2003-02-11 2004-05-18 Advanced Technology Materials, Inc. Treatment of supercritical fluid utilized in semiconductor manufacturing applications
US7736405B2 (en) 2003-05-12 2010-06-15 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for copper and associated materials and method of using same
CN101371339A (zh) 2003-05-12 2009-02-18 高级技术材料公司 用于步骤ⅱ的铜衬里和其他相关材料的化学机械抛光组合物及其使用方法
US7119052B2 (en) 2003-06-24 2006-10-10 Advanced Technology Materials, Inc. Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers
US7335239B2 (en) 2003-11-17 2008-02-26 Advanced Technology Materials, Inc. Chemical mechanical planarization pad
US20050118832A1 (en) 2003-12-01 2005-06-02 Korzenski Michael B. Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
JP2007519942A (ja) 2003-12-02 2007-07-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド レジスト、barc、およびギャップフィル材料を剥離する化学物質ならびに方法
US20050145311A1 (en) 2003-12-30 2005-07-07 Walker Elizabeth L. Method for monitoring surface treatment of copper containing devices
US7553803B2 (en) 2004-03-01 2009-06-30 Advanced Technology Materials, Inc. Enhancement of silicon-containing particulate material removal using supercritical fluid-based compositions
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US20050227482A1 (en) 2004-03-24 2005-10-13 Korzenski Michael B Composition useful for removal of bottom anti-reflection coatings from patterned ion-implanted photoresist wafers
US20060063687A1 (en) 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060148666A1 (en) 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US7923423B2 (en) 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
US7365045B2 (en) 2005-03-30 2008-04-29 Advanced Tehnology Materials, Inc. Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide
WO2006110645A2 (en) 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
JP2008537343A (ja) 2005-04-15 2008-09-11 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド マイクロエレクトロニクスデバイスからイオン注入フォトレジスト層をクリーニングするための配合物
US20080271991A1 (en) 2005-04-15 2008-11-06 Advanced Technology Materials , Inc. Apparatus and Method for Supercritical Fluid Removal or Deposition Processes
US20070251551A1 (en) 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
KR20080025697A (ko) 2005-05-26 2008-03-21 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 구리를 부동태화하는 cmp후 세정 조성물 및 이용 방법
JP2008546214A (ja) 2005-06-06 2008-12-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 集積された化学機械研磨組成物および単一プラテン処理のためのプロセス
CN101233456B (zh) 2005-06-07 2013-01-02 高级技术材料公司 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
CN101233601A (zh) 2005-06-13 2008-07-30 高级技术材料公司 在金属硅化物形成后用于选择性除去金属或金属合金的组合物及方法
JP2008547050A (ja) * 2005-06-16 2008-12-25 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 硬化フォトレジスト、エッチング後残渣および/または下層反射防止コーティング層の除去のための濃厚流体組成物
JP2009503910A (ja) 2005-08-05 2009-01-29 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 金属フィルム平坦化用高スループット化学機械研磨組成物
WO2007027522A2 (en) 2005-08-29 2007-03-08 Advanced Technology Materials, Inc. Composition and method for removing thick film photoresist
KR20080059429A (ko) 2005-10-05 2008-06-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 게이트 스페이서 산화물 재료를 선택적으로 에칭하기 위한조성물 및 방법
EP1945748A4 (en) 2005-10-13 2009-01-07 Advanced Tech Materials PHOTORESIN REMOVAL AND / OR SACRIFICIAL ANTIREFLECTION COATING COMPOSITION COMPATIBLE WITH METALS
US20090301996A1 (en) 2005-11-08 2009-12-10 Advanced Technology Materials, Inc. Formulations for removing cooper-containing post-etch residue from microelectronic devices
US7960328B2 (en) 2005-11-09 2011-06-14 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
TW200734448A (en) 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
WO2008036823A2 (en) 2006-09-21 2008-03-27 Advanced Technology Materials, Inc. Uric acid additive for cleaning formulations
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
US20080076688A1 (en) 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
WO2008039730A1 (en) 2006-09-25 2008-04-03 Advanced Technology Materials, Inc. Compositions and methods for the removal of photoresist for a wafer rework application
US20080125342A1 (en) 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
JP5237300B2 (ja) 2006-12-21 2013-07-17 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド エッチング後残留物を除去するための液体洗浄剤
SG177201A1 (en) 2006-12-21 2012-01-30 Advanced Tech Materials Compositions and methods for the selective removal of silicon nitride
US20100087065A1 (en) 2007-01-31 2010-04-08 Advanced Technology Materials, Inc. Stabilization of polymer-silica dispersions for chemical mechanical polishing slurry applications
TWI516573B (zh) 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
US20100112728A1 (en) 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
EP2155373A4 (en) 2007-05-09 2014-10-22 Foresight Proc Llc SYSTEMS AND METHOD FOR THE MIXTURE AND DISTRIBUTION OF MATERIALS
TW200918664A (en) 2007-06-13 2009-05-01 Advanced Tech Materials Wafer reclamation compositions and methods
WO2009032460A1 (en) 2007-08-02 2009-03-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of residue from a microelectronic device
US20110039747A1 (en) 2007-08-20 2011-02-17 Advanced Technology Materials, Inc. Composition and method for removing ion-implanted photoresist
JP2011502946A (ja) 2007-11-14 2011-01-27 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 可溶性ナノ結晶の無溶媒合成
TW200934865A (en) 2007-11-30 2009-08-16 Advanced Tech Materials Formulations for cleaning memory device structures
WO2009111719A2 (en) 2008-03-07 2009-09-11 Advanced Technology Materials, Inc. Non-selective oxide etch wet clean composition and method of use
US20090253072A1 (en) 2008-04-01 2009-10-08 Petruska Melissa A Nanoparticle reversible contrast enhancement material and method
US8026200B2 (en) 2008-05-01 2011-09-27 Advanced Technology Materials, Inc. Low pH mixtures for the removal of high density implanted resist
CN102216854A (zh) 2008-08-04 2011-10-12 高级技术材料公司 环境友好型聚合物剥离组合物
JP5523325B2 (ja) * 2008-09-09 2014-06-18 昭和電工株式会社 チタン系金属、タングステン系金属、チタンタングステン系金属またはそれらの窒化物のエッチング液
JP2012504871A (ja) 2008-10-02 2012-02-23 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 高度な金属負荷及びシリコン基板の表面パッシベーションのための界面活性剤/消泡剤混合物の使用
WO2010048139A2 (en) 2008-10-21 2010-04-29 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
SG173172A1 (en) 2009-01-28 2011-08-29 Advanced Tech Materials Lithographic tool in situ clean formulations
WO2010086745A1 (en) 2009-02-02 2010-08-05 Atmi Taiwan Co., Ltd. Method of etching lanthanum-containing oxide layers
WO2010091045A2 (en) 2009-02-05 2010-08-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of polymers and other organic material from a surface
US8754021B2 (en) 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
US8367555B2 (en) 2009-12-11 2013-02-05 International Business Machines Corporation Removal of masking material
JP5858597B2 (ja) 2010-01-29 2016-02-10 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド タングステン配線半導体用洗浄剤
CN104804903B (zh) 2010-01-29 2018-10-30 恩特格里斯公司 附有金属布线的半导体用清洗剂
TWI558817B (zh) 2010-04-15 2016-11-21 恩特葛瑞斯股份有限公司 廢棄印刷電路板之回收利用方法
JP2012021151A (ja) 2010-06-16 2012-02-02 Sanyo Chem Ind Ltd 銅配線半導体用洗浄剤
TWI548738B (zh) 2010-07-16 2016-09-11 安堤格里斯公司 用於移除蝕刻後殘餘物之水性清潔劑
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
KR20130099948A (ko) 2010-08-20 2013-09-06 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 E-폐기물로부터 귀금속 및 베이스 금속을 회수하는 지속가능한 방법
KR20130100297A (ko) 2010-08-27 2013-09-10 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 건조 동안의 높은 종횡비 구조물의 붕괴 방지 방법
WO2012048079A2 (en) 2010-10-06 2012-04-12 Advanced Technology Materials, Inc. Composition and process for selectively etching metal nitrides
KR101891363B1 (ko) 2010-10-13 2018-08-24 엔테그리스, 아이엔씨. 티타늄 니트라이드 부식을 억제하기 위한 조성물 및 방법
WO2012097143A2 (en) 2011-01-13 2012-07-19 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium- containing solutions
JP2012186470A (ja) 2011-02-18 2012-09-27 Sanyo Chem Ind Ltd 銅配線半導体用洗浄剤
WO2012154498A2 (en) 2011-05-06 2012-11-15 Advanced Technology Materials, Inc. Removal of metal impurities from silicon surfaces for solar cell and semiconductor applications
JP2012251026A (ja) 2011-05-31 2012-12-20 Sanyo Chem Ind Ltd 半導体用洗浄剤
TW201311869A (zh) 2011-06-16 2013-03-16 Advanced Tech Materials 選擇性蝕刻氮化矽之組成物及方法
CN103620861B (zh) 2011-06-21 2017-02-15 恩特格里斯公司 从锂离子电池回收锂钴氧化物的方法
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
CN103958640B (zh) 2011-10-21 2016-05-18 安格斯公司 无胺cmp后组合物及其使用方法
US8618036B2 (en) 2011-11-14 2013-12-31 International Business Machines Corporation Aqueous cerium-containing solution having an extended bath lifetime for removing mask material
IN2014KN01462A (zh) 2011-12-15 2015-10-23 Advanced Tech Materials
CN104508072A (zh) 2012-02-15 2015-04-08 安格斯公司 用于cmp后去除的组合物及使用方法
WO2013138278A1 (en) 2012-03-12 2013-09-19 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
SG11201405638UA (en) 2012-03-12 2014-10-30 Entegris Inc Methods for the selective removal of ashed spin-on glass
KR20140139565A (ko) 2012-03-18 2014-12-05 인티그리스, 인코포레이티드 개선된 장벽층 상용성 및 세정 성능을 가진 cmp-후 배합물
WO2013152260A1 (en) 2012-04-06 2013-10-10 Advanced Technology Materials, Inc. Removal of lead from solid materials
US20130295712A1 (en) 2012-05-03 2013-11-07 Advanced Technology Materials, Inc. Methods of texturing surfaces for controlled reflection
WO2013170130A1 (en) 2012-05-11 2013-11-14 Advanced Technology Materials, Inc. Formulations for wet etching nipt during silicide fabrication
SG10201610541UA (en) 2012-05-18 2017-01-27 Entegris Inc Composition and process for stripping photoresist from a surface including titanium nitride
EP2850651A4 (en) 2012-05-18 2016-03-09 Entegris Inc AQUEOUS CLEANING SOLUTION HAVING LOW COPPER ATTACK SPEED FOR MORE EFFICIENT REMOVAL OF ORGANIC RESIDUES
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
TWI655273B (zh) 2013-03-04 2019-04-01 美商恩特葛瑞斯股份有限公司 選擇性蝕刻氮化鈦之組成物及方法
EP2778158A1 (en) 2013-03-14 2014-09-17 Advanced Technology Materials, Inc. Sulfolane mixtures as ambient aprotic polar solvents
KR20150143676A (ko) 2013-04-22 2015-12-23 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 구리 세정 및 보호 제형
US20160122696A1 (en) 2013-05-17 2016-05-05 Advanced Technology Materials, Inc. Compositions and methods for removing ceria particles from a surface
US10920141B2 (en) 2013-06-06 2021-02-16 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
CN112442374A (zh) 2013-07-31 2021-03-05 恩特格里斯公司 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6447563B1 (en) * 1998-10-23 2002-09-10 Arch Specialty Chemicals, Inc. Chemical mechanical polishing slurry system having an activator solution
US20030148624A1 (en) * 2002-01-31 2003-08-07 Kazuto Ikemoto Method for removing resists
US7521406B2 (en) * 2004-02-11 2009-04-21 Mallinckrodt Baker, Inc Microelectronic cleaning composition containing halogen oxygen acids, salts and derivatives thereof
US20110186086A1 (en) * 2005-10-05 2011-08-04 Advanced Technology Materials, Inc. Oxidizing aqueous cleaner for the removal of post-etch residues
US20130109194A1 (en) * 2006-12-28 2013-05-02 Kao Corporation Polishing liquid composition
TW201333171A (zh) * 2011-12-28 2013-08-16 Advanced Tech Materials 選擇性蝕刻氮化鈦之組成物及方法

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109423290A (zh) * 2017-08-25 2019-03-05 弗萨姆材料美国有限责任公司 用于在制造半导体器件过程中相对于氮化钛选择性地去除氮化钽的蚀刻溶液
CN110240907A (zh) * 2018-03-09 2019-09-17 弗萨姆材料美国有限责任公司 用于在半导体器件制造期间从硅-锗/锗叠层选择性除去硅-锗合金的蚀刻溶液
CN110240907B (zh) * 2018-03-09 2021-09-17 弗萨姆材料美国有限责任公司 用于在半导体器件制造期间从硅-锗/锗叠层选择性除去硅-锗合金的蚀刻溶液
CN110272742A (zh) * 2018-03-16 2019-09-24 弗萨姆材料美国有限责任公司 用于钨字线凹进的蚀刻溶液
CN108754515A (zh) * 2018-05-16 2018-11-06 深圳仕上电子科技有限公司 利用氨水双氧水溶液剥离钛和氮化钛膜的方法
CN110777381A (zh) * 2018-07-26 2020-02-11 弗萨姆材料美国有限责任公司 用于TiN硬掩模去除和蚀刻残留物清洁的组合物
CN113122267A (zh) * 2019-12-31 2021-07-16 安集微电子科技(上海)股份有限公司 一种促进剂组合物在去除铜大马士革工艺中氮化钛的应用
CN114231288A (zh) * 2020-09-09 2022-03-25 东友精细化工有限公司 硅蚀刻液组合物、图案形成方法、阵列基板的制造方法、以及阵列基板
CN114231288B (zh) * 2020-09-09 2023-12-26 东友精细化工有限公司 硅蚀刻液组合物、图案形成方法、阵列基板的制造方法、以及阵列基板

Also Published As

Publication number Publication date
EP3039098B1 (en) 2020-09-30
KR102340516B1 (ko) 2021-12-21
KR20160048909A (ko) 2016-05-04
EP3039098A4 (en) 2017-04-19
EP3039098A1 (en) 2016-07-06
CN105492576B (zh) 2019-01-04
US10428271B2 (en) 2019-10-01
WO2015031620A1 (en) 2015-03-05
TW201516129A (zh) 2015-05-01
US20160200975A1 (en) 2016-07-14
TWI638033B (zh) 2018-10-11
SG10201801575YA (en) 2018-03-28
SG11201601158VA (en) 2016-03-30

Similar Documents

Publication Publication Date Title
CN105492576A (zh) 选择性蚀刻氮化钛的组合物和方法
CN105102584B (zh) 用于选择性蚀刻氮化钛的组合物和方法
CN104145324B (zh) 用于选择性蚀刻氮化钛的组合物和方法
KR102338526B1 (ko) Cu/W 호환성을 갖는, 금속 하드 마스크 및 에칭-후 잔여물을 제거하기 위한 수성 제형
JP6723152B2 (ja) 窒化チタンを選択的にエッチングするための組成物及び方法
KR20230048396A (ko) 니트라이드 에천트 조성물 및 방법
TWI824299B (zh) 蝕刻劑組合物

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
C41 Transfer of patent application or patent right or utility model
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right

Effective date of registration: 20160922

Address after: Massachusetts, USA

Applicant after: MYKROLIS Corp.

Applicant after: Advanced Materials Co.,Ltd.

Address before: American Connecticut

Applicant before: Advanced Technology Materials, Inc.

Applicant before: Advanced Materials Co.,Ltd.

C41 Transfer of patent application or patent right or utility model
CB02 Change of applicant information

Address after: Massachusetts, USA

Applicant after: ENTEGRIS, Inc.

Applicant after: Advanced Materials Co.,Ltd.

Address before: Massachusetts, USA

Applicant before: MYKROLIS Corp.

Applicant before: Advanced Materials Co.,Ltd.

COR Change of bibliographic data
TA01 Transfer of patent application right

Effective date of registration: 20161207

Address after: Massachusetts, USA

Applicant after: ENTEGRIS, Inc.

Applicant after: Entegris Taiwan

Address before: Massachusetts, USA

Applicant before: ENTEGRIS, Inc.

Applicant before: Entegris Taiwan

Effective date of registration: 20161207

Address after: Massachusetts, USA

Applicant after: ENTEGRIS, Inc.

Applicant after: Entegris Taiwan

Address before: Massachusetts, USA

Applicant before: ENTEGRIS, Inc.

Applicant before: Advanced Materials Co.,Ltd.

CB02 Change of applicant information

Address after: Massachusetts, USA

Applicant after: ENTEGRIS, Inc.

Applicant after: ENTEGRIS ASIA LLC

Address before: Massachusetts, USA

Applicant before: ENTEGRIS, Inc.

Applicant before: Entegris Taiwan

CB02 Change of applicant information
GR01 Patent grant
GR01 Patent grant