KR20060115896A - 레지스트, barc 및 갭 필 재료 스트리핑 케미칼 및방법 - Google Patents

레지스트, barc 및 갭 필 재료 스트리핑 케미칼 및방법 Download PDF

Info

Publication number
KR20060115896A
KR20060115896A KR1020067011783A KR20067011783A KR20060115896A KR 20060115896 A KR20060115896 A KR 20060115896A KR 1020067011783 A KR1020067011783 A KR 1020067011783A KR 20067011783 A KR20067011783 A KR 20067011783A KR 20060115896 A KR20060115896 A KR 20060115896A
Authority
KR
South Korea
Prior art keywords
ethylenediamine
composition
cyanoethyl
surfactant
propylene glycol
Prior art date
Application number
KR1020067011783A
Other languages
English (en)
Inventor
데이비드 베른하드
요이치로 후지타
토모에 미야자와
마코토 나카지마
Original Assignee
어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 filed Critical 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드
Publication of KR20060115896A publication Critical patent/KR20060115896A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/044Hydroxides or bases
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/24Organic compounds containing halogen
    • C11D3/245Organic compounds containing halogen containing fluorine
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/28Organic compounds containing halogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Weting (AREA)

Abstract

본 발명은, 기판으로부터 포토레지스트, BARC 재료 및/또는 갭 필 재료를 제거하기 위한 수계 제거 조성물 및 방법에 관한 것이다. 수계 조성물은 불소 소스, 적어도 하나의 유기 아민, 적어도 하나의 유기 용매, 물 및 선택적으로 킬레이팅제 및/또는 계면활성제를 포함한다. 조성물은, 구리와 같은 금속 기판상에서의 역효과 및 반도체 구조물에 이용된 SiOC계 절연성 재료에 대한 손상 없이, 집적회로 제조에 있어서 상기 재료 제거의 고효율성을 달성한다.
제거 조성물, 제거 방법, 레지스트, BARC, 갭 필

Description

레지스트, BARC 및 갭 필 재료 스트리핑 케미칼 및 방법{RESIST, BARC AND GAP FILL MATERIAL STRIPPING CHEMICAL AND METHOD}
본 발명은, 아티클(article)의 기판으로부터 포토레지스트(photoresist), BARC(bottom anti-reflective coating) 재료 및 갭 필(gap fill) 재료를 제거하기 위한 수계 제거 조성물 및 방법에 관한 것이다.
포토리소그래픽(photolithographic) 기법은 코팅(coating), 노출(exposure) 및 현상(deveolopment)의 단계를 포함한다. 웨이퍼(wafer)는 양성 또는 음성 포토레지스트 물질로 코팅되고, 계속되는 공정에서 보존되거나 또는 제거되는 패턴을 결정짓는 마스크(mask)로 덮혀진다. 마스크의 적절한 위치선점에 이어서, 선택된 세정 용액에 다소 가용성의 노출된 포토레지스트 재료를 제조하기 위하여, 마스크는 자외선(UV: ultraviolet) 빛 또는 DUV(deep UV) 빛(
Figure 112006041834437-PCT00001
Figure 112006041834437-PCT00002
250 nm)과 같은 단파장 방사선을 관통한다. 이후 가용성의 포토레지스트는, 마스크와 동일한 패턴을 남겨둔 채 제거되거나 또는 "현상(developed)"된다.
임계 치수의 변동을 야기하며 포토레지스트의 불규칙한 노출을 유발하는 DUV 빛 이용과 관련하여 투과율 및 반사율을 지정하기 위하여, BARCs는 포토레지스트에 적용하기 이전에 기판에 도입되어 현상되어 왔다. 포토레지스트가 DUV 방사선에 노출됨에 따라, BARC는 상당한 양의 DUV 방사선을 흡수하기 때문에 방사선의 반사 및 투과를 방지하고 따라서 불규칙한 노출을 방지한다.
가끔씩, 구멍을 채우고 지형을 평탄화하기 위하여, 갭 필 재료는 BARC 층에 적용하기 이전에 기판에 도입된다. 일반적으로 갭 필 재료는 플루오리네이티드 실리콘 다이옥사이드(fluorinated silicon dioxide), 산화 실리콘으로 도핑된 탄소(carbon doped silicon dioxide), 메틸실세스퀴옥산(MSQ: methylsilsesquioxane), 하이드로겐 실세스퀴옥산(HSQ: hydrogen silsesquioxane) 및 유기 필 갭 재료(organic fill gap material)를 포함하나 이에 제한되지 않는다. 적용에 있어서, 갭 필 재료는 기판에 증착한 후 BARC 재료 및 포토레지스트가 그 위에 증착된다.
생산라인 전단(BEOL: back-end-of-line) 단일(single)- 및 이중-다마신(dual-damascene) 공정 중에, 결함(defects)은 갭 필 재료, BARC, 포토레지스트 또는 다른 리소그래픽(lithographic) 물질의 증착 도중에 발생한다. 결함은 또한 리소그래픽 이미징(imaging) 및 현상 도중에 발생한다. 상기 결함은 디바이스 수율을 저하시키고, 바람직하지 않은 디바이스의 추가적인 공정을 발생시킨다. 따라서, 불완전한 리소그래픽층의 제거는, 불완전한 제조 단계 이후의 추가 공정용 웨이퍼를 재생산하는데 중요한 단계이다. 중요하게는, 세정제/에칭제(etchant) 조성물는, 알루미늄(aluminum) 또는 구리 접속선(copper interconnected wires)을 가지는 표 면을 제조하는 BEOL에 적용된다. 포토레지스트, BARC 및/또는 갭 필 재료를 제거하는데 이용되는 조성물은 우수한 금속성(예: 구리(copper), 알루미늄(aluminum), 코발트(cobalt) 등에서 낮은 에칭률)을 가진다.
기술에서 잘 알려진 습식 화학제는, 액상 세정제에서 갭 필 재료 및 BARC의 상대적인 불용성, 액상 세정제에 의해 야기되는 절연성 재료에 대한 손상 위험, 금속, 즉, Cu 접속에 바람직하지 않은 심각한 손상 및 레지스트층이 후 공정(later process) 중에 웨이퍼의 표면에 도입될 때 레지스트 중독을 포함하는 단점을 가지고 있다. 더욱이, 기술에서 알려진 습식 화학제는 실온에 가까운 온도에서 비효율적이다.
기술에서 알려진 액상 세정제는 2-피롤리디논 화합물(2-pyrolidinone compound), 디에틸렌 글리콜 모노알킬 에테르(diethylene glycol monoalkyl ether), 폴리글리콜(polyglycol) 및 4차 암모늄 히드록시드(quaternary ammonium hydroxide)를 함유하는 용액을 포함한다(미국특허 4,744,834 참조). 그러나, 상기 용액은 물이 없고, 따라서, 환경적으로 안전한 방식으로 적절하게 제거되어야 할 매우 유해한 물질의 내용물을 가지고 있다.
히드록실아민(hydroxylamine) 용액은 또한 기술에서 포토레지스트용으로 사용되었지만, 상기 용액은, 구리가 집적회로(integrated circuitry)에 채택될 때 특별히 문제가 되는 반 부식 효과와 함께, 용도를 제한하는 부식(corrosion), 독성(toxicity) 및 반응성(reactivity) 문제와 관계가 있다.
갭 필 재료는 실리케이트(silicate) 절연체의 에칭 특성과 유사한 에칭 특성 을 가진다. 불행하게도 상기의 에칭 유사성 때문에, 절연성 재료를 대신하는 갭 필 재료의 선택적인 제거, 금속의 접속, 중지층 에칭은, 습식 화학제를 형성할 때 실질적인 챌린지(challenge)을 나타낸다.
따라서, 금속의 접속 또는 절연성 재료 또는 하부(underlying) 절연성 재료를 손상시키지 않으면서, 저온 및 중성 pHs와 같은 순한 조건하에서 포토레지스트, BARC 재료 및 갭 필 재료를 제거할 개선된 수계 제거 조성물에 대한 지속적인 요청이 있다.
발명의 요약
본 발명은 일반적으로, 기판으로부터 포토레지스트(photoresist), BARC(bottom anti-reflective coating) 재료 및 갭 필(gap fill) 재료를 제거하기 위한 수계 제거 조성물 및 방법에 관한 것이다.
수계 제거 조성물은 불소 소스(fluoride source), 적어도 하나의 유기 아민 소스(organic amine source), 적어도 하나의 유기 용매(organic solvent), 물, 선택적으로 적어도 하나의 킬레이팅제(chelating agent) 및/또는 계면활성제(surfactant)를 포함한다.
일 측면에서, 본 발명은 기판으로부터 포토레지스트(photoresist), BARC(bottom anti-reflective coating) 재료 및/또는 갭 필(gap fill) 재료를 제거하기 위한 수계 제거 조성물에 관한 것이고, 상기 조성물은 불소 소스(fluoride source), 적어도 하나의 유기 아민(organic amine), 적어도 하나의 유기 용매(organic solvent), 물, 선택적으로 적어도 하나의 킬레이팅제(chelating agent) 및 선택적으로 적어도 하나의 계면활성제(surfactant)를 포함한다.
다른 측면에서, 본 발명은 기판으로부터 포토레지스트(photoresist), BARC(bottom anti-reflective coating) 재료, 및 갭 필(gap fill) 재료를 제거하는 방법에 있어서, 상기 방법은 기판으로부터 상기 재료를 적어도 부분적으로 제거할 수 있는 충분한 시간 동안, 기판을 수계 제거 조성물과 접촉시키는 단계를 포함하되, 상기 수계 제거 조성물은 불소 소스, 적어도 하나의 유기 아민, 적어도 하나의 유기 용매, 물, 선택적으로 적어도 하나의 킬레이팅제 및 선택적으로 적어도 하나의 계면활성제를 함유하는 것을 특징으로 하는 방법에 관한 것이다.
또 다른 측면에서, 본 발명의 특성 및 이점은 이하 계속되는 명세서 및 첨부된 청구항으로부터 보다 명백해 질 것이다.
발명의 상세한 설명 및 바람직한 구체예
본 발명은 기판으로부터 포토레지스트, BARC 재료 및 갭 필 재료를 제거하기 위한 수계 제거 조성물을 제공한다. 더욱이, 본 발명은 기판으로부터 상기 재료를 제거하기 위한 수계 제거 조성물을 이용하는 방법을 제공한다.
여기에 사용된 바와 같이, "포토레지스트(photoresist)"는 미처리된(예를 들어, 현상만 된) 또는 처리된(예를 들어, 이온 전이 및 기체상 플라즈마 에칭을 포함하는 공정에 의해 현상되고 순차적으로 단단해진) 레지스트 재료를 가리킨다.
여기에 사용된 바와 같이, "BARC" 재료는 유기 및 무기 BARC 재료를 가리킨다. 유기 BARCs는 폴리설폰(polysulfones), 폴리우레아(polyureas), 폴리우레아 설폰(polyurea sulfones), 폴리아크릴레이트(polyacrylates) 및 폴리(비닐 피리딘)(poly(vinyl pyridine))을 포함하나, 이에 제한되지 않는다. 무기 BARCs는 실리콘 옥시나이트라이드(silicon oxynitrides(SiOxNy))를 포함하나 이에 제한되지 않는다.
참조를 용이하게 하고자, 여기에 정의된 바와 같이 "제거되는 재료(material to be removed)"는 포토레지스트, BARC 및/또는 갭 필 재료를 포함한다.
본 발명의 수계 제거 조성물은 (a)불소 소스, (b)적어도 하나의 유기 아민, (c)적어도 하나의 유기 용매, (d)물 및 (e)선택적으로 킬레이팅제 및/또는 계면활성제를 포함한다.
본 발명의 조성물은, 이하 보다 상세하게 설명되는 바와 같이, 특정 제형의 광범위한 변형으로 구체화된다.
조성물의 특정 성분이 최저 한계가 0인 중량 퍼센트 범위와 관련하여 논의되는 상기의 모든 조성물에서, 상기 성분들은 조성물의 다양한 특정 구체예에서 존재하거나 또는 존재하지 않고, 상기 성분들이 존재할 경우 상기 성분들이 채택된 조성물의 총중량을 기준으로 0.001 중량 퍼센트 정도의 낮은 농도로 존재한다는 것을 알게 될 것이다.
일 측면에서 본 발명은, 접속 금속(예: 구리) 및 절연성 재료와 양립하는 동안, BARC 재료, 포토레지스트 및/또는 갭 필 재료를 제거하기 위한 수계 조성물에 관한 것이다. 수계 조성물은, 절연성 재료의 손상 및 하부 금속의 부식을 야기하지 않고도 반도체 디바이스로부터 제거되는 필수적인 모든 재료를 효과적으로 제거한다. 조성물은, 조성물의 총중량을 기준으로 아래의 범위에서 존재하는, 불소 소스, 적어도 하나의 유기 아민, 적어도 하나의 유기 용매, 물 및 선택적으로 킬레이팅제 및/또는 계면활성제를 포함한다.
성분 중량%
불소 소스 약 0.1% 내지 약 15.0%
적어도 하나의 유기 아민 약 20.0% 내지 약 60.0%
적어도 하나의 유기 용매 약 1.0% 내지 약 60.0%
약 20.0% 내지 약 70.0%
킬레이팅제 0.000% 내지 약 20.0%
계면활성제 0.000% 내지 약 5.0%
여기서, 조성물의 pH는 약 5 내지 약 9의 범위이다.
본 발명의 광범위한 실행에서, 수계 제거 조성물은 불소 소스, 적어도 하나의 유기 아민, 적어도 하나의 유기 용매, 물 및 선택적으로 킬레이팅제 및/또는 계면활성제를 포함하고, 구성되거나 또는 필수적으로 구성된다.
보다 바람직한 구체예에서, 조성물은, 조성물의 총중량을 기준으로 아래의 범위에서 존재하는, 불소 소스, 적어도 하나의 유기 아민, 적어도 하나의 유기 용매, 물 및 계면활성제를 포함한다.
성분 중량%
불소 소스 약 5.0% 내지 약 10.0%
적어도 하나의 유기 아민 약 35.0% 내지 약 40.0%
적어도 하나의 유기 용매 약 10.0% 내지 약 30.0%
약 25.0% 내지 약 45.0%
계면활성제 약 0.05% 내지 약 0.10%
여기서, 조성물의 pH는 약 5 내지 약 9의 범위이다. 본 발명의 광범위한 실행에서, 바람직한 수계 제거 조성물은 불소 소스, 적어도 하나의 유기 아민, 적어도 하나의 유기 용매, 물 및 계면활성제를 포함하고, 구성되거나 또는 필수적으로 구성된다.
상기 조성물들은, 바람직하게는 조성물에서 비활성인 안정제, 분산제, 항산화제, 침투제, 보조제, 첨가물, 충전재(fillers), 부형제 등을 함유하는, 부가적인 성분을 선택적으로 포함한다.
불소 소스는 BARC 재료를 파괴하기 위하여 함유된다. 바람직한 불소 소스는 암모늄 플루오라이드(ammonium fluoride)(NH4F), 암모늄 바이프루오라이드(ammonium bifluoride)((NH4)HF2), 하이드로겐 플루오라이드(hydrogen fluoride)(HF), 테트라알킬암모늄 다이플루오라이드(tetraalkylammonium difluorides)((R)4NHF2, 여기서 R은 메틸, 에틸, 부틸, 페닐 또는 플루오라이드화 Cl-C4 알킬 그룹), 알킬 포스포늄 다이플루오라이드(alkyl phosphonium difluorides)((R)4PHF2, 여기서, R은 메틸, 에틸, 부틸, 페닐 또는 플루오라이드화 Cl-C4 알킬 그룹) 및 트리에틸아민 트리하이드로플루오라이드(triethylamine trihydrofluoride)((C2H5)3NBㆍ3HF)를 포함한다. 특별히 바람직한 구체예에서, 불소 소스는 암모늄 플루오라이드(ammonium fluoride) 또는 암모늄 바이플루오라이드이다(ammonium bifluoride).
불소 소스와 함께 유기 아민의 함유물은, 불소 소스 단일 수용액 및/또는 중간층 절연체(ILD: interlayer dielectic) 부식 저해제와 관련하여, 제거되는 재료에 대한 조성물의 용해도를 증가시키는 역할을 한다. 바람직한 유기 아민은, 히드록시에틸피페라진(HEP: hydroxyethylpiperazine), 히드록시프로필피페라진(HPP: hydroxypropylpiperazine), 아미노에틸피페라진(AEP: aminoethylpiperazine), 아미노프로필피페라진(APP: aminopropylpiperazine), 히드록시에틸몰포린(HEM: hydroxyethylmorpholine), 히드록시프로필몰포린(HPM: hydroxypropylmorpholine), 아미노에틸몰포린(AEM: aminoethylmorpholine), 아미노프로필몰포린(APM: minopropylmorpholine), 트리에탄올아민(TEA: triethanolamine), 펜타메틸디에틸렌트리아민(PMDETA: pentamethyldiethylenetriamine), 디메틸아미노에톡시에탈올(DMAEE: dimethylaminoethoxyethanol), 아미노에톡시에탄올(AEE: aminoethoxyethanol), 에틸렌 우레아(EU: ethylene urea), 트리메틸아미노에틸에탄올아민(TMAEEA: trimethylaminoethylethanolamine), 트리메틸아미노프로필에탄올아민(TMAPEA: trimethylaminopropylethanolamine), N-(2-시아노에틸)에틸렌디아민(CEEDA: N-(2-cyanoethyl)ethylenediamine) 및 N-(2-시아노프로필)에틸렌디아민(CPEDA: N-(2-cyanoproyl)ethylenediamine)을 포함하나 이에 제한되지 않는다. 특히 바람직한 유기 아민은 에틸렌 우레아, 히드록시에틸몰포린 및 N-(2-시아노에틸)에틸렌디아민을 포함한다.
불소 소스와 함께 유기 용매의 함유물은, 불소 소스 단일 수용액과 관련하여, 제거되는 재료를 위한 조성물의 용해도를 증가시키는 역할을 한다. 바람직한 유기 용매는, 1,4-부탄디올(1,4-BD: 1,4-butanediol), 1,3-부탄디올(1,3-BD: 1,3-butanediol), 에틸렌 글리콜(EG: ethylene glycol), 프로필렌 글리콜(PG: propylene glycol), N-메틸-2-피롤리돈(NMP: N-methyl-2-pyrrolidone), DMSO, r-부티로락톤(GBL: r-butyrolactone), 프로필렌 글리콜 모노메틸 에테르(PGME: propylene glycol monomethyl ether), 프로필렌 글리콜 모노메틸 에테르 아세테이트(PGMEA: propylene glycol monomethyl ether acetate), 디에틸렌글리콜 모노벤질에테르(BzDG: diethyleneglycol monobenzylether),에틸 락테이트(EL: ethyl lactate), 암모늄 락테이트(AL: ammonium lactate) 및 디메틸 아세트아미드(DMAc: dimethyl acetamide)를 포함하나, 이에 제한되지 않는다. 특히 바람직한 유기 용매는 프로필렌 글리콜 모노메틸 에테르, 1,4-부탄디올, r-부티로락톤, N-메틸-2-피롤리돈 및 암모늄 락테이트를 포함한다.
킬레이팅제가 존재한다면, 금속 표면, 특히 금속성 구리를 선택적으로 결합시켜 패시베이트(passivate) 금속을 제공한다. 상기 조성물에서 킬레이팅제는 적합한 모든 유형일 될 수 있고, 이미노디아세틱 산(IDA: iminodiacetic acid), 보릭 산(BA: boric acid), 암모늄 보레이트(ammonium borate), 암모늄 테트라보레이트(ammonium tetraborate), 글루코닉 산(gluconic acid), 마니톨(mannitol) 및 솔비톨(sorbitol)을 포함하나 이에 제한되지 않는다. 특히 바람직한 킬레이팅제는 보릭 산 및 이미노디아세틱 산을 포함한다.
계면활성제가 존재한다면, 용액 속으로 잔여물을 끌어올림으로써, 그리고 도 1에서 나타난 바와 같이 습식 화학성 제형이 트렌치(trenches) 및 비아(vias)를 침투한다는 것을 확인시키는 표면 장력을 낮춤으로써,포토레지스트, BARC 재료 및/또는 갭 필 재료의 제거량을 증가시키는 역할을 할 수도 있다. 바람직한 계면활성제는, (C1-C15) 알킬 글루코사이드((C1-C15) alkyl glucosides), (C1-C15) 알킬 에틸렌 옥사이드/프로필렌 옥사이드((C1-C15) alkyl ethylene oxide/propylene oxide), (C1-C10) 알킬 페녹시 에틸렌 옥사이드/프로필렌 옥사이드((C1-C10) alkyl phenoxy ethylene oxide/propylene oxide), 및 옥시란(oxirane), 메틸-(methyl-), 옥시란을 가지는 폴리머(polymer), 2,2'-(옥시도이미노)비스(에탄올)(2:1)(2,2'- (oxidoimino)bis(ethanol)(2:1))를 가지는 에테르(ether) 또는 N(-3-(C(-11-이소알킬옥시)프로필))(N(-3-(C(-11-isoalkyloxy)propyl))) 유도체를 포함하나 이에 제한되지 않는다. 특히 바람직한 계면활성제는 데실 글루코사이드(decyl glucoside), AO-405 및 AO-455와 같은 알킬 EOPO 아민 옥사이드, CA-520, CO-630, CA- 720 및 CO-890과 같은 EO 옥틸페놀, 및 CO-210, CO-520, CO-990 및 DM-970과 같은 EO 노닐페놀을 포함하나 이에 제한되지 않는다. 가장 바람직하게, 사용된 계면활성제는 발포력이 낮다.
본 발명의 수계 조성물은 호모지니어스(homogemeous) 조건에 대해 각각의 성분의 단순한 부가 및 혼합에 의해 용이하게 형성된다.
적용에서, 수계 조성물은 제거된 재료에 대해서 적합한 모든 방식으로, 예를 들어, 제거된 재료의 표면상에 수계 조성물을 스프레이(sparaying)함으로써, 제거된 재료를 함유하는 웨이퍼를 디핑(dipping)(수계 조성물의 부피내에서)함으로써, 제거된 재료를 함유하는 웨이퍼를, 수계 조성물과 함께 포화된 다른 재료, 예를 들어, 패드(pad) 또는 섬유질 흡수 기구의 요소와 접촉시킴으로써 및 수계 조성물이 제거된 재료와 함께 제거를 위한 접촉을 하는 모든 다른 적합한 수단, 방식 또는 기법으로 도입된다.
반도체 제조 공정에 도입되어, 본 발명의 수계 조성물은 상기 재료가 증착된 기판 및 반도체 디바이스 구조물로부터 포토레지스트, BARC 재료 및/또는 갭 필 재료를 제거하는데 유용하게 이용된다. 더욱이, 수계 조성물은 리소그래픽 공정 중의 실패로 인한 웨이퍼 재활용 공정, 및 애싱(ashing) 공정 이용에 대한 요구가 있건 없건간에 비아/트렌치를 형성하는데 유용하게 사용된다.
본 발명의 조성물은, 반도체 기판, 예를 들어, ILD 구조물, 금속의 접속, 장벽층 등에 존재할 수도 있는 다른 재료와 관련하여, 상기 포토레지스트, BARC 재료 및/또는 갭 필 재료에 대한 선택성 덕분에, 매우 효율적인 방식으로 상기 재료의 제거를 달성한다.
반도체 기판으로부터 포토레지스트, BARC 재료 및/또는 갭 필 재료를 제거하는 본 발명의 조성물 용도에 있어서, 수계 조성물은 전형적으로 약 1분 내지 약 20분, 바람직하게는 약 1분 내지 약 5분의 시간동안, 약 21℃ 내지 약 40℃ 범위의 온도에서 기판과 접촉한다. 상기 접촉 시간 및 온도는 구체예이고, 모든 다른 적합한 시간 및 온도 조건은, 본 발명의 광범위한 실행의 범위 내에서 기판으로부터 포토레지스트, BARC 재료 및/또는 갭 필 재료를 적어도 부분적으로나마 제거하는데 효율적으로 이용된다. 바람직하게는, 광학 현미경으로 결정된 바와 같이, 포토레지스트, BARC 재료 및/또는 갭 필 재료의 적어도 90%가 본 발명의 수계 조성물을 사용한 기판으로부터 제거된다. 가장 바람직하게는, 99.9% 이상의 재료가 제거된다.
본 발명의 조성물에 대하여 부여된 마지막 적용에서 바람직하고 효율적이었던 바와 같이, 바람직한 제거 작용의 달성에 이어서, 수계조성물은, 이전에 적용된 적이 있는, 예를 들어, 린스(rinse), 워시(wash) 또는 다른 제거 단계에 의해서 기판 또는 아티클로부터 제거된다.
본 발명의 특성 및 이점은 계속되는 아래의 비제한적인 실시예에 의해 보다 상세히 구체화되고, 상기 모든 부분 및 백분율은, 명백히 서술되지 않으면 중량에 의한다.
도 1은 계면활성제 존재하에서 향상된 트렌치(trench) 및 비아(via) 침투성을 나타내는 일반도이다.
도 2A는 2분 동안 본 발명의 제형 I에 담근 후에 KrF 레지스트로 코팅된 TEOS 웨이퍼 및 BARC층의 광학 현미경 사진이다.
도 2B는 누적된 총 3분 동안 본 발명의 제형 I에 담근 후에 도2A와 동일한 TEOS 웨이퍼의 광학 현미경 사진이다.
도 3A는 3분 동안 본 발명의 제형 J에 담근 후에 KrF 레지스트로 코팅된 TEOS 웨이퍼 및 BARC층의 광학 현미경 사진이다.
도 3B는 누적된 총 5분 동안 본 발명의 제형 J에 담근 후에 도 3A의 TEOS 웨이퍼의 광학 현미경 사진이다
실시예 1
KrF 레지스트(UV113, Shipley Co., Marlborough, Mass.) 및 BARC(DUV42P, Nissan Chemical Industries, Ltd. , Tokyo, Japan)는 노출된 테트라-에틸-오쏘-실리케이트(TEOS: tetra-ethyl-ortho-silicate) 웨이퍼상에 코팅되었다. 레지스트/BARC 코팅된 웨이퍼는, 기술에서 잘 알려진 방법을 이용하여 레지스트-패턴화된 웨이퍼를 제조하기 위하여 리소그래픽하게 현상되었다. 각 웨이퍼는, 표시된 시간동안 표시된 온도에서, 제형 A 내지 K에 담구어졌다(하기의 표 1 및 2 참조). 담금에 이어서, 웨이퍼는 제형로부터 제거되어, 탈이온화된(deionized) 물로 세정되고 건조되었다. 에칭된(etched) TEOS의 분량 및 각 제형의 레지스트/BARC 스트리핑 능력은 광학 현미경 검사를 이용하여 결정되었다.
1
성분 A B C D
탈이온화된 물 25 중량% 25 중량% 31.5 중량% 5 중량%
HF ­ ­ ­ 30 중량%
NH4F 1 중량% 1 중량% ­ ­
NH4F/HF ­ ­ 6.5 중량% ­
EU ­ ­ 21 중량% ­
HEM ­ ­ 21 중량% 30 중량%
CEEDA ­ ­ ­ 7 중량%
1,4-부탄디올 ­ ­ 20 중량% ­
GBL 24.5 중량% 24.5 중량% ­ ­
NMP ­ ­ ­ 28 중량%
DMAc 29 중량% 29 중량% ­ ­
알루미늄 락테이트 20 중량% 18.5 중량% ­ ­
보릭 산 ­ 2 중량% ­ ­
IDA 0.5 중량% ­ ­ ­
총 TEOS 에치(etch) 5 nm 5 nm 90 nm ­
레지스트/BARC 스트리핑 100 % 100 % 100 % < 50 %
처리 온도 40 ℃ 40 ℃ 40 ℃ 40 ℃
처리 시간 15 분 15 분 10 분 5 분
2
E F G H I J K
탈이온화된 물 42 중량% 42 중량% 42 중량% 40 중량% 40 중량% 30 중량% 30 중량%
NH4F/HF 8 중량% 8 중량% 8 중량% 10 중량% 8 중량% 8 중량% 8 중량%
HEM 30 중량% 30 중량% 30 중량% 30 중량% 30 중량% 30 중량% 30 중량%
CEEDA 7 중량% 7 중량% 7 중량% 7 중량% 7 중량% 7 중량% 7 중량%
PGME 10 중량% 13 중량% 13 중량% 15 중량% 25 중량% 24 중량%
EL ­ 10 중량% ­ ­ ­ ­ ­
IDA 3 중량% 3 중량% ­ ­ ­ ­ ­
알루미늄 테트라보레이트 ­ ­ ­ ­ ­ ­ 1 중량%
데실 글루코사이드 ­ ­ 0.05중량% ­ 0.05중량% ­ ­
총 TEOS 에치 50 nm 40 nm 20 nm 14 nm 5 nm 4.5 nm 8.7 nm
총 th-SiO2 에치 ­ ­ ­ ­ 1.1 nm 0.71 nm ­
레지스트/BARC 제거 100 % 100 % 100 % 100 % 100 % 100 % 100 %
처리 온도 40 ℃ 40 ℃ 30 ℃ 25 ℃ 40 ℃ 25 ℃ 40 ℃
처리 시간 20 분 20 분 20 분 20 분 3 분 5 분 5 분
하부 TEOS를 최소한으로 에칭하는 동안, 표 1에서 설명된 제형 A 및 B는 효과적인 레지스트/BARC 스트리퍼(stripper)였다. 공교롭게도, 처리 시간(대략 15분)은 상당했고, 이것은 제형 A 및 B가 충분한 레지스트/BARC 스트리핑 능력을 가지지 못한다는 것을 가리킨다. 표 2에서 설명된 제형 E 내지 H는 유해하게 높은 TEOS 에칭률을 가지고, 또한 충분한 레지스트/BARC 스트리핑 능력을 가지지 못했다. 더욱이, IDA를 함유한 제형이 웨이퍼상에 화학적 잔여물을 남겨두었다고 관찰되었다.
제형 A 내지 H를 이용하여 수행한 실험을 기준으로, 제형의 제조법은 5분 이하의 처리 시간, 실온에 가까운 처리 온도 및 하부 TEOS 층의 최소 에칭을 가지는 의도와 함께 IDA가 없도록 변경되었다. 마지막으로, 표 2의 제형 I 내지 K가 준비되고 성공적인 결과가 보고되었다. 도 2 및 3은 각각, 제형 I 및 J에 의해서 스트리핑된 웨이퍼의 광학 현미경 관찰상을 나타낸다. 제형 I에 관하여, 2분 및 3분 뒤에 50% 및 100%에 가까운 레지스트/BARC가 제거되었다(각각 도 2A 및 도 2B 참조). 제형 J에 관하여, 3분 및 5분 뒤에 50% 및 100%에 가까운 레지스트/BARC가 스트리핑되었다(각각 도 3A 및 도 3B 참조).
실시예 2
제형 I의 계면활성제가, 널리 알려진 계면활성제 및 스트리핑 능력이 결정된 레지스트/BARC으로 대체된 곳에서 부가적인 실험이 수행되었다. 약 0.10 중량%의 알킬 EOPO 산화 아민(AO-405 또는 AO-455)가 제형 I에 포함되었을 때, 1분동안 40℃에서 레지스트/BARC 재료는 100% 제거되었다.
약 0.10 중량%의 EO-옥틸페놀(CA-520, CA-630, CA720 및 CO-890)이 제형 I에 포함되었을 때, CA-630만이 분동안 40℃에서 레지스트/BARC 재료 100%를 제거하지 못했다. 약 0.10 중량%의 EO-노닐페놀(CO-210, CO-520, CO-990 및 DM-970)이 제형 I에 포함되었을 때, CO-990만이 3분동안 40℃에서 레지스트/BARC 재료 100%를 제거하지 못했다.
본 발명은 구체적인 구체예 및 특징과 관련하여 여기에 다양하게 설명되었지만, 상기에 설명된 구체예 및 특성이 본 발명을 한정시키려는 의도가 아니며, 다른 변형, 수정 및 다른 구체예는, 본 명세서에 기초하여, 기술 내의 원천 기법을 제시할 것이다. 따라서 본 발명은, 이하 설명되는 청구범위의 사상 및 범위 내에서, 상기 변형, 수정 및 대체적인 구체예를 내포하면서 광범위하게 해석될 것이다.

Claims (43)

  1. 불소 소스(fluoride source), 적어도 하나의 유기 아민(organic amine), 적어도 하나의 유기 용매(organic solvent), 물, 선택적으로 적어도 하나의 킬레이팅제(chelating agent) 및 선택적으로 적어도 하나의 계면활성제(surfactant)를 포함하는, 기판으로부터 포토레지스트(photoresist), BARC(bottom anti-reflective coating) 재료 및/또는 갭 필링(gap fill) 재료를 제거하기 위한 수계 제거 조성물.
  2. 제1항에 있어서, 조성물 총중량을 기준으로, 아래의 성분을 포함하는 조성물:
    0.1 중량% 내지 15.0 중량% 불소 소스(fluoride source);
    20.0 중량% 내지 60.0 중량% 총 유기 아민(total organic amine);
    1.0 중량% 내지 60.0 중량% (total organic solvent);
    20.0 중량% 내지 70.0 중량% 물(water);
    선택적으로 0.000 중량% 내지 -20.0 중량% 킬레이팅제(chelating agent); 및
    선택적으로 0.000 중량% 내지 5.0 중량% 계면활성제(surfactant),
    여기서, 상기 조성물 성분의 총 중량 퍼센트는 100 중량%를 초과하지 않는다.
  3. 제2항에 있어서, 상기 불소 소스는 암모늄 플루오라이드(ammonium fluoride), 하이드로겐 플루오라이드(hydrogen fluoride), 암모늄 바이플루오라이드(ammonium bifluoride), 테트라알킬암모늄 다이플루오라이드(tetraalkylammonium difluoride), 알킬 포스포늄 다이플루오라이드(alkyl phosphonium difluoride) 및 트리에틸아민 트리하이드로플루오라이드(triethylamine trihydrofluoride)로 구성된 군으로부터 선택되는 불소-함유 화합물을 포함하는 조성물.
  4. 제2항에 있어서, 상기 불소 소스는 암모늄 플루오라이드를 포함하는 조성물.
  5. 제2항에 있어서, 상기 적어도 하나의 유기 아민은 히드록시에틸피페라진(hydroxyethylpiperazine), 히드록시프로필피페라진( hydroxypropylpiperazine), 아미노에틸피페라진(aminoethylpiperazine), 아미노프로필피페라진(aminopropylpiperazine), 히드록시에틸몰포린(hydroxyethylmorpholine), 히드록시프로필몰포린(hydroxypropylmorpholine), 아미노에틸몰포린(aminoethylmorpholine), 아미노프로필몰포린(aminopropylmorpholine), 트리에탈올아민(triethanolamine), 펜타메틸디에틸렌트리아 민(pentamethyldiethylenetriamine), 디메틸아미노에톡시에탄올(dimethylaminoethoxyethanol), 아미노에톡시에탄올(aminoethoxyethanol), 에틸렌 우레아(ethylene urea), 트리메틸아미노에틸에탄올아민(trimethylaminoethylethanolamine), 트리메틸아미노프로필에탄올아민 (trimethylaminopropylethanolamine), N-(2-시아노에틸)에틸렌디아민(N-(2-cyanoethyl)ethylenediamine) 및 N-(2-시아노프로필)에틸렌디아민(N-(2-cyanoproyl)ethylenediamine)으로 구성된 군으로부터 선택되는 아민-함유 화합물을 포함하는 조성물.
  6. 제2항에 있어서, 상기 적어도 하나의 유기 아민은 히드록시에틸몰포린 및 N-(2-시아노에틸)에틸렌디아민으로 구성되는 군으로부터 선택되는 아민-함유 화합물을 포함하는 조성물.
  7. 제2항에 있어서, 상기 적어도 하나의 유기 용매는 1,4-부탄디올(1,4-butanediol), 1,3-부탄디올(1,3-butanediol), 에틸렌 글리콜(ethylene glycol), 프로필렌 글리콜(propylene glycol), N-메틸-2-피롤리돈(N-methyl-2-pyrrolidone), DMSO, r-부티로락톤(r-butyrolactone), 프로필렌 글리콜 모노메틸 에테르(propylene glycol monomethyl ether), 프로필렌 글리콜 모노메틸 에테르 아세테 이트(propylene glycol monomethyl ether acetate), 디에틸렌글리콜 모노벤질에테르(diethyleneglycol monobenzylether),에틸 락테이트(ethyl lactate),암모늄 락테이트(ammonium lactate) 및 디메틸 아세트아미드(dimethyl acetamide)로 구성된 군으로부터 선택되는 화합물을 포함하는 조성물.
  8. 제2항에 있어서, 상기 적어도 하나의 유기 용매는 프로필렌 글리콜 모노메틸 에테르(propylene glycol monomethyl ether)를 포함하는 조성물.
  9. 제2항에 있어서, 킬레이팅제(chelating agent)를 포함하는 조성물.
  10. 제9항에 있어서, 상기 킬레이팅제는 이미노디아세틱 산(iminodiacetic acid), 보릭 산(boric acid), 암모늄 보레이트(ammonium borate), 암모늄 테트라보레이트(ammonium tetraborate), 글루코닉 산(gluconic acid), 마니톨(mannitol) 및 솔비톨(sorbitol)로 구성된 군으로부터 선택되는 화합물을 포함하는 조성물.
  11. 제2항에 있어서, 계면활성제를 포함하는 조성물.
  12. 제11항에 있어서, 상기 계면활성제는 다음으로 구성된 군으로부터 선택되는 화합물을 포함하는 조성물: (C1-C15) 알킬 글루코사이드((C1-C15) alkyl glucosides); (C1-C15) 알킬 에틸렌 옥사이드/프로필렌 옥사이드((C1-C15) alkyl ethylene oxide/propylene oxide); (C1-C10) 알킬 페녹시 에틸렌 옥사이드/프로필렌 옥사이드((C1-C10) alkyl phenoxy ethylene oxide/propylene oxide); 및 옥시란(oxirane), 메틸-(methyl-), 옥시란을 가지는 폴리머(polymer), 2,2'-(옥시도이미노)비스(에탄올)(2:1)(2,2'- (oxidoimino)bis(ethanol)(2:1)) 및 N(-3-(C(-11-이소알킬옥시)프로필))(N(-3-(C(-11-isoalkyloxy)propyl))) 유도체.
  13. 제11항에 있어서, 상기 계면활성제는 데실 글루코사이드(decyl glucoside), AO-405, AO-455, CA-520, CO-630, CA-720 및 CO-890, CO-210, CO-520, CO-990 및 DM-970으로 구성된 군으로부터 선택되는 화합물을 포함하는 조성물.
  14. 제11항에 있어서, 상기 계면활성제는 데실 글루코사이드는 포함하는 조성물.
  15. 제2항에 있어서, 제형 A 내지 E로 구성된 군으로부터 선택되고, 여기서 모든 퍼센트는 각 제형의 총중량을 기준으로 한 중량%인 것을 특징으로 하는 조성물:
    제형 A
    약 8 % NH4/HF;
    약 30 % 히드록시에틸몰포린(hydroxyethylmorpholine);
    약 7 % N-(2-시아노에틸)에틸렌디아민(N-(2-cyanoethyl)ethylenediamine);
    약 15 % 프로필렌 글리콜 모노메틸 에테르(propylene glycol monomethyl ether);
    약 40 % 탈이온화된 물(de-ionized water); 및
    약 0.05 % 데실 글루코사이드(decyl glucoside);
    제형 B
    약 8 % NH4/HF;
    약 30 % 히드록시에틸몰포린(hydroxyethylmorpholine);
    약 7 % N-(2-시아노에틸)에틸렌디아민(N-(2-cyanoethyl)ethylenediamine);
    약 25 % 프로필렌 글리콜 모노메틸 에테르(propylene glycol monomethyl ether); 및
    약 30 % 탈이온화된 물(de-ionized water);
    제형 C
    약 8 % NH4/HF;
    약 30 % 히드록시에틸몰포린(hydroxyethylmorpholine);
    약 7 % N-(2-시아노에틸)에틸렌디아민(N-(2-cyanoethyl)ethylenediamine);
    약 24 % 프로필렌 글리콜 모노메틸 에테르(propylene glycol monomethyl ether);
    약 30 % 탈이온화된 물(de-ionized water); 및
    약 1 % 암모늄 테트라보레이트(ammonium tetraborate);
    제형 D
    약 8 % NH4/HF;
    약 30 % 히드록시에틸몰포린(hydroxyethylmorpholine);
    약 7 % N-(2-시아노에틸)에틸렌디아민(N-(2-cyanoethyl)ethylenediamine);
    약 15 % 프로필렌 글리콜 모노메틸 에테르(propylene glycol monomethyl ether);
    약 40 % 탈이온화된 물(de-ionized water); 및
    약 0.10 % 알킬 EOPO 아민 옥사이드 계면활성제(alkyl EOPO amine oxide surfactant);
    제형 E
    약 8 % NH4/HF;
    약 30 % 히드록시에틸몰포린(hydroxyethylmorpholine);
    약 7 % N-(2-시아노에틸)에틸렌디아민(N-(2-cyanoethyl)ethylenediamine);
    약 15 % 프로필렌 글리콜 모노메틸 에테르(propylene glycol monomethyl ether);
    약 40 % 탈이온화된 물(de-ionized water); 및
    약 0.10 EO 옥틸페놀 계면활성제(octylphenol surfactant) ; 및
    제형 F
    약 8 % NH4/HF;
    약 30 % 히드록시에틸몰포린(hydroxyethylmorpholine);
    약 7 % N-(2-시아노에틸)에틸렌디아민(N-(2-cyanoethyl)ethylenediamine);
    약 15 % 프로필렌 글리콜 모노메틸 에테르(propylene glycol monomethyl ether);
    약 40 % 탈이온화된 물(de-ionized water); 및
    약 0.10 % EO 노닐페놀 계면활성제(nonylphenol surfactant);
    여기서, 상기 조성물 성분의 총 중량 퍼센트는 100 중량%를 초과하지 않는다.
  16. 제11항에 있어서, 조성물의 총중량을 기준으로, 아래의 성분을 포함하는 조성물:
    5.0 중량% 내지 10.0 중량% 불소 소스(fluoride source);
    35.0 중량% 내지 40.0 중량% 총 유기 아민(total organic amine);
    10.0 중량% 내지 30.0 중량% 총 유기 용매(total organic solvent);
    25.0 중량% 내지 45.0 중량% 물(water); 및
    0.05 중량% 내지 0.10 중량% 계면활성제(surfactant),
    여기서, 상기 조성물 성분의 총 중량 퍼센트는 100 중량%를 초과하지 않는다.
  17. 제2항에 있어서, 상기 조성물의 pH는 약 5 내지 약 9의 범위인 것을 특징으로 하는 조성물.
  18. 기판으로부터 포토레지스트(photoresist), BARC(bottom anti-reflective coating) 재료 및 갭 필(gap fill) 재료를 제거하는 방법에 있어서, 상기 방법은 기판으로부터 상기 재료를 적어도 부분적으로 제거할 수 있는 충분한 시간 동안 기판을 수계 제거 조성물과 접촉시키는 단계를 포함하되, 상기 수계 제거 조성물은 불소 소스, 적어도 하나의 유기 아민, 적어도 하나의 유기 용매, 물, 선택적으로 적어도 하나의 킬레이팅제 및 선택적으로 적어도 하나의 계면활성제를 함유는 것을 특징으로 하는 방법.
  19. 제18항에 있어서, 조성물 총중량을 기준으로, 아래의 성분을 포함하는 방법:
    0.1 중량% 내지 15.0 중량% 불소 소스(fluoride source);
    20.0 중량% 내지 60.0 중량% 총 유기 아민(total organic amine);
    1.0 중량% 내지 60.0 중량% 총 유기 용매(total organic solvent);
    20.0 중량% 내지 70.0 중량% 물(water);
    선택적으로 0.000 중량% 내지 20.0 중량% 킬레이팅제(chelating agent); 및
    선택적으로 0.000 중량% 내지 5.0 중량% 계면활성제(surfactant),
    여기서, 상기 조성물 성분의 총 중량 퍼센트는 100 중량%를 초과하지 않는다.
  20. 제18항에 있어서, 상기 기판은 반도체 디바이스 구조를 포함하는 방법.
  21. 제18항에 있어서, 상기 재료는 포토레지스트(photoresist)를 포함하는 방법.
  22. 제18항에 있어서, 상기 재료는 BARC 재료를 포함하는 방법.
  23. 제22항에 있어서, 상기 BARC 재료는, 반도체 디바이스 구조상에서 포토리소그래픽 패터닝(photolithographic patterning) 중에, 반사율을 최소화하기 위해서 반도체 디바이스 구조에 적용되는 것을 특징으로 하는 방법.
  24. 제18항에 있어서, 상기 재료는 갭 필(gap fill) 재료를 포함하는 방법.
  25. 제18항에 있어서, 상기 접촉은 약 1분 내지 약 5분의 시간 동안 수행되는 것을 특징으로 하는 방법.
  26. 제18항에 있어서, 상기 접촉은 약 20℃ 내지 약 40℃ 범위의 온도에서 수행되는 것을 특징으로 하는 방법.
  27. 제19항에 있어서, 상기 불소 소스는 암모늄 플루오라이드(ammonium fluoride), 하이드로겐 플루오라이드(hydrogen fluoride), 암모늄 바이플루오라이드(ammonium bifluoride), 테트라알킬암모늄 다이플루오라이드(tetraalkylammonium difluoride), 알킬 포스포늄 다이플루오라이드(alkyl phosphonium difluoride) 및 트리에틸아민 트리하이드로플루오라이드(triethylamine trihydrofluoride)로 구성된 군으로부터 선택되는 불소-함유 화합물을 포함하는 방법.
  28. 제19항에 있어서, 상기 불소 소스는 암모늄 플루오라이드를 포함하는 방법.
  29. 제19항에 있어서, 상기 적어도 하나의 유기 아민은 히드록시에틸피페라진(hydroxyethylpiperazine), 히드록시프로필피페라진( hydroxypropylpiperazine), 아미노에틸피페라진(aminoethylpiperazine), 아미노프로필피페라진(aminopropylpiperazine), 히드록시에틸몰포린(hydroxyethylmorpholine), 히드록시프로필몰포린(hydroxypropylmorpholine), 아미노에틸몰포 린(aminoethylmorpholine), 아미노프로필몰포린(aminopropylmorpholine), 트리에탄올아민(triethanolamine), 펜타메틸디에틸렌트리아민(pentamethyldiethylenetriamine), 디메틸아미노에톡시에탈올(dimethylaminoethoxyethanol), 아미노에톡시에탄올(aminoethoxyethanol), 에틸렌 우레아(ethylene urea), 트리메틸아미노에틸에탄올아민( trimethylaminoethylethanolamine), 트리메틸아미노프로필에탄올아민 (trimethylaminopropylethanolamine), N-(2-시아노에틸)에틸렌디아민(N-(2-cyanoethyl)ethylenediamine) 및 N-(2-시아노프로필)에틸렌디아민(N-(2-cyanoproyl)ethylenediamine)으로 구성된 군으로부터 선택되는 아민-함유 화합물을 포함하는 방법.
  30. 제19항에 있어서, 상기 적어도 하나의 유기 아민은 히드록시에틸몰포린 및 N-(2-시아노에틸) 에틸렌디아민으로 구성된 군으로부터 선택되는 아민-함유 화합물을 포함하는 것을 특징으로 하는 방법.
  31. 제19항에 있어서, 상기 적어도 하나의 유기 용매는 1,4-부탄디올(1,4-butanediol), 1,3-부탄디올(1,3-butanediol), 에틸렌 글리콜(ethylene glycol), 프로필렌 글리콜(propylene glycol), N-메틸-2-피롤리돈(N-methyl-2-pyrrolidone), DMSO, r-부티로락톤(r-butyrolactone), 프로필렌 글리콜 모노메틸 에테르(propylene glycol monomethyl ether), 프로필렌 글리콜 모노메틸 에테르 아세테이트(propylene glycol monomethyl ether acetate), 디에틸렌글리콜 모노벤질에테르(diethyleneglycol monobenzylether),에틸 락테이트(ethyl lactate), 암모늄 락테이트(ammonium lactate) 및 디메틸 아세트아미드(dimethyl acetamide)로 구성된 군으로부터 선택되는 화합물을 포함하는 방법.
  32. 제19항에 있어서, 상기 적어도 하나의 유기 용매는 프로필렌 글리콜 모노메틸 에테르를 포함하는 방법.
  33. 제19항에 있어서, 킬레이팅제를 포함하는 방법.
  34. 제33항에 있어서, 상기 킬레이팅제는 이미노디아세틱 산(iminodiacetic acid), 보릭 산(boric acid), 암모늄 보레이트(ammonium borate), 암모늄 테트라보레이트(ammonium tetraborate), 글루코닉 산(gluconic acid), 마니톨(mannitol) 및 솔비톨(sorbitol)로 구성된 군으로부터 선택되는 화합물을 포함하는 방법.
  35. 제19항에 있어서, 계면활성제를 포함하는 방법.
  36. 제35항에 있어서, 상기 계면활성제는 다음으로 구성된 군으로부터 선택되는 화합물을 포함하는 방법: (C1-C15) 알킬 글루코사이드((C1-C15) alkyl glucosides); (C1-C15) 알킬 에틸렌 옥사이드/프로필렌 옥사이드((C1-C15) alkyl ethylene oxide/propylene oxide); (C1-C10) 알킬 페녹시 에틸렌 옥사이드/프로필렌 옥사이드((C1-C10) alkyl phenoxy ethylene oxide/propylene oxide); 및 옥시란(oxirane), 메틸-(methyl-), 옥시란을 가지는 폴리머(polymer), 2,2'-(옥시도이미노)비스(에탄올)(2:1)(2,2'- (oxidoimino)bis(ethanol)(2:1))를 가지는 에테르 및 N(-3-(C(-11-이소알킬옥시)프로필))(N(-3-(C(-11-isoalkyloxy)propyl))) 유도체.
  37. 제35항에 있어서, 상기 계면활성제는 데실 글루코사이드(decyl glucoside), AO-405, AO-455, CA-520, CO-630, CA-720 및 CO-890, CO-210, CO-520, CO-990 및 DM-970으로 구성된 군으로부터 선택되는 화합물을 포함하는 방법.
  38. 제35항에 있어서, 상기 계면활성제는 데실 글루코사이드를 포함하는 방법.
  39. 제19항에 있어서, 제형 A 내지 E로 구성된 군으로부터 선택되고, 여기서 모든 퍼센트는 각 제형의 총중량을 기준으로 한 중량%인 것을 특징으로 하는 방법:
    제형 A
    약 8 % NH4/HF;
    약 30 % 히드록시에틸몰포린(hydroxyethylmorpholine);
    약 7 % N-(2-시아노에틸)에틸렌디아민(N-(2-cyanoethyl)ethylenediamine);
    약 15 % 프로필렌 글리콜 모노메틸 에테르(propylene glycol monomethyl ether);
    약 40 % 탈이온화된 물(de-ionized water); 및
    약 0.05 % 데실 글루코사이드(decyl glucoside);
    제형 B
    약 8 % NH4/HF;
    약 30 % 히드록시에틸몰포린(hydroxyethylmorpholine);
    약 7 % N-(2-시아노에틸)에틸렌디아민(N-(2-cyanoethyl)ethylenediamine);
    약 25 % 프로필렌 글리콜 모노메틸 에테르(propylene glycol monomethyl ether); 및
    약 30 % 탈이온화된 물(de-ionized water);
    제형 C
    약 8 % NH4/HF;
    약 30 % 히드록시에틸몰포린(hydroxyethylmorpholine);
    약 7 % N-(2-시아노에틸)에틸렌디아민(N-(2-cyanoethyl)ethylenediamine);
    약 24 % 프로필렌 글리콜 모노메틸 에테르(propylene glycol monomethyl ether);
    약 30 % 탈이온화된 물(de-ionized water); 및
    약 1 % 암모늄 테트라보레이트(ammonium tetraborate);
    제형 D
    약 8 % NH4/HF;
    약 30 % 히드록시에틸몰포린(hydroxyethylmorpholine);
    약 7 % N-(2-시아노에틸)에틸렌디아민(N-(2-cyanoethyl)ethylenediamine);
    약 15 % 프로필렌 글리콜 모노메틸 에테르(propylene glycol monomethyl ether);
    약 40 % 탈이온화된 물(de-ionized water); 및
    약 0.10 % 알킬 EOPO 아민 옥사이드 계면활성제(alkyl EOPO amine oxide surfactant);
    제형 E
    약 8 % NH4/HF;
    약 30 % 히드록시에틸몰포린(hydroxyethylmorpholine);
    약 7 % N-(2-시아노에틸)에틸렌디아민(N-(2-cyanoethyl)ethylenediamine);
    약 15 % 프로필렌 글리콜 모노메틸 에테르(propylene glycol monomethyl ether);
    약 40 % 탈이온화된 물(de-ionized water); 및
    약 0.10 EO 옥틸페놀 계면활성제(octylphenol surfactant) ; 및
    제형 F
    약 8 % NH4/HF;
    약 30 % 히드록시에틸몰포린(hydroxyethylmorpholine);
    약 7 % N-(2-시아노에틸)에틸렌디아민(N-(2-cyanoethyl)ethylenediamine);
    약 15 % 프로필렌 글리콜 모노메틸 에테르(propylene glycol monomethyl ether);
    약 40 % 탈이온화된 물(de-ionized water); 및
    약 0.10 % EO 노닐페놀 계면활성제(nonylphenol surfactant);
    여기서, 상기 조성물 성분의 총 중량 퍼센트는 100 중량%를 초과하지 않는다.
  40. 제35항에 있어서, 조성물의 총중량을 기준으로, 아래의 성분을 포함하는 방법:
    5.0 중량% 내지 10.0 중량% 불소 소스(fluoride source);
    35.0 중량% 내지 40.0 중량% 총 유기 아민(total organic amine);
    10.0 중량% 내지 30.0 중량% 총 유기 용매(total organic solvent);
    25.0 중량% 내지 45.0 중량% 물(water); 및
    0.05 중량% 내지 0.10 중량% 계면활성제(surfactant),
    여기서, 상기 조성물 성분의 총 중량 퍼센트는 100 중량%를 초과하지 않는다.
  41. 제19항에 있어서, 상기 조성물의 pH는 약 5 내지 약 9의 범위인 것을 특징으로 하는 방법.
  42. 제18항에 있어서, 기판을 수계 제거 조성물과 접촉시킨 다음 탈이온화된 물과 접촉시키는 단계를 추가로 포함하는 방법.
  43. 제18항에 있어서, 광학 현미경 검사로 기판을 조사하는 단계 및 수계 제거 조성물의 제거 효율을 결정하는 단계를 추가로 포함하는 방법.
KR1020067011783A 2003-12-02 2004-12-01 레지스트, barc 및 갭 필 재료 스트리핑 케미칼 및방법 KR20060115896A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US52640103P 2003-12-02 2003-12-02
US60/526,401 2003-12-02

Publications (1)

Publication Number Publication Date
KR20060115896A true KR20060115896A (ko) 2006-11-10

Family

ID=34676613

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067011783A KR20060115896A (ko) 2003-12-02 2004-12-01 레지스트, barc 및 갭 필 재료 스트리핑 케미칼 및방법

Country Status (8)

Country Link
US (1) US7888301B2 (ko)
EP (1) EP1690135A4 (ko)
JP (1) JP2007519942A (ko)
KR (1) KR20060115896A (ko)
CN (1) CN1938412A (ko)
CA (1) CA2590325A1 (ko)
TW (1) TW200527136A (ko)
WO (1) WO2005057281A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101026481B1 (ko) * 2007-07-27 2011-04-01 주식회사 하이닉스반도체 반도체 소자의 제조방법
US11618804B2 (en) 2017-11-17 2023-04-04 Lg Chem, Ltd. Polymer and polymer separator comprising same

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG162757A1 (en) 2005-06-07 2010-07-29 Advanced Tech Materials Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
TW200722505A (en) * 2005-09-30 2007-06-16 Rohm & Haas Elect Mat Stripper
CN101356629B (zh) * 2005-11-09 2012-06-06 高级技术材料公司 用于将其上具有低k介电材料的半导体晶片再循环的组合物和方法
JP4666515B2 (ja) * 2006-04-07 2011-04-06 花王株式会社 剥離剤組成物
JP2008191631A (ja) * 2006-08-21 2008-08-21 Tosoh Corp レジスト除去用組成物
SG177915A1 (en) * 2006-12-21 2012-02-28 Advanced Tech Materials Liquid cleaner for the removal of post-etch residues
TWI516573B (zh) * 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
JP2009014938A (ja) * 2007-07-03 2009-01-22 Toagosei Co Ltd レジスト剥離剤組成物
JP4962254B2 (ja) * 2007-10-10 2012-06-27 東ソー株式会社 レジスト除去用組成物及びそれを用いたレジスト除去方法
CN101412949A (zh) * 2007-10-19 2009-04-22 安集微电子(上海)有限公司 一种等离子刻蚀残留物清洗液
TWI460557B (zh) 2008-03-07 2014-11-11 Wako Pure Chem Ind Ltd 半導體表面用處理劑組成物及使用半導體表面用處理劑組成物之半導體表面處理方法
SG188848A1 (en) * 2008-03-07 2013-04-30 Advanced Tech Materials Non-selective oxide etch wet clean composition and method of use
JP5195063B2 (ja) * 2008-06-19 2013-05-08 東ソー株式会社 レジスト剥離液
CN101666984B (zh) * 2008-09-05 2012-08-22 安集微电子科技(上海)有限公司 一种等离子刻蚀残留物清洗液
JP2013533631A (ja) 2010-07-16 2013-08-22 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド エッチング後残渣を除去するための水性洗浄剤
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
EP2606158A4 (en) 2010-08-20 2017-04-26 Entegris Inc. Sustainable process for reclaiming precious metals and base metals from e-waste
TWI619800B (zh) 2010-10-06 2018-04-01 恩特葛瑞斯股份有限公司 選擇性蝕刻金屬氮化物之組成物及方法
KR101891363B1 (ko) 2010-10-13 2018-08-24 엔테그리스, 아이엔씨. 티타늄 니트라이드 부식을 억제하기 위한 조성물 및 방법
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
JP5871562B2 (ja) * 2011-11-01 2016-03-01 東京応化工業株式会社 フォトリソグラフィ用剥離液及びパターン形成方法
CN104145324B (zh) 2011-12-28 2017-12-22 恩特格里斯公司 用于选择性蚀刻氮化钛的组合物和方法
JP2015512971A (ja) 2012-02-15 2015-04-30 インテグリス,インコーポレイテッド 組成物を使用したcmp後除去及び使用方法
TW201406932A (zh) 2012-05-18 2014-02-16 Advanced Tech Materials 用於自包含氮化鈦之表面脫除光阻劑之組成物及方法
JP6157081B2 (ja) * 2012-09-24 2017-07-05 東京応化工業株式会社 フォトリソグラフィ用剥離液、及びパターン形成方法
WO2014089196A1 (en) 2012-12-05 2014-06-12 Advanced Technology Materials, Inc. Compositions for cleaning iii-v semiconductor materials and methods of using same
US9102901B2 (en) * 2012-12-20 2015-08-11 Rohm And Haas Electronic Materials Llc Methods and compositions for removal of metal hardmasks
KR102294726B1 (ko) 2013-03-04 2021-08-30 엔테그리스, 아이엔씨. 티타늄 나이트라이드를 선택적으로 에칭하기 위한 조성물 및 방법
CN111394100A (zh) 2013-06-06 2020-07-10 恩特格里斯公司 用于选择性蚀刻氮化钛的组合物和方法
CN103343060B (zh) * 2013-07-17 2014-06-04 常熟奥首光电材料有限公司 一种蓝宝石衬底晶片清洗液、制备方法、用途和清洗方法
KR102338526B1 (ko) 2013-07-31 2021-12-14 엔테그리스, 아이엔씨. Cu/W 호환성을 갖는, 금속 하드 마스크 및 에칭-후 잔여물을 제거하기 위한 수성 제형
EP3039098B1 (en) 2013-08-30 2020-09-30 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
TWI654340B (zh) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
US20160322232A1 (en) 2013-12-20 2016-11-03 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
KR102290209B1 (ko) 2013-12-31 2021-08-20 엔테그리스, 아이엔씨. 규소 및 게르마늄을 선택적으로 에칭하기 위한 배합물
EP3099839A4 (en) 2014-01-29 2017-10-11 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
JP2017519862A (ja) * 2014-06-04 2017-07-20 インテグリス・インコーポレーテッド 金属、誘電体および窒化物適合性を有する、反射防止コーティング洗浄およびエッチング後残留物除去組成物
CN107155367B (zh) * 2014-06-30 2021-12-21 恩特格里斯公司 利用钨及钴兼容性移除蚀刻后残余物的含水及半含水清洁剂
KR102310637B1 (ko) 2015-01-12 2021-10-08 삼성전자주식회사 씬너 조성물 및 이를 이용한 반도체 장치의 제조 방법
CN107034028B (zh) * 2015-12-04 2021-05-25 三星电子株式会社 用于除去有机硅树脂的组合物、使用其薄化基材和制造半导体封装体的方法及使用其的系统
US10894935B2 (en) 2015-12-04 2021-01-19 Samsung Electronics Co., Ltd. Composition for removing silicone resins and method of thinning substrate by using the same
US10761423B2 (en) * 2017-08-30 2020-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical composition for tri-layer removal
CN109634071B (zh) * 2019-01-23 2022-04-12 福建省佑达环保材料有限公司 一种用于显示面板和半导体领域的水基型光刻胶剥离液
CN110200989B (zh) * 2019-06-14 2020-06-09 广州泰道安医疗科技有限公司 一种用于临床的稳定的杀菌消毒溶液
US20230340370A1 (en) 2020-08-25 2023-10-26 Basf Se Composition, Its Use And A Process For Removing Post-Etch Residues
TWI749964B (zh) * 2020-12-24 2021-12-11 達興材料股份有限公司 鹼性清洗組合物、清洗方法和半導體製造方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3236220B2 (ja) * 1995-11-13 2001-12-10 東京応化工業株式会社 レジスト用剥離液組成物
US6755989B2 (en) * 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6896826B2 (en) * 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
JPH11305437A (ja) * 1998-04-21 1999-11-05 Toshiba Corp パターン形成方法
JP2001100436A (ja) * 1999-09-28 2001-04-13 Mitsubishi Gas Chem Co Inc レジスト剥離液組成物
US6319835B1 (en) * 2000-02-25 2001-11-20 Shipley Company, L.L.C. Stripping method
KR100540525B1 (ko) 2000-04-26 2006-01-11 다이킨 고교 가부시키가이샤 세정용 조성물
JP2001305752A (ja) * 2000-04-26 2001-11-02 Daikin Ind Ltd 微細パターン用ポリマー剥離液組成物
JP4959095B2 (ja) * 2000-07-10 2012-06-20 イーケイシー テクノロジー インコーポレーテッド 半導体デバイスの有機及びプラズマエッチング残さの洗浄用組成物
MY143399A (en) 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
JP3403187B2 (ja) * 2001-08-03 2003-05-06 東京応化工業株式会社 ホトレジスト用剥離液
US6773873B2 (en) * 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
WO2003091376A1 (en) * 2002-04-24 2003-11-06 Ekc Technology, Inc. Oxalic acid as a cleaning product for aluminium, copper and dielectric surfaces
JP2004029276A (ja) * 2002-06-25 2004-01-29 Mitsubishi Gas Chem Co Inc 銅配線基板向け含フッ素レジスト剥離液
TW200505975A (en) * 2003-04-18 2005-02-16 Ekc Technology Inc Aqueous fluoride compositions for cleaning semiconductor devices
US20050089489A1 (en) * 2003-10-22 2005-04-28 Carter Melvin K. Composition for exfoliation agent effective in removing resist residues
US6946396B2 (en) * 2003-10-30 2005-09-20 Nissan Chemical Indusries, Ltd. Maleic acid and ethylene urea containing formulation for removing residue from semiconductor substrate and method for cleaning wafer
JP2005209953A (ja) * 2004-01-23 2005-08-04 Tokyo Ohka Kogyo Co Ltd 剥離洗浄液、該剥離洗浄液を用いた半導体基板洗浄方法および金属配線形成方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101026481B1 (ko) * 2007-07-27 2011-04-01 주식회사 하이닉스반도체 반도체 소자의 제조방법
US11618804B2 (en) 2017-11-17 2023-04-04 Lg Chem, Ltd. Polymer and polymer separator comprising same

Also Published As

Publication number Publication date
EP1690135A4 (en) 2007-05-09
US7888301B2 (en) 2011-02-15
CA2590325A1 (en) 2005-06-23
WO2005057281A3 (en) 2006-10-19
JP2007519942A (ja) 2007-07-19
TW200527136A (en) 2005-08-16
CN1938412A (zh) 2007-03-28
EP1690135A2 (en) 2006-08-16
WO2005057281A2 (en) 2005-06-23
US20080006305A1 (en) 2008-01-10

Similar Documents

Publication Publication Date Title
US7888301B2 (en) Resist, barc and gap fill material stripping chemical and method
US10711227B2 (en) TiN hard mask and etch residue removal
KR100700998B1 (ko) 기판으로부터 잔사를 제거하기 위한 조성물 및 그의 사용방법
US7528098B2 (en) Semiconductor process residue removal composition and process
JP6339555B2 (ja) 高いwn/w選択率を有するストリッピング組成物
US6773873B2 (en) pH buffered compositions useful for cleaning residue from semiconductor substrates
JP4473277B2 (ja) フォトレジスト残渣を除去するためのpHバッファー水性クリーニング組成物およびその方法
KR101431406B1 (ko) 금속 및 유전체 상용성 희생 반사 방지 코팅 세정 및 제거 조성물
US7479474B2 (en) Reducing oxide loss when using fluoride chemistries to remove post-etch residues in semiconductor processing
US7674755B2 (en) Formulation for removal of photoresist, etch residue and BARC
EP1688798B1 (en) Aqueous based residue removers comprising fluoride
KR101226533B1 (ko) 포토레지스트 잔류물 및 폴리머 잔류물 제거 조성물
JP5886946B2 (ja) 銅、タングステンおよび多孔質低κ誘電体に対する増強された相溶性を有する半水溶性ポリマー除去組成物
US20090203566A1 (en) Semi Conductor Process Residue Removal Composition and Process
JP2004533010A (ja) レジスト除去剤組成物
KR102397091B1 (ko) 레지스트 박리액 조성물 및 이를 이용한 레지스트의 박리방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application