TWI592468B - 選擇性移除灰化旋塗玻璃之方法 - Google Patents

選擇性移除灰化旋塗玻璃之方法 Download PDF

Info

Publication number
TWI592468B
TWI592468B TW102108606A TW102108606A TWI592468B TW I592468 B TWI592468 B TW I592468B TW 102108606 A TW102108606 A TW 102108606A TW 102108606 A TW102108606 A TW 102108606A TW I592468 B TWI592468 B TW I592468B
Authority
TW
Taiwan
Prior art keywords
acid
ether
glycol
weight
hydroxide
Prior art date
Application number
TW102108606A
Other languages
English (en)
Other versions
TW201348405A (zh
Inventor
吳幸臻
涂勝宏
Original Assignee
恩特葛瑞斯股份有限公司
恩特葛瑞斯臺灣有限責任公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 恩特葛瑞斯股份有限公司, 恩特葛瑞斯臺灣有限責任公司 filed Critical 恩特葛瑞斯股份有限公司
Publication of TW201348405A publication Critical patent/TW201348405A/zh
Application granted granted Critical
Publication of TWI592468B publication Critical patent/TWI592468B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/06Etching, surface-brightening or pickling compositions containing an inorganic acid with organic material
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/046Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/28Organic compounds containing halogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)

Description

選擇性移除灰化旋塗玻璃之方法
本發明係關於用於自包含金屬閘極材料之基板將一金屬閘極材料相對於第二金屬閘極材料選擇性地移除之組成物及方法。
已將旋塗玻璃(SOG)薄膜使用於半導體裝置中的各種用途,其包括,但不限於:多層金屬化之間的絕緣;用於改良階梯覆蓋率之氧化物或金屬中的輪廓化階梯;防止自動摻雜;回填封裝物;擴散遮罩;及平坦化。
旋塗玻璃組成物係一種可施用至半導體晶圓之表面,及隨晶圓旋轉以提供具有水平頂部表面之塗層的液體、基於矽石之組成物。藉由此技術,旋塗玻璃組成物將填入由各種絕緣及導電區域形成之半導體晶圓之表面中的任何山谷或凹陷區域中。接著乾燥旋塗玻璃塗層以形成固體層,且隨後在高溫下固化以形成硬的基於矽石(玻璃態)層。此硬層可於製備中蝕刻以供進一步加工用。
迄今為止,旋塗玻璃相對於可能經暴露之其他層(諸如層間介電質(ILD)及金屬閘極材料)的移除選擇性不利地相當低。更明確言之,旋塗玻璃相對於ILD及閘極金屬之選擇性蝕刻已由於已知蝕刻劑會輕易地侵蝕SOG、ILD及閘極金屬而極具挑戰性。
因此,提供一種可相對於存在於微電子裝置表面上之諸 如ILD及閘極金屬之其他材料選擇性地移除旋塗玻璃及相關材料之組成物在技藝中將係一項重大進步。
本發明大致係關於相對於存在於基板上之其他材料層選擇性地移除旋塗玻璃之組成物及方法。更佳地,本發明係關於相對於存在於基板上之其他材料層選擇性地移除經處理旋塗玻璃之組成物及方法。其他材料層包括層間介電層及金屬閘極材料諸如TiNx、及TaNx
在一態樣中,描述一種相對於選自由金屬閘極材料、ILD材料、及其組合組成之群之材料選擇性地移除旋塗玻璃之方法,該方法包括使包含旋塗玻璃及該材料之基板與移除組成物接觸,其中該移除組成物相對於該材料選擇性地移除旋塗玻璃。
本發明之其他態樣、特徵及優點將可由隨後之揭示內容及隨附之申請專利範圍而更完整明瞭。
本發明大致係關於相對於存在於基板上之其他材料層選擇性地移除旋塗玻璃之組成物及方法。更佳地,本發明係關於相對於存在於基板上之其他材料層選擇性地移除經處理旋塗玻璃之組成物及方法。其他材料層包括層間介電層及金屬閘極材料諸如TiNx及TaNx
為容易參考起見,「微電子裝置」係對應於經製造用於微電子、積體電路、能量收集、或電腦晶片應用中之半導體基板、平板顯示器、相變記憶體裝置、太陽能面板及包括太陽能電池裝置、光 伏打元件、及微機電系統(MEMS)的其他產品。應瞭解術語「微電子裝置」、「微電子基板」及「微電子裝置結構」不具任何限制意味,且包括任何最終將成為微電子裝置或微電子組件的基板或結構。微電子裝置可為圖案化、毯覆式、控制及/或測試裝置。
如本文所定義之「旋塗玻璃」(SOG)係相當於使用廉價、習知之旋塗沉積技術沉積之矽酸鹽、聚矽氧烷或其他有機矽玻璃樹脂。旋塗玻璃(SOG)係含有溶解於各種溶劑或醇中之矽氧烷、矽酸鹽或有機矽基單體的專利液體溶液。在塗布及固化期間,藉由縮合及釋放水、醇及其他溶劑使單體聚合。經固化材料係具有取決於起始溶液、及塗布及固化過程之機械、化學及電性質的固體薄膜。用於本發明之有機矽玻璃樹脂係具有非結晶結構之聚合物,其包括矽、氧、碳及氫。聚矽氧烷可含有不同濃度的甲基及苯基。於烘烤後,此等旋塗玻璃樹脂具有基本上等同於二氧化矽的蝕刻特性,例如,其可輕易地於(例如)CHF3及O2(或空氣)電漿中進行電漿或反應性離子蝕刻。
如本文所定義之「經處理之旋塗玻璃」係相當於已經加工,以致玻璃層在加工後較其於加工前更多孔的旋塗玻璃。舉例來說,在電漿蝕刻製程期間,旋塗玻璃層損失甚多其之殘餘碳且殘留層為多孔。旋塗玻璃較佳係經電漿蝕刻。
如本文所定義之「金屬閘極材料」係相當於具有對應於半導體基板之中間能隙(mid-gap)之費米(Fermi)能階的材料,諸如Ti、Ta、W、Mo、Ru、Al、La、氮化鈦、氮化鉭、碳化鉭、碳化鈦、氮化鉬、氮化鎢、氧化釕(IV)、氮化鉭矽、氮化鈦矽、氮化鉭碳、氮化鈦碳、鋁化鈦、鋁化鉭、氮化鈦鋁、氮化鉭鋁、氧化鑭、或其組合。應明瞭經揭示為金屬閘極材料之化合物可具有不同的化學計量。因此,氮化 鈦在文中將表示為TiNx,氮化鉭在文中將表示為TaNx,等等。
圖案化金屬層內之金屬線係經所謂「層間介電質」或「夾層介電質」(兩者皆使用ILD之頭字語)之層絕緣。層間介電質使金屬線絕緣,防止與其他金屬線(無論係在相同或另一金屬層中)及與其他電路元件之任何不期望的電接觸。ILD較佳包含低k介電材料。如本文所定義,「低k介電材料」係相當於任何在層狀微電子裝置中使用作為介電材料的材料,其中該材料具有小於約3.5之介電常數。低k介電材料較佳包括低極性材料諸如含矽有機聚合物、含矽之有機/無機混合材料、有機矽酸鹽玻璃(OSG)、TEOS、氟化矽酸鹽玻璃(FSG)、二氧化矽、及摻碳氧化物(CDO)玻璃。應明瞭低k介電材料可具有不同密度及不同孔隙度。
如本文所使用之「蝕刻後殘留物」及「電漿蝕刻後殘留物」係相當於在氣相電漿蝕刻製程(例如,BEOL雙重鑲嵌加工)後殘留的材料。蝕刻後殘留物之性質可為有機、有機金屬、有機矽、或無機,例如,含矽材料、含鈦材料、含氮材料、含氧材料、聚合殘留物材料、含銅殘留物材料(包括氧化銅殘留物)、含鎢殘留物材料、含鈷殘留物材料、蝕刻氣體殘留物(諸如氯及氟)、及其組合。
如本文所使用之「約」係意指相當於所述值之±5%。
「實質上不含」在本文係定義為小於2重量%,較佳小於1重量%,更佳小於0.5重量%,再更佳小於0.1重量%,及最佳0重量%。
如本文所使用之「相對於金屬閘極材料選擇性地移除旋塗玻璃之移除組成物」係相當於約2:1至約1000:1,較佳約2:1至約100:1,及最佳約3:1至約50:1之蝕刻速率選擇性。換言之,當旋塗玻 璃之蝕刻速率為2埃/分鐘(或至高1000埃/分鐘)時,金屬閘極材料之蝕刻速率為1埃/分鐘。
如本文所使用之「相對於ILD材料選擇性地移除旋塗玻璃之移除組成物」係相當於約2:1至約1000:1,較佳約2:1至約100:1,及最佳約3:1至約50:1之蝕刻速率選擇性。換言之,當旋塗玻璃之蝕刻速率為2埃/分鐘(或至高1000埃/分鐘)時,ILD材料之蝕刻速率為1埃/分鐘。
本發明之組成物可以如更完整說明於下文之相當多樣的特定調配物具體實施。
在所有此等組成物中,當參照包括零下限之重量百分比範圍論述組成物之特定組分時,當明瞭在組成物之各種特定具體例中可存在或不存在此等組分,且在存在此等組分之情況中,其可以基於其中使用此等組分之組成物之總重量計低至0.001重量百分比之濃度存在。
在第一態樣中,描述一種相對於金屬閘極材料選擇性地移除旋塗玻璃之方法,該方法包括使包含旋塗玻璃及金屬閘極材料之基板與移除組成物接觸,其中該移除組成物相對於金屬閘極材料選擇性地移除旋塗玻璃。在一具體例中,該旋塗玻璃已經處理。在另一具體例中,該金屬閘極材料包含鈦。在又另一具體例中,該旋塗玻璃已經處理且該金屬閘極材料包含鈦。在又另一具體例中,該旋塗玻璃已經電漿處理且該金屬閘極材料包含鈦。在另一具體例中,該旋塗玻璃已經電漿蝕刻且該金屬閘極材料包含氮化鈦。
在第二態樣中,描述一種相對於ILD材料選擇性地移除旋塗玻璃之方法,該方法包括使包含旋塗玻璃及ILD材料之基板與移 除組成物接觸,其中該移除組成物相對於ILD材料選擇性地移除旋塗玻璃。在一具體例中,該旋塗玻璃已經處理。在另一具體例中,該ILD材料包含低k介電質。在又另一具體例中,該旋塗玻璃已經處理且該ILD材料包含低k介電質。在又另一具體例中,該旋塗玻璃已經電漿蝕刻且該ILD材料包含低k介電質。
在第三態樣中,描述一種相對於金屬閘極材料及ILD材料選擇性地移除旋塗玻璃之方法,該方法包括使包含旋塗玻璃、金屬閘極材料及ILD材料之基板與移除組成物接觸,其中該移除組成物相對於金屬閘極材料及ILD材料選擇性地移除旋塗玻璃。在一具體例中,該旋塗玻璃已經處理。在另一具體例中,該金屬閘極材料包含鈦,更佳為氮化鈦。在又另一具體例中,該ILD包含低k介電質。在又另一具體例中,該旋塗玻璃已經電漿蝕刻且該金屬閘極材料包含鈦。在又另一具體例中,該旋塗玻璃已經電漿蝕刻且該金屬閘極材料包含氮化鈦。該ILD較佳包含低k介電質。
第一至第三態樣之方法在約室溫至約100℃範圍內,較佳約20℃至約60℃之溫度下相對於金屬閘極及/或ILD材料選擇性地移除旋塗玻璃。熟悉技藝人士應明瞭移除時間係視移除係在單一晶圓設備中或多個晶圓設備中進行而異,其中時間較佳係在約10秒至約30分鐘之範圍內。該等接觸時間及溫度係為說明性,可使用任何其他可有效地自基板相對於金屬閘極及/或ILD材料選擇性地移除旋塗玻璃之適宜時間及溫度條件。
金屬閘極材料之移除速率較佳係小於約2埃/分鐘,更佳小於約1埃/分鐘。ILD之移除速率較佳係小於約50埃/分鐘,更佳小於約20埃/分鐘,再更佳小於約10埃/分鐘。此等較佳速率與 約500-2000埃/分鐘之經處理SOG蝕刻速率組合得到在約10:1至大於約100:1範圍內之選擇性。
在第四態樣中,描述一種包含蝕刻劑之移除組成物。較佳地,在第一至第三態樣之方法中使用該包含蝕刻劑之移除組成物。概言之,蝕刻劑包含氟化物來源。因此,在一具體例中,移除組成物係含氟化物之移除組成物,該含氟化物之移除組成物包含至少一種氟化物、至少一種金屬腐蝕抑制劑、水、及視需要之至少一種有機溶劑,其係用於相對於金屬閘極及/或ILD材料選擇性地移除旋塗玻璃。在一較佳具體例中,該含氟化物之移除組成物係經緩衝。在一具體例中,該含氟化物之移除組成物包含至少一種氟化物、至少一種金屬腐蝕抑制劑、及水,由其等所組成,或基本上由其等所組成。在又另一具體例中,該含氟化物之移除組成物包含至少一種氟化物、至少一種金屬腐蝕抑制劑、至少一種有機溶劑、及水,由其等所組成,或基本上由其等所組成。在又另一具體例中,含氟化物之移除組成物包含經緩衝之氟化物、至少一種金屬腐蝕抑制劑、至少一種有機溶劑、及水,由其等所組成,或基本上由其等所組成。在又另一具體例中,該含氟化物之移除組成物包含經緩衝之氟化物、至少一種金屬腐蝕抑制劑、及水,由其等所組成,或基本上由其等所組成。含氟化物之移除組成物的pH較佳小於7。
該水較佳係去離子水。在本發明之一較佳具體例中,在移除組成物與基板接觸之前,含氟化物之移除組成物實質上不含化學機械拋光研磨劑或其他無機顆粒材料、矽酸、界面活性劑、氧化劑、選自由聚丙烯亞胺樹枝狀聚合物(dendrimer)、聚(乙烯基胺)、聚胺、聚醯亞胺基胺、聚乙基亞胺、聚醯胺基胺、聚四級胺、聚乙烯基醯胺、 聚丙烯醯胺、直鏈或分支鏈聚乙烯亞胺、及可包含前述均聚物或由其等組成之共聚物、或其任何組合所組成之群之聚合物質。
該至少一種氟化物來源包括,但不限於,氫氟酸、氟化銨、氟化氫銨、六氟矽酸(HFSA)、六氟矽酸銨、四氟硼酸、四氟硼酸銨、四氟硼酸四丁銨(TBA-BF4)、六氟鉭酸、六氟鉭酸銨、六氟鈦酸、六氟鈦酸銨、及其組合。該氟化物來源較佳包括氟化銨或HFSA。應注意HFSA可自HF及細SiO2或諸如四乙氧基矽烷(TEOS)之四烷氧基矽烷於原位產生。
金屬腐蝕抑制劑較佳抑制金屬閘極材料相對於旋塗玻璃之移除,且其包括,但不限於,硼酸、硼酸銨、抗壞血酸、L(+)-抗壞血酸、異抗壞血酸、抗壞血酸衍生物、五倍子酸、甘胺酸、絲胺酸、脯胺酸、白胺酸、丙胺酸、天冬醯胺酸、天門冬胺酸、麩胺酸、纈胺酸、離胺酸、亞胺二乙酸(IDA)、硼酸、氮基三乙酸、蘋果酸、乙酸、順丁烯二酸、2,4-戊二酮、膦酸諸如1-羥基亞乙基-1,1-二膦酸(HEDP)、1-羥乙烷-1,1-二膦酸、氮基叁(亞甲基膦酸)(NTMP)、N,N,N’,N’-乙二胺四(亞甲基膦酸)(EDTMP)、1,5,9-三吖環十二烷-N,N’,N”-参(亞甲基膦酸)(DOTRP)、1,4,7,10-四吖環十二烷-N,N’,N”,N'''-肆(亞甲基膦酸)(DOTP)、二伸乙三胺五(亞甲基膦酸)(DETAP)、胺基三(亞甲基膦酸)、雙(六亞甲基)三胺膦酸、1,4,7-三吖環壬烷-N,N’,N”-参(亞甲基膦酸)(NOTP)、磷酸之酯;5-胺基-1,3,4-噻二唑-2-硫醇(ATDT)、苯并三唑(BTA)、檸檬酸、乙二胺、草酸、鞣酸、乙二胺四乙酸(EDTA)、尿酸、1,2,4-三唑(TAZ)、甲苯三唑、5-苯基苯并三唑、5-硝基苯并三唑、3-胺基-5-巰基-1,2,4-三唑、1-胺基-1,2,4-三唑、羥基苯并三唑、2-(5-胺基戊基)苯并三唑、1-胺基-1,2,3-三唑、1-胺基-5-甲基-1,2,3-三唑、3-胺基 -1,2,4-三唑、3-巰基-1,2,4-三唑、3-異丙基-1,2,4-三唑、5-苯基硫醇-苯并三唑、鹵基苯并三唑(鹵基=F、Cl、Br或I)、萘并三唑、2-巰基苯并咪唑(MBI)、2-巰基苯并噻唑、4-甲基-2-苯基咪唑、2-巰基噻唑啉、5-胺基四唑、2,4-二胺基-6-甲基-1,3,5-三、噻唑、三、甲基四唑、1,3-二甲基-2-咪唑啶酮、1,5-五亞甲基四唑、1-苯基-5-巰基四唑、二胺甲基三、咪唑啉硫酮、巰基苯并咪唑、4-甲基-4H-1,2,4-三唑-3-硫醇、苯并噻唑、磷酸三甲苯酯、咪唑、吲二唑(indiazole)、苯甲酸、丙二酸、苯甲酸銨、兒茶酚、4-第三丁基兒茶酚、五倍子酚、間苯二酚、氫醌、三聚氰酸、巴比妥酸及衍生物諸如1,2-二甲基巴比妥酸、α-酮酸諸如丙酮酸、腺嘌呤、嘌呤、甘胺酸/抗壞血酸、Dequest 2000、Dequest 7000、對甲苯基硫脲、琥珀酸、膦酸丁烷三羧酸(PBTCA)、及其組合。如微電子裝置之表面包含鋁(例如,Al-Cu合金),則可添加磷酸鹽化合物以抑制其腐蝕。涵蓋的鋁金屬腐蝕抑制劑包括,但不限於,磷酸烷酯(例如,磷酸三異丁酯、磷酸單(2-乙基己基)酯、磷酸參(2-乙基己基)酯、磷酸雙(2-乙基己基)酯、磷酸三丁酯、磷酸2-乙基己酯、二丁基磷酸氫酯)及磷酸、及其衍生物。應明瞭鋁金屬腐蝕抑制劑可與至少一種其他列舉的金屬腐蝕抑制劑組合。較佳地,金屬腐蝕抑制劑包含HEDP、NTMP、IDA、或其任何組合。
第四態樣之組成物的該至少一種有機溶劑可包含選自由乙二醇、丙二醇、二甘醇、二丙二醇、甘油、單甘油酯、二甘油酯、二醇醚、及其組合所組成之群之二醇溶劑,其中該二醇醚包含選自由下列所組成之群之物質:二甘醇單甲基醚、三甘醇單甲基醚、二甘醇單乙基醚、三甘醇單乙基醚、乙二醇單丙基醚、乙二醇單丁基醚、二甘醇單丁基醚(即丁基卡必醇)、三甘醇單丁基醚、乙二醇單己基醚、二 甘醇單己基醚、乙二醇苯基醚、丙二醇甲基醚、二丙二醇甲基醚、三丙二醇甲基醚、二丙二醇二甲基醚、二丙二醇乙基醚、丙二醇正丙基醚、二丙二醇正丙基醚(DPGPE)、三丙二醇正丙基醚、丙二醇正丁基醚、二丙二醇正丁基醚、三丙二醇正丁基醚、丙二醇苯基醚、及其組合。較佳地,第四態樣之該至少一種有機溶劑包含乙二醇。
當含氟化物之移除組成物被緩衝時,較佳將諸如氟化物來源之共軛鹼之鹽或氨之緩衝劑添加至組成物。舉例來說,當氟化物來源為HFSA時,可添加六氟矽酸之鹽,諸如六氟矽酸銨、六氟矽酸鈉、或六氟矽酸鉀。當氟化物來源為HF時,可添加氟化物之鹽,諸如氟化銨或氟化氫銨。可添加氨或氫氧化四級銨(例如,TMAH、TEAH等)來緩衝組成物。應明瞭緩衝劑並不限於此處所列舉者,且熟悉技藝人士可基於所選的氟化物來源輕易地做決定。
在第四態樣之組成物的第一具體例中,含氟化物之移除組成物包含經緩衝之氟化物、二醇溶劑、至少一種金屬腐蝕抑制劑及水,由其等所組成,或基本上由其等所組成。含氟化物之移除組成物可包含經緩衝之氟化物、二醇溶劑、膦酸、及水,由其等所組成,或基本上由其等所組成。或者,含氟化物之移除組成物可包含經緩衝之氟化銨、二醇溶劑、膦酸、及水,由其等所組成,或基本上由其等所組成。在又另一替代例中,含氟化物之移除組成物可包含經緩衝之氟化銨、二醇溶劑、膦酸、至少一種額外的腐蝕抑制劑、及水,由其等所組成,或基本上由其等所組成。較佳地,經緩衝之氟化銨包括氟化銨與氨之組合。因此,在又另一替代例中,含氟化物之移除組成物可包含NH4F、NH3或TMAH、HEDP、IDA、二醇及/或二醇醚溶劑、及水,由其等所組成,或基本上由其等所組成。在又另一替代例中, 含氟化物之移除組成物可包含NH4F、NH3或TMAH、HEDP、IDA、乙二醇、及水,由其等所組成,或基本上由其等所組成。在又另一替代例中,含氟化物之移除組成物可包含NH4F、NH3或TMAH、HEDP、IDA、丙二醇、及水,由其等所組成,或基本上由其等所組成。此等具體例中各者之含氟化物之移除組成物較佳如前所述實質上不含研磨劑或其他無機顆粒材料、矽酸、界面活性劑、氧化劑、及聚合物質。此等具體例中各者之含氟化物之移除組成物的pH較佳係在約3至約7之範圍內。較佳地,此第一具體例之移除組成物具有約0.01重量%至約10重量%之至少一種氟化物、約0.01重量%至約2重量%之緩衝劑、約0.01重量%至約10重量%之至少一種金屬腐蝕抑制劑、約10重量%至約90重量%之至少一種有機溶劑、及約10重量%至約95重量%之水。更佳地,此具體例之移除組成物具有約0.5重量%至約8重量%之至少一種氟化物、約0.01重量%至約1.5重量%之緩衝劑、約0.5重量%至約5重量%之至少一種金屬腐蝕抑制劑、約45重量%至約75重量%之至少一種有機溶劑、及約10重量%至約50重量%之水。
在第四態樣之組成物的第二具體例中,含氟化物之移除組成物包含經緩衝之氟化物、至少一種金屬腐蝕抑制劑及水,由其等所組成,或基本上由其等所組成。含氟化物之移除組成物可包含經緩衝之氟化物、膦酸、及水,由其等所組成,或基本上由其等所組成。或者,含氟化物之移除組成物可包含經緩衝之六氟矽酸、膦酸、及水,由其等所組成,或基本上由其等所組成。在又另一替代例中,含氟化物之移除組成物可包含HFSA、AHFS、HEDP、及水,由其等所組成,或基本上由其等所組成。在又另一替代例中,含氟化物之移除組成物可包含HFSA、AHFS、NTMP、及水,由其等所組成,或基本上由其 等所組成。此等具體例中各者之含氟化物之移除組成物較佳如上所述實質上不含研磨劑或其他無機顆粒材料、矽酸、界面活性劑、氧化劑、氫氧化四級銨、及聚合物質。此等具體例中各者之含氟化物之移除組成物的pH較佳係低於約2,更佳係低於約1。較佳地,此第二具體例之移除組成物具有約0.01重量%至約10重量%之至少一種氟化物、約0.01重量%至約10重量%之緩衝劑、約0.01重量%至約10重量%之至少一種金屬腐蝕抑制劑、及約50重量%至約99重量%之水。更佳地,此具體例之移除組成物具有約1重量%至約8重量%之至少一種氟化物、約1重量%至約5重量%之緩衝劑、約1重量%至約5重量%之至少一種金屬腐蝕抑制劑、及約75重量%至約90重量%之水。
在一較佳具體例中,第四態樣之移除組成物包含約0.01重量%至約10重量%之至少一種氟化物、約0.01重量%至約20重量%之至少一種金屬氮化物腐蝕抑制劑、視需要之至少一種氧化劑、視需要之至少一種界面活性劑、及約55重量%至約99重量%之水,由其等所組成,或基本上由其等所組成。更佳地,第四態樣之移除組成物包含約0.01重量%至約2重量%之至少一種氟化物、約0.01重量%至約10重量%之至少一種金屬氮化物腐蝕抑制劑、視需要之至少一種氧化劑、視需要之至少一種界面活性劑、及約84重量%至約99.5重量%之水,由其等所組成,或基本上由其等所組成。當存在時,至少一種氧化劑之量係約0.01重量%至約10重量%,較佳約0.5重量%至約3重量%。當存在時,至少一種界面活性劑之量係約0.01重量%至約5重量%,較佳約0.01重量%至約1重量%。
在第五態樣中,描述一種包含蝕刻劑之移除組成物。較佳地,該包含蝕刻劑之移除組成物係使用於第一至第三態樣之方法 中。概言之,蝕刻劑包含氫氧化物來源或胺。因此,在一具體例中,鹼性移除組成物包含至少一種氫氧化四級銨或胺、至少一種有機溶劑、至少一種鹼金或鹼土金屬鹽(包括氫氧化物)、水、及視需要之至少一種金屬腐蝕抑制劑,其用於相對於金屬閘極及/或ILD材料選擇性地移除旋塗玻璃。在一具體例中,鹼性移除組成物包含至少一種氫氧化四級銨或胺、至少一種有機溶劑、至少一種鹼金或鹼土金屬鹽、及水,由其等所組成,或基本上由其等所組成。在又另一具體例中,鹼性移除組成物包含至少一種氫氧化四級銨或胺、至少一種有機溶劑、至少一種鹼金或鹼土金屬鹽、至少一種金屬腐蝕抑制劑、及水,由其等所組成,或基本上由其等所組成。鹼性移除組成物之pH較佳係大於10,更佳大於12,及最佳大於13。
水較佳係去離子水。在本發明之一較佳具體例中,鹼性移除組成物實質上不含研磨劑或其他無機顆粒材料、界面活性劑、氧化劑、氟化物來源、或其任何組合。金屬腐蝕抑制劑描述於前文。
至少一種氫氧化四級銨包含化學式[NR1R2R3R4]OH之化合物,其中R1、R2、R3及R4可彼此相同或不同且係選自由氫、直鏈或分支鏈C1-C6烷基(例如,甲基、乙基、丙基、丁基、戊基、及己基)、及經取代或未經取代之C6-C10芳基(例如,苄基)組成之群,包括氫氧化四甲基銨(TMAH)、氫氧化四丙基銨(TPAH)、氫氧化四丁基銨、氫氧化四乙基銨、氫氧化苄基三乙基銨、氫氧化苄基三甲基銨、氫氧化三丁基甲基銨、氫氧化銨、氫氧化四丁基鏻(TBPH)、氫氧化(2-羥乙基)三甲基銨、氫氧化(2-羥乙基)三乙基銨、氫氧化(2-羥乙基)三丙基銨、氫氧化(1-羥丙基)三甲基銨、氫氧化乙基三甲基銨、氫氧化二乙基二甲基銨(DEDMAH)、及其組合。該至少一種胺包含選自由下列組成之群之化 合物:1,1,3,3-四甲基胍(TMG)、碳酸胍、精胺酸、單乙醇胺(MEA)、二乙醇胺(DEA)、三乙醇胺(TEA)、乙二胺、半胱胺酸、及其組合。
用於第五態樣之組成物的該至少一種有機溶劑可包含甲醇、乙醇、異丙醇、及更高碳醇(包括二醇、三醇等)、四氫呋喃(THF)、N-甲基吡咯啶酮(NMP)、環己基吡咯啶酮、N-辛基吡咯啶酮、N-苯基吡咯啶酮、甲酸甲酯、二甲基甲醯胺(DMF)、二甲亞碸(DMSO)、四亞甲亞碸、亞硫酸二甲酯、3-氯-1,2-丙二醇、四亞甲碸(四氫噻吩碸)、乙醚、苯氧基-2-丙醇(PPh)、苯丙酮、乳酸乙酯、乙酸乙酯、苯甲酸乙酯、乙腈、丙酮、乙二醇、丙二醇、二烷、丁內酯、碳酸丁二酯、碳酸乙二酯、碳酸丙二酯、或如前文所述之二醇溶劑。熟悉技藝人士當明瞭當選擇酯或醯胺作為組成物中之有機溶劑時,其較佳在處理前不久與鹼混合,以使兩者之間的反應減至最小。較佳地,第五態樣之該至少一種有機溶劑包含DMSO。
該至少一種鹼金或鹼土金屬鹽可包括鈉、鉀、銣、銫、鎂、鈣、鍶或鋇之任何鹽。涵蓋的鹽包括氯鹽、溴鹽、碘鹽、碳酸鹽、氫氧化物、硫酸鹽、磷酸鹽、乙酸鹽、硝酸鹽、亞硝酸鹽、及亞硫酸鹽。較佳地,該至少一種鹼金或鹼土金屬鹽包含氯化銫或氫氧化銫。
第五態樣之組成物較佳包含氫氧化四級銨或胺、至少一種有機溶劑、鹼金或鹼土金屬鹽、及水,由其等所組成,或基本上由其等所組成。鹼性移除組成物可包含氫氧化四級銨或胺、至少一種有機溶劑、CsCl或CsOH、及水,由其等所組成,或基本上由其等所組成。或者,鹼性移除組成物可包含氫氧化四級銨或胺、DMSO、CsCl或CsOH、及水,由其等所組成,或基本上由其等所組成。在又另一替代例中,鹼性移除組成物可包含BTMAH、DMSO、CsCl或CsOH、及 水,由其等所組成,或基本上由其等所組成。如前所述,此等具體例中各者之鹼性移除組成物較佳實質上不含研磨劑或其他無機顆粒材料、界面活性劑、氧化劑、氟化物來源、或其任何組合。較佳地,此態樣之移除組成物具有約0.01重量%至約40重量%之至少一種氫氧化四級銨或胺、約1重量%至約30重量%之至少一種有機溶劑、約0.01重量%至約5重量%之至少一種鹼金或鹼土金屬鹽、及約10重量%至約95重量%之水。更佳地,此態樣之移除組成物具有約0.1重量%至約20重量%之至少一種氫氧化四級銨或胺、約5重量%至約20重量%之至少一種有機溶劑、約0.1重量%至約3重量%之至少一種鹼金或鹼土金屬鹽、及約50重量%至約90重量%水。
在本發明之另一態樣中,文中所述之任何移除組成物可進一步包含溶解的旋塗玻璃。舉例來說,含氟化物之移除組成物可包含至少一種氟化物、至少一種金屬腐蝕抑制劑、水、溶解的旋塗玻璃、及視需要之至少一種有機溶劑,基本上由其等所組成,或由其等所組成。在另一具體例中,含氟化物之移除組成物可包含經緩衝之氟化物、至少一種金屬腐蝕抑制劑、水、溶解的旋塗玻璃、及視需要之至少一種有機溶劑,基本上由其等所組成,或由其等所組成。在另一具體例中,鹼性移除組成物可包含至少一種氫氧化四級銨或胺、至少一種有機溶劑、至少一種鹼金或鹼土金屬鹽、水、溶解的旋塗玻璃、及視需要之至少一種金屬腐蝕抑制劑,由其等所組成,或基本上由其等所組成。
當明瞭一般實務係製造第四或第五態樣之移除組成物的濃縮形式,以在使用之前稀釋。舉例來說,移除組成物可以更為濃縮的形式製造,其後再在製造商處、在使用前、及/或在工廠在使用 期間用水及/或有機溶劑稀釋。稀釋比可在約0.1份稀釋劑:1份移除組成物濃縮物至約100份稀釋劑:1份移除組成物濃縮物之範圍內。
第四或第五態樣之移除組成物係經由簡單地添加個別成分及混合至均勻狀態而容易地調配得。此外,可輕易地將移除組成物調配為單一包裝調配物或在使用點處或使用點前混合的多份調配物,較佳係多份調配物。多份調配物之個別份可於工具處或於混合區域/範圍諸如線上混合器或於工具上游之儲槽中混合。涵蓋多份調配物之各種部分可包含當混合在一起時形成期望移除組成物之成分/組分的任何組合。在本發明之寬廣實務中,個別成分的濃度可在移除組成物的特定倍數內寬廣地改變,即更稀或更濃,且當明瞭本發明之移除組成物可變化及替代地包含與本文之揭示內容一致之成分的任何組合,由其等所組成,或基本上由其等所組成。
因此,第六態樣係關於一種套組,其包括存於一或多個容器中之一或多種適於形成第四或第五態樣之組成物的組分。套組之容器必需適於儲存及運送該移除組成物,例如,NOWPak®容器(Advanced Technology Materials,Inc.,Danbury,Conn.,USA)。容納個別移除組成物之組分的一或多個容器較佳包括用於使該一或多個容器中之組分流體相通,以進行摻混及配送的構件。舉例來說,參照NOWPak®容器,可對該一或多個容器中之襯裡的外側施加氣體壓力,以導致襯裡之至少一部分的內容物排出,且因此可流體相通而進行摻混及配送。或者,可對習知之可加壓容器的頂部空間施加氣體壓力,或可使用泵於達成流體相通。此外,系統較佳包括用於將經摻混之移除組成物配送至製程工具的配送口。
較佳使用實質上化學惰性、不含雜質、可撓性及彈性的 聚合薄膜材料,諸如高密度聚乙烯,於製造該一或多個容器的襯裡。理想的襯裡材料不需要共擠塑或障壁層來進行加工,且不含任何會不利影響待置於襯裡中之組分之純度需求的顏料、UV抑制劑、或加工劑。理想襯裡材料的清單包括含純粹(無添加劑)聚乙烯、純粹聚四氟乙烯(PTFE)、聚丙烯、聚胺基甲酸酯、聚二氯亞乙烯、聚氯乙烯、聚縮醛、聚苯乙烯、聚丙烯腈、聚丁烯等等的薄膜。此等襯裡材料的較佳厚度係在約5密爾(mil)(0.005英吋)至約30密爾(0.030英吋)之範圍內,例如,20密爾(0.020英吋)之厚度。
關於套組之容器,將以下專利及專利申請案之揭示內容的個別全體併入本文為參考資料:美國專利第7,188,644號,標題「使超純液體中之顆粒產生減至最小的裝置及方法(APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS)」;美國專利第6,698,619號,標題「可回收及再利用的桶中袋流體儲存及配送容器系統(RETURNABLE AND REUSABLE,BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM)」;及2007年5月9日以John E.Q.Hughes之名義提出申請之美國專利申請案第60/916,966號,標題「材料摻混及分佈用的系統及方法(SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION)」;及2008年5月9日提出申請之PCT/US08/63276,標題「材料摻混及分佈用的系統及方法(SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION)」。
在移除應用中,移除組成物(例如,第四或第五態樣之移除組成物)係以任何適當方式施用至裝置基板,例如,經由將移除組成 物噴塗於裝置基板之表面上,經由將裝置基板浸泡於靜態或動態體積之移除組成物中,經由使裝置基板與其上吸收有移除組成物之另一材料(例如,墊、或纖維吸收性塗布器元件)接觸,或藉由任何其他藉以使移除組成物與具有旋塗玻璃、閘極金屬材料及/或ILD材料之裝置基板接觸之適當手段、方式或技術。此外,此處涵蓋分批或單一晶圓加工。
於達成期望的移除作用後,可輕易地將移除組成物自其先前施用的裝置基板移除(例如,經由沖洗、洗滌、或其他移除步驟),此可能係期望且有效的。舉例來說,裝置基板可用包含去離子水之沖洗溶液沖洗及/或乾燥(例如,旋轉乾燥、N2、溶劑(諸如IPA)蒸氣乾燥等)。
本發明之另一態樣係關於根據本發明方法所製得之改良的微電子裝置及包含此等微電子裝置之產品。
本發明之又另一態樣係關於製造包含微電子裝置之物件的方法,該方法包括使微電子裝置與移除組成物接觸足夠的時間,以自其上具有旋塗玻璃、金屬閘極及/或ILD材料之微電子裝置將旋塗玻璃相對於金屬閘極及/或ILD材料選擇性地移除,及將該微電子裝置併入該物件中。該移除組成物可包含至少一種氟化物、至少一種金屬腐蝕抑制劑、水、及視需要之至少一種有機溶劑,由其等所組成,或基本上由其等所組成。或者,移除組成物可包含經緩衝之氟化物、至少一種金屬腐蝕抑制劑、水、及視需要之至少一種有機溶劑,基本上由其等所組成,或由其等所組成。在又另一替代例中,移除組成物可包含至少一種氫氧化四級銨或胺、至少一種有機溶劑、至少一種鹼金或鹼土金屬鹽、水、及視需要之至少一種金屬腐蝕抑制劑,由其等 所組成,或基本上由其等所組成。
在又另一態樣中,描述一種移除蝕刻後殘留物之方法,該方法包括使包含該蝕刻後殘留物之基板與第四態樣之移除組成物接觸,其中該移除組成物適用於自基板移除蝕刻後殘留物。舉例來說,可蝕刻多晶矽且可使用第四態樣之組成物移除殘留的殘留物。較佳地,第四態樣、第一具體例之組成物具有在約3至約7之範圍內的pH。
本發明之特徵及優點由以下的非限制性實施例作更完整說明,其中除非另外明確說明,否則所有份數及百分比係以重量計。
[實施例1]
製備以下組成物。
組成物A:62.50重量%乙二醇、30.80重量% DI水、4.00重量% NH4F、1.00重量% HEDP(60重量%水溶液)、1.50重量% IDA、0.20重量% NH3(濃)
組成物A之pH經測定為約6.4。將具有氮化鈦層、氮化鉭、SOG及ILD之毯覆式晶圓各自於組成物A中在30℃下分別浸泡5、5、0.75及2分鐘。經測得各氮化物之蝕刻速率小於2埃/分鐘。SOG及ILD之蝕刻速率分別為959埃/分鐘及123埃/分鐘,且SOG相對於ILD之選擇性為7.8:1。當用DI水以1:1之重量比稀釋相同組成物時,SOG及ILD在如上述相同條件下之個別蝕刻速率分別減至688及56埃/分鐘,獲得12.4:1之改良選擇性。
[實施例2]
製備以下組成物。
組成物B:71.43重量% DI水、17.86重量% BTMAH(20重量%水溶液)、9.92重量% DMSO、0.79重量% CsCl
組成物B之pH經測定為約14。將具有氮化鈦層、氮化鉭、SOG及ILD之毯覆式晶圓各自於組成物B中在60℃下浸泡1.5分鐘。經測得各氮化物之蝕刻速率小於2埃/分鐘。SOG及ILD之蝕刻速率分別為~1800埃/分鐘及~9埃/分鐘。SOG相對於ILD之選擇性有利地為約200:1。
[實施例3]
製備以下組成物。
組成物C:3.50重量%六氟矽酸銨、1.72重量% HFSA、1重量% NTMP、93.78重量% DI水。
組成物C之pH經測定小於1。將具有氮化鈦層、SOG及ILD之毯覆式晶圓各自於組成物C中在25℃下分別浸泡0.75及2分鐘。經測得氮化鈦之蝕刻速率為0.7埃/分鐘。SOG及ILD之蝕刻速率分別為650埃/分鐘及37.4埃/分鐘,且SOG相對於ILD之選擇性為17.4:1。
密切相關的數據組顯示利用甚低的抑制劑濃度可獲得具有稍微較佳之SOG/ILD選擇性的合理TiN蝕刻速率。明確言之,該組成物包含3.50% AHFS、1.72% HFSA、0.25% NTMP及94.03% DI水。SOG蝕刻速率為689埃/分鐘及ILD蝕刻速率為36.8埃/分鐘,SOG相對於ILD之選擇性為18.7:1,及TiN之蝕刻速率為2.2埃/分鐘。
[實施例4]
製備具有以下組分之組成物D:組成物D去離子水:28.28重量%
pH=約5.2至約5.5
將旋塗玻璃(SOG)、TiN、TaN及Al/AlOx之試樣於該調配物中在25℃下浸泡且測定其等之蝕刻速率。SOG之蝕刻速率為880-900埃/分鐘,TiN為<0.3埃/分鐘,TaN無明顯損傷。關於Al/AlOx試樣,AlOx經移除而對Al無任何損傷。因此,已調配出相對於金屬閘極材料選擇性地移除SOG且不會腐蝕鋁的移除組成物。
相對於金屬閘極材料選擇性地移除SOG且不會腐蝕鋁的其他移除組成物具有以下一般配方:約25重量%至約35重量% DIW,約3重量%至約5重量%氟化銨,約1重量%至約2重量% IDA,約0.5重量%至約1.5重量% HEDP,約57重量%至約70重量%二醇溶劑(例如,EG或PG),約0.5重量%至約2重量%四級鹼(例如,NH4OH或TMAH),約0.1重量%至約0.5重量%磷酸烷酯,及視需要約0.1重量%至約1重量%兒茶酚。pH係在約5.2至約5.5之範圍內。
[實施例5]
製備具有以下一般配方之蝕刻後殘留物移除組成物:約15重量%至約35重量% DIW,約0.5重量%至約1.5重量%氟化銨,約0.25重量%至約2重量% IDA,約0.1重量%至約1重量% HEDP,約 55重量%至約80重量%二醇及/或二醇醚溶劑(例如,EG、PG、二醇醚),約0.1重量%至約1重量%四級鹼(例如,NH4OH或TMAH),及約0.1重量%至約0.5重量%磷酸烷酯。pH係在約5.2至約5.5之範圍內。此等組成物將被用來移除多晶矽蝕刻後殘留物。
雖然本發明已參照例示性具體例及特徵以不同方式揭示於文中,但當明瞭前文描述之具體例及特徵並不意欲限制本發明,且熟悉技藝人士基於文中之揭示內容當可明白其他的變化、修改及其他具體例。因此,應將本發明廣泛地解釋為涵蓋在後文陳述之申請專利範圍之精神及範疇內之所有該等變化、修改及替代具體例。

Claims (20)

  1. 一種蝕刻移除旋塗玻璃(SOG)之方法,該方法包括使包含旋塗玻璃之基板與包含0.5重量%至8重量%之至少一種氟化物、0.01重量%至1.5重量%之至少一種緩衝劑、0.5重量%至5重量%之至少一種金屬腐蝕抑制劑、10重量%至50重量%之水及45重量%至75重量%之至少一種有機溶劑的移除組成物接觸,其中該移除組成物相對於選自由金屬閘極、層間介電質(ILD)及其組合組成之群之材料選擇性地移除該旋塗玻璃,其中該金屬閘極選自由Ti、Ta、W、Mo、Ru、Al、La、氮化鈦、氮化鉭、碳化鉭、碳化鈦、氮化鉬、氮化鎢、氧化釕(IV)、氮化鉭矽、氮化鈦矽、氮化鉭碳、氮化鈦碳、鋁化鈦、鋁化鉭、氮化鈦鋁、氮化鉭鋁、氧化鑭及其組合組成之群;且該ILD選自由含矽有機聚合物、含矽之有機/無機混合材料、有機矽酸鹽玻璃(OSG)、TEOS、氟化矽酸鹽玻璃(FSG)、二氧化矽及摻碳氧化物(CDO)玻璃組成之群。
  2. 如申請專利範圍第1項之方法,其中,該金屬閘極包含鈦。
  3. 如申請專利範圍第1或2項之方法,其中,該金屬閘極之移除速率係低於2埃/分鐘。
  4. 如申請專利範圍第1或2項之方法,其中,該ILD之移除速率係低於50埃/分鐘。
  5. 如申請專利範圍第1或2項之方法,其中,該旋塗玻璃之移除速率係在500至2000埃/分鐘之範圍內。
  6. 如申請專利範圍第1項之方法,其中,該移除組成物之 pH係低於7。
  7. 如申請專利範圍第1項之方法,其中,該至少一種氟化物來源包括選自由下列所組成之群之物質:氫氟酸、氟化銨、氟化氫銨、六氟矽酸(HFSA)、六氟矽酸銨、四氟硼酸、四氟硼酸銨、四氟硼酸四丁銨(TBA-BF4)、六氟鉭酸、六氟鉭酸銨、及其組合。
  8. 如申請專利範圍第1項之方法,其中,該至少一種氟化物來源包括氟化銨。
  9. 如申請專利範圍第1項之方法,其中,該至少一種金屬腐蝕抑制劑包括選自由下列所組成之群之物質:硼酸、硼酸銨、抗壞血酸、L(+)-抗壞血酸、異抗壞血酸、抗壞血酸衍生物、五倍子酸、甘胺酸、絲胺酸、脯胺酸、白胺酸、丙胺酸、天冬醯胺酸、天門冬胺酸、麩胺酸、纈胺酸、離胺酸、亞胺二乙酸(IDA)、硼酸、氮基三乙酸、蘋果酸、乙酸、順丁烯二酸、2,4-戊二酮、1-羥基亞乙基-1,1-二膦酸(HEDP)、1-羥乙烷-1,1-二膦酸、氮基叁(亞甲基膦酸)(NTMP)、N,N,N’,N’-乙二胺四(亞甲基膦酸)(EDTMP)、1,5,9-三吖環十二烷-N,N’,N”-参(亞甲基膦酸)(DOTRP)、1,4,7,10-四吖環十二烷-N,N’,N”,N’”-肆(亞甲基膦酸)(DOTP)、二伸乙三胺五(亞甲基膦酸)(DETAP)、胺基三(亞甲基膦酸)、雙(六亞甲基)三胺膦酸、1,4,7-三吖環壬烷-N,N’,N”-参(亞甲基膦酸)(NOTP)、磷酸之酯;5-胺基-1,3,4-噻二唑-2-硫醇(ATDT)、苯并三唑(BTA)、檸檬酸、乙二胺、草酸、鞣酸、乙二胺四乙酸(EDTA)、尿酸、1,2,4-三唑(TAZ)、甲苯三唑、5-苯基苯并三唑、5-硝基苯并三唑、3-胺基-5-巰基-1,2,4-三唑、1-胺基-1,2,4-三唑、羥基 苯并三唑、2-(5-胺基戊基)苯并三唑、1-胺基-1,2,3-三唑、1-胺基-5-甲基-1,2,3-三唑、3-胺基-1,2,4-三唑、3-巰基-1,2,4-三唑、3-異丙基-1,2,4-三唑、5-苯基硫醇-苯并三唑、鹵基苯并三唑、萘并三唑、2-巰基苯并咪唑(MBI)、2-巰基苯并噻唑、4-甲基-2-苯基咪唑、2-巰基噻唑啉、5-胺基四唑、2,4-二胺基-6-甲基-1,3,5-三、噻唑、三、甲基四唑、1,3-二甲基-2-咪唑啶酮、1,5-五亞甲基四唑、1-苯基-5-巰基四唑、二胺甲基三、咪唑啉硫酮、巰基苯并咪唑、4-甲基-4H-1,2,4-三唑-3-硫醇、苯并噻唑、磷酸三甲苯酯、咪唑、吲二唑(indiazole)、苯甲酸、丙二酸、苯甲酸銨、兒茶酚、4-第三丁基兒茶酚、五倍子酚、間苯二酚、氫醌、三聚氰酸、巴比妥酸、1,2-二甲基巴比妥酸、丙酮酸、腺嘌呤、嘌呤、甘胺酸/抗壞血酸、Dequest 2000、Dequest 7000、對甲苯基硫脲、琥珀酸、膦酸丁烷三羧酸(PBTCA)、磷酸烷酯、磷酸、及其組合。
  10. 如申請專利範圍第1項之方法,其中,該至少一種金屬腐蝕抑制劑包括HEDP、NTMP、IDA、或其任何組合。
  11. 如申請專利範圍第1項之方法,其中,該至少一種緩衝劑選自由氟化物之共軛鹼之鹽、氨、及具有化學式[NR1R2R3R4]OH之氫氧化四級銨組成之群,其中R1、R2、R3及R4可彼此相同或不同且係選自由氫、直鏈C1-C6烷基、分支鏈C1-C6烷基、經取代之C6-C10芳基及未經取代之C6-C10芳基組成之群。
  12. 如申請專利範圍第1項之方法,其中,該至少一種有機溶劑包括選自由下列所組成之群之二醇溶劑:乙二醇、丙二醇、二甘醇、二丙二醇、甘油、單甘油酯、二甘油酯、二 醇醚、及其組合。
  13. 如申請專利範圍第1項之方法,其中,該至少一種有機溶劑包括乙二醇。
  14. 如申請專利範圍第1項之方法,其中,該移除組成物包含經緩衝之氟化物、二醇溶劑、膦酸、及水。
  15. 如申請專利範圍第1項之方法,其中,該移除組成物包含NH4F、HEDP、IDA、乙二醇、及水。
  16. 如申請專利範圍第1項之方法,其中,該移除組成物之pH係在3至7之範圍內。
  17. 如申請專利範圍第1項之方法,其中,該移除組成物實質上不含研磨劑或其他無機顆粒物、矽酸、界面活性劑、氧化劑、選自由聚丙烯亞胺樹枝狀聚合物(dendrimer)、聚(乙烯基胺)、聚胺、聚醯亞胺基胺、聚乙基亞胺、聚醯胺基胺、聚四級胺、聚乙烯基醯胺、聚丙烯醯胺、直鏈或分支鏈聚乙烯亞胺、及可包含前述均聚物或由其等組成之共聚物之聚合物質、或其任何組合。
  18. 如申請專利範圍第1項之方法,其中,該移除組成物進一步包含溶解的旋塗玻璃。
  19. 如申請專利範圍第11項之方法,其中氫氧化四級銨選自由氫氧化四甲基銨(TMAH)、氫氧化四丙基銨(TPAH)、氫氧化四丁基銨、氫氧化四乙基銨、氫氧化苄基三乙基銨、氫氧化苄基三甲基銨、氫氧化三丁基甲基銨、氫氧化銨、氫氧化四丁基鏻(TBPH)、氫氧化(2-羥乙基)三甲基銨、氫氧化(2-羥乙基)三乙基銨、氫氧化(2-羥乙基)三丙基銨、氫氧化(1-羥丙基)三甲基銨、氫氧化乙基三甲基銨、氫氧化二乙基二甲基銨(DEDMAH)、及其組合組成之群。
  20. 如申請專利範圍第12項之方法,其中,該二醇醚包含選自由下列所組成之群之物質:二甘醇單甲基醚、三甘醇單甲基醚、二甘醇單乙基醚、三甘醇單乙基醚、乙二醇單丙基醚、乙二醇單丁基醚、二甘醇單丁基醚、三甘醇單丁基醚、乙二醇單己基醚、二甘醇單己基醚、乙二醇苯基醚、丙二醇甲基醚、二丙二醇甲基醚、三丙二醇甲基醚、二丙二醇二甲基醚、二丙二醇乙基醚、丙二醇正丙基醚、二丙二醇正丙基醚(DPGPE)、三丙二醇正丙基醚、丙二醇正丁基醚、二丙二醇正丁基醚、三丙二醇正丁基醚、丙二醇苯基醚、及其組合。
TW102108606A 2012-03-12 2013-03-12 選擇性移除灰化旋塗玻璃之方法 TWI592468B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201261609658P 2012-03-12 2012-03-12

Publications (2)

Publication Number Publication Date
TW201348405A TW201348405A (zh) 2013-12-01
TWI592468B true TWI592468B (zh) 2017-07-21

Family

ID=49161703

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102108606A TWI592468B (zh) 2012-03-12 2013-03-12 選擇性移除灰化旋塗玻璃之方法

Country Status (7)

Country Link
US (1) US20150075570A1 (zh)
EP (1) EP2826062A4 (zh)
KR (3) KR20140138902A (zh)
CN (1) CN104488068B (zh)
SG (3) SG10201607609YA (zh)
TW (1) TWI592468B (zh)
WO (1) WO2013138276A1 (zh)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9546321B2 (en) 2011-12-28 2017-01-17 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
WO2013123317A1 (en) 2012-02-15 2013-08-22 Advanced Technology Materials, Inc. Post-cmp removal using compositions and method of use
WO2013173738A1 (en) 2012-05-18 2013-11-21 Advanced Technology Materials, Inc. Composition and process for stripping photoresist from a surface including titanium nitride
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
SG11201507014RA (en) 2013-03-04 2015-10-29 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
SG11201509933QA (en) 2013-06-06 2016-01-28 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
CN105431506A (zh) 2013-07-31 2016-03-23 高级技术材料公司 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂
KR102340516B1 (ko) 2013-08-30 2021-12-21 엔테그리스, 아이엔씨. 티타늄 니트라이드를 선택적으로 에칭하기 위한 조성물 및 방법
US9771550B2 (en) 2013-12-11 2017-09-26 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
WO2015095175A1 (en) 2013-12-16 2015-06-25 Advanced Technology Materials, Inc. Ni:nige:ge selective etch formulations and method of using same
US9472420B2 (en) * 2013-12-20 2016-10-18 Air Products And Chemicals, Inc. Composition for titanium nitride hard mask and etch residue removal
SG11201605003WA (en) 2013-12-20 2016-07-28 Entegris Inc Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
US9593297B2 (en) 2014-10-15 2017-03-14 Micron Technology, Inc. Compositions for removing residues and related methods
WO2017025536A1 (en) * 2015-08-12 2017-02-16 Basf Se Use of a chemical mechanical polishing (cmp) composition for polishing of cobalt comprising substrates
KR101966808B1 (ko) 2016-09-30 2019-04-08 세메스 주식회사 기판 세정 조성물, 기판 처리 방법 및 기판 처리 장치
US11035044B2 (en) * 2017-01-23 2021-06-15 Versum Materials Us, Llc Etching solution for tungsten and GST films
KR102615371B1 (ko) * 2017-07-31 2023-12-19 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 코발트, 알루미나, 층간절연막, 질화실리콘의 데미지를 억제한 조성액 및 이것을 이용한 세정방법
US10787743B2 (en) * 2017-08-28 2020-09-29 The Boeing Company Depositing a structurally hard, wear resistant metal coating onto a substrate
US11352593B2 (en) * 2018-04-27 2022-06-07 Mitsubishi Gas Chemical Company, Inc. Aqueous composition and cleaning method using same
JPWO2019208684A1 (ja) * 2018-04-27 2021-05-13 三菱瓦斯化学株式会社 水性組成物及びこれを用いた洗浄方法
TW201945531A (zh) * 2018-04-27 2019-12-01 日商三菱瓦斯化學股份有限公司 水性組成物及使用此組成物之清洗方法
CN112384597A (zh) * 2018-07-06 2021-02-19 恩特格里斯公司 选择性蚀刻材料的改进
WO2024053819A1 (ko) * 2022-09-05 2024-03-14 삼성전자 주식회사 표면 처리용 조성물 및 이를 이용한 표면 처리 방법

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5286675A (en) * 1993-04-14 1994-02-15 Industrial Technology Research Institute Blanket tungsten etchback process using disposable spin-on-glass
US5567658A (en) * 1994-09-01 1996-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for minimizing peeling at the surface of spin-on glasses
JP3390589B2 (ja) * 1995-09-29 2003-03-24 ユー・エム・シー・ジャパン株式会社 半導体記憶装置の製造方法
TW345681B (en) * 1996-12-13 1998-11-21 Taiwan Semiconductor Mfg Co Ltd Method for removing covering layer on the peripheral edge portion of wafer
US20060017162A1 (en) * 1999-03-12 2006-01-26 Shoji Seta Semiconductor device and manufacturing method of the same
JP2001015479A (ja) * 1999-06-29 2001-01-19 Toshiba Corp 半導体装置の製造方法
KR100351506B1 (en) * 2000-11-30 2002-09-05 Samsung Electronics Co Ltd Method for forming insulation layer of semiconductor device
KR100379523B1 (ko) * 2000-11-30 2003-04-10 주식회사 하이닉스반도체 커패시터 제조 방법
KR20030002886A (ko) * 2001-06-30 2003-01-09 주식회사 하이닉스반도체 희생산화막을 이용한 미세 라인 패턴 형성방법
CN1302861C (zh) * 2003-08-28 2007-03-07 力晶半导体股份有限公司 可重复进行的旋转涂布制造方法
KR100673884B1 (ko) * 2003-09-22 2007-01-25 주식회사 하이닉스반도체 습식 세정에 의한 어택을 방지할 수 있는 반도체 장치제조 방법
US7153784B2 (en) * 2004-04-20 2006-12-26 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US6821872B1 (en) * 2004-06-02 2004-11-23 Nanya Technology Corp. Method of making a bit line contact device
JP4793927B2 (ja) * 2005-11-24 2011-10-12 東京エレクトロン株式会社 基板処理方法及びその装置
US20070155161A1 (en) * 2005-12-30 2007-07-05 Ramachandrarao Vijayakumar S Selective removal of sacrificial light absorbing material over porous dielectric
US20080125342A1 (en) * 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
TWI516573B (zh) * 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
EP2268765A4 (en) * 2008-03-07 2011-10-26 Advanced Tech Materials UNSELECTIVE OXIDIZE WET CLEANING AGENT AND USE
JP2010087160A (ja) * 2008-09-30 2010-04-15 Toshiba Corp 不揮発性半導体記憶装置の製造方法および不揮発性半導体記憶装置
JP4941684B2 (ja) * 2009-03-27 2012-05-30 信越化学工業株式会社 フォトマスクブランク及びその加工方法

Also Published As

Publication number Publication date
TW201348405A (zh) 2013-12-01
KR20210018976A (ko) 2021-02-18
KR20140138902A (ko) 2014-12-04
SG10202102525WA (en) 2021-04-29
KR20200030121A (ko) 2020-03-19
SG11201405638UA (en) 2014-10-30
WO2013138276A1 (en) 2013-09-19
CN104488068B (zh) 2019-02-12
KR102352465B1 (ko) 2022-01-18
SG10201607609YA (en) 2016-10-28
US20150075570A1 (en) 2015-03-19
CN104488068A (zh) 2015-04-01
EP2826062A1 (en) 2015-01-21
EP2826062A4 (en) 2016-06-22

Similar Documents

Publication Publication Date Title
TWI592468B (zh) 選擇性移除灰化旋塗玻璃之方法
JP6503102B2 (ja) 窒化チタンハードマスク及びエッチ残留物除去
JP6339555B2 (ja) 高いwn/w選択率を有するストリッピング組成物
KR102405063B1 (ko) 텅스텐 및 코발트 상용성을 갖는 에치후 잔류물을 제거하기 위한 수성 및 반-수성 세정제
JP6329909B2 (ja) 窒化チタンを選択的にエッチングするための組成物および方法
KR102338526B1 (ko) Cu/W 호환성을 갖는, 금속 하드 마스크 및 에칭-후 잔여물을 제거하기 위한 수성 제형
US8026200B2 (en) Low pH mixtures for the removal of high density implanted resist
US11085011B2 (en) Post CMP cleaning compositions for ceria particles
TW201504397A (zh) 選擇性蝕刻氮化鈦之組成物及方法
KR20100123757A (ko) 비-선택적 산화물 에칭용 습윤 세정 조성물 및 사용 방법
US11124746B2 (en) Post CMP cleaning composition
KR20190016093A (ko) 포스트 화학적-기계적-폴리싱 세정용 조성물