CN112601836A - 使用甲基化处理选择性沉积 - Google Patents

使用甲基化处理选择性沉积 Download PDF

Info

Publication number
CN112601836A
CN112601836A CN202080004244.0A CN202080004244A CN112601836A CN 112601836 A CN112601836 A CN 112601836A CN 202080004244 A CN202080004244 A CN 202080004244A CN 112601836 A CN112601836 A CN 112601836A
Authority
CN
China
Prior art keywords
workpiece
plasma
surface treatment
chamber
treatment process
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080004244.0A
Other languages
English (en)
Inventor
杨晓晅
仲華
吕新亮
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Beijing E Town Semiconductor Technology Co Ltd
Mattson Technology Inc
Original Assignee
Beijing E Town Semiconductor Technology Co Ltd
Mattson Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Beijing E Town Semiconductor Technology Co Ltd, Mattson Technology Inc filed Critical Beijing E Town Semiconductor Technology Co Ltd
Publication of CN112601836A publication Critical patent/CN112601836A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

提供了在工件上选择性沉积材料的工艺。在一个实例中,方法包括在处理腔室中放置工件支撑件。工件具有第一材料和第二材料。第二材料不同于第一材料。方法包括在工件上进行基于有机自由基的表面处理工艺以相对于第二材料选择性修饰第一材料的吸附特征,使得第一材料具有第一吸附特征和第二材料具有第二吸附特征。第二吸附特征与第一吸附特征不同。方法包括在工件上进行沉积工艺使得相对于第一材料,材料选择性沉积在第二材料上。

Description

使用甲基化处理选择性沉积
优先权声明
本公开要求于2019年4月30日提交的名称为“Selective Deposition UsingMethylation Treatment(使用甲基化处理选择性沉积)”的美国临时申请系列号62/840,686的优先权的权益,其通过引用并入本文。
技术领域
本公开大体上涉及工件的表面处理,并且更具体地工件的表面处理,以提供用于选择性沉积。
背景技术
等离子处理在半导体工业中广泛用于半导体晶片和其他基材的沉积、蚀刻、抗蚀剂去除(resist removal)以及相关处理。等离子体源(例如,微波、ECR、感应等)通常用于等离子体处理,以生成高密度等离子体和反应性物质,用于处理基材。
发明内容
本公开的实施方式的方面和优点将部分在以下描述中陈述,或可从描述中得知,或可通过实施方式的实践而得知。
本公开的一个示例方面涉及用于处理工件的方法。方法包括在处理腔室中,将工件放置在工件支撑件上。工件具有第一材料和第二材料。第二材料不同于第一材料。方法包括在工件上进行基于有机自由基的表面处理工艺以相对于第二材料选择性修饰第一材料的吸附特征,使得第一材料具有第一吸附特征且第二材料具有第二吸附特征。第二吸附特征与第一吸附特征不同。方法包括在工件上进行沉积工艺,使得相对于第二材料,材料选择性沉积在第一材料上。
本公开的其他示例方面涉及用于在工件上选择性沉积材料的系统、方法和装置。
参考以下描述和所附权利要求,各种实施方式的这些和其他特征、方面和优点将变得更好理解。并入本说明书中并且构成本说明书的一部分的附图阐释了本公开的实施方式,并且与描述一起用来解释相关的原理。
附图说明
指导本领域技术人员的实施方式的详细讨论阐释在参考了所附附图的说明书中,其中:
图1描绘了根据本公开的示例实施方式的使用甲基化处理的示例选择性沉积工艺;
图2描绘了根据本公开的示例实施方式的示例等离子体处理装置;
图3描绘了根据本公开的示例实施方式的示例方法的流程图;
图4描绘了根据本公开的示例实施方式的示例沉积工艺的流程图;
图5描绘了根据本公开的示例实施方式的示例方法的流程图;
图6描绘了根据本公开的示例实施方式的示例表面处理工艺的流程图;
图7描绘了根据本公开的示例实施方式的示例表面处理工艺的流程图;
图8描绘了根据本公开的示例实施方式在表面处理工艺期间示例等离子体后气体注入;
图9描绘了根据本公开的示例实施方式的氢自由基的示例来源;
图10描绘了根据本公开的示例实施方式的示例等离子体处理装置;和
图11描绘了根据本公开的示例实施方式的示例等离子体处理装置。
具体实施方式
现在将详细参考在附图中阐释了其一个或多个示例的实施方式。通过实施方式的解释,而非限制本公开来提供每个示例。实际上,对本领域技术人员显而易见的是,在不偏离本公开的范围或精神的情况下,可对实施方式进行各种修改和变化。例如,阐释或描述为一个实施方式的一部分的特征可与另一个实施方式一起使用,以生成仍进一步的实施方式。因此,预期本公开的方面涵盖这种修改和变化。
本公开的示例方面涉及在工件,比如半导体器件制造中使用的半导体晶片上选择性沉积材料。更特别地,在一些实施方式中,表面处理工艺可用于选择性甲基化工件上的不同材料。相对于工件上的非甲基化的表面,甲基化的表面可阻止前体吸附某些材料。就此而言,相对于甲基化的表面,材料可选择性沉积在工件的非甲基化的表面(例如,相对于甲基化的表面,更多材料沉积在非甲基化的表面上)。
随着特征尺寸持续减小,对于半导体器件制造,选择性沉积可能是重要的工艺步骤。选择性沉积可指材料选择性沉积在工件的某些材料上,同时不沉积在其他材料上。现有的选择性沉积方法目前是有限的。
根据本公开的示例方面,基于有机自由基的表面处理工艺,比如甲基化工艺,可用于处理工件。基于有机自由基的表面处理工艺可导致单层甲基自由基(CH3自由基)与工件上的某些表面结合。工件上的一些材料被甲基化,同时工件上的其他材料未被甲基化。
在沉积工艺(例如,化学气相沉积(CVD)工艺、原子层沉积(ALD)工艺或其他沉积工艺)期间,某些前体将选择性吸附在非甲基化的表面上且开始沉积在非甲基化的表面上。相同前体不吸附在甲基化的表面上,减少在甲基化的表面上的沉积。可选地,某些前体不吸附在非甲基化的表面上,而所述前体确实吸附在甲基化的表面上。就此而言,沉积可在甲基化的表面上开始,而减少在非甲基化的表面上的沉积。这样,根据本公开的示例方面的基于有机自由基的表面处理工艺可用于工件上的选择性沉积工艺。
根据本公开的示例实施方式的一个示例选择性沉积工艺是用于在含有介电材料(例如,SiO2、SiN、低-k介电层)和金属材料(例如,Cu层)的工件上沉积Al2O3。基于甲基自由基的表面处理工艺可将工件暴露于甲基自由基(例如,CH3自由基)。基于甲基自由基的表面处理工艺可导致介电层的甲基化。然而,由于难于形成金属-CH3结合(例如,Cu-CH3结合),因此没有金属层的甲基化。
在Al2O3 ALD工艺期间,工件可暴露于三甲基铝(TMA)和H2O分子。在工件暴露于H2O分子期间,H2O分子可吸附在工件的非甲基化的表面,比如金属层上。然而,甲基化的介电层上的单层CH3可排斥H2O分子的吸附。
在工件暴露于TMA期间,TMA将与H2O物质在工件的非甲基化的表面上反应且生成含Al-O的物质。工件重复的暴露于TMA和H2O分子(例如,净化(purging)处理腔室以去除残留物和副产物)可导致非甲基化的金属表面上沉积的A2O3的ALD层。
然而,在甲基化的介电表面上,因为CH3物质的存在,Al(CH3)3将不吸附在甲基化的金属表面上。在ALD沉积工艺期间,没有或非常少Al2O3沉积在甲基化的介电表面上。就此而言,ALD AL2O3层可选择性沉积在金属表面(例如,Cu表面)上,同时不沉积在介电表面上。
在一些实施方式中,在许多沉积循环后,在介电表面上,甲基化可逐渐被耗竭。在该情况下,定期的基于甲基自由基的表面处理工艺可在许多沉积循环之后进行以维持沉积工艺的选择性。
为了阐释和讨论的目的,提供了以上Al2O3沉积工艺。使用本文提供的公开,本领域技术人员将理解本公开的示例方面可用于实施涉及选择性吸附在甲基化的或非甲基化的表面上的前体的其他选择性沉积工艺。示例包括,例如,(1)使用H2O作为前体、O2作为前体和/或N2O作为前体的SiO2的沉积;(2)使用NH3作为前体的SiN的ALD;(3)等等。
为了阐释和讨论的目的,参考“工件”或半导体晶片讨论了本公开的方面。使用本文提供的公开,本领域普通技术人员将理解,本公开的示例方面可与任何半导体基材或其他合适的基材或工件结合使用。另外,术语“约”与数值的联合使用旨在指在叙述的数值的百分之十(10%)以内。
图1描绘了根据本公开的示例方面的示例选择性沉积工艺的概述。选择性沉积工艺可在工件50(例如,半导体晶片)上实施。工件50可具有基材(例如,Si和/或SiGe基材)。工件50可包括第一材料层52(例如,介电层)和第二材料层54(例如,金属层)。基于有机自由基(例如,甲基自由基)的表面处理工艺70可将工件50暴露于有机自由基,比如CH3自由基。这可导致第一材料层52的甲基化以生成甲基化的第一材料层56。甲基化的第一材料层56可包括单层甲基自由基。第二材料层54没有通过基于有机自由基的表面处理工艺70进行甲基化。参考图6-9将讨论关于示例有机自由基表面处理工艺的细节。
沉积工艺80可将工件50暴露于一种或多种前体以在工件50上开始材料的沉积。根据本公开的示例方面,甲基化的第一材料层56可抵抗前体的吸附,而第二材料层56可具有前体的吸附。就此而言,可在第二材料层54上实施沉积层60的选择性沉积,使得沉积层60不在第一材料层56上沉积。
图2描绘了可用于实施根据本公开的示例实施方式的选择性沉积工艺的方面的示例等离子体处理装置100。如阐释,等离子体处理装置100包括处理腔室110和与处理腔室110分开的等离子体腔室120。处理腔室110包括可操作地支撑待处理的工件114,比如半导体晶片的基材支架或基座112。在该示例阐释中,通过感应耦合的等离子体源135在等离子体腔室120(即,等离子体生成区)中生成等离子体,并且将期望的物质通过隔栅组件200从等离子体腔室120引导至基材114的表面。
为了阐释和讨论的目的,参考感应耦合的等离子体源讨论了本公开的方面。使用本文提供的公开,本领域普通技术人员将理解,在不偏离本公开的范围的情况下,可使用任何等离子体源(例如,感应耦合的等离子体源、电容耦合的等离子体源等)。
等离子体腔室120包括介电侧壁122和顶棚124。介电侧壁122、顶棚124和隔栅200限定了等离子体腔室内部125。介电侧壁122可由介电材料,比如石英和/或氧化铝形成。感应耦合的等离子体源135可包括邻近介电侧壁122围绕等离子体腔室120设置的感应线圈130。感应线圈130通过合适的匹配网络132耦合至RF功率发生器134。工艺气体(例如,反应物和/或载气)可从气体供应150和环形气体分配通道151或其他合适的气体引入机构提供至腔室内部。当用来自RF功率发生器134的RF功率为感应线圈130供能时,可在等离子体腔室120中生成等离子体。在特别的实施方式中,等离子体处理装置100可包括任选的接地的法拉第笼128,以减少感应线圈130与等离子体的电容耦合。
如图2中显示,隔栅200将等离子体腔室120与处理腔室110分开。隔栅200可用于从在等离子体腔室120中通过等离子体生成的混合物进行离子过滤,以生成过滤的混合物。在处理腔室110中,过滤的混合物可暴露于工件114。
在一些实施方式中,隔栅200可以是多板隔栅。例如,隔栅200可包括以彼此平行关系间隔开的第一栅板210和第二栅板220。第一栅板210和第二栅板220可分开一定距离。
第一栅板210可具有具备多个孔的第一栅图案。第二栅板220可具有具备多个孔的第二栅图案。第一栅图案可与第二栅图案相同或不同。带电粒子可在它们通过隔栅200中的每个栅板210、220的孔的路径中的壁上复合。中性物(neutrals)(例如,自由基)可相对自由地流过第一栅板210和第二栅板220中的孔。每个栅板210和220的孔的尺寸和厚度可影响带电粒子和中性粒子二者的透过性(transparency)。
在一些实施方式中,第一栅板210可由金属(例如,铝)或其他导电材料制成和/或第二栅板220可由导电材料或介电材料(例如,石英、陶瓷等)制成。在一些实施方式中,第一栅板210和/或第二栅板220可由其他材料,比如硅或碳化硅制成。如果栅板由金属或其他导电材料制成,则栅板可接地。
图3描绘了根据本公开的示例方面的用于在工件上选择性沉积材料的示例方法(300)的流程图。方法(300)可使用等离子体处理装置100实施。然而,在不偏离本公开的范围的情况下,根据本公开的示例方面的选择性沉积方法可使用其他方式实施。为了阐释和讨论的目的,图3描绘了以特定的顺序进行的步骤。使用本文提供的公开,本领域普通技术人员将理解,在不偏离本公开范围的情况下,可以以各种方式省略、扩展、同时进行、重新布置和/或修改本文所述的任何方法的各个步骤。另外,在不偏离本公开的范围的情况下,可进行各种另外的步骤(未阐释)。
在(302)处,方法可包括在处理腔室中,将工件放置在工件支撑件上。例如,工件114可被放置在等离子体处理装置100的处理腔室110中的基座112上。工件可包括第一材料和第二材料。例如,在一些实施方式中,第一材料可以是介电层(例如,SiO2、SiN、低-k介电材料等)。在一些实施方式中,第二层可以是金属层,比如铜层。选择性沉积工艺可用于在金属层上沉积材料层,作为例如,半导体器件制造中的后道(BEOL)工艺的部分。
在(304)处,方法可包括在工件上进行基于有机自由基的表面处理工艺(例如,基于甲基自由基的表面处理工艺)以将第一材料层和第二材料层暴露于一种或多种有机自由基,比如CH3自由基。参考图6-9将更详细讨论关于示例基于有机自由基的表面处理工艺的细节。
有机自由基,比如甲基自由基(CH3)自由基可与第一材料层反应,导致第一材料层的甲基化(例如,在第一材料层的表面上形成单层甲基自由基)。有机自由基不与第二材料层反应,导致没有第二材料层的甲基化。
相对于第二材料层的第一材料层的甲基化可相对于第二材料层选择性修饰第一材料层的吸附特征。例如,相对于第二材料层,对于甲基化的第一材料层可减少第一材料层对沉积工艺中使用的前体的吸附,或反之亦然。
在(306)处,方法可包括在工件上进行沉积工艺(例如,CVD工艺、ALD工艺等),以便相对于第一材料层,在第二材料层上选择性沉积沉积层。更特别地,相对于第一材料层,沉积层以更大量沉积在第二材料层上,比如至少大于5倍,比如至少大于10倍,比如至少大于100倍。在一些实施方式中,沉积工艺可包括一个或多个循环。在每个循环期间,将工件暴露于一种或多种前体以在工件上开始材料的沉积。然后,可将前体和任何副产物从处理腔室净化。可重复这些沉积循环直到已经在工件上沉积期望的量的沉积材料。
图4描绘了根据本公开的示例实施方式的沉积工艺(306)的示例沉积循环的流程图。方法(306)可使用等离子体处理装置100实施。然而,在不偏离本公开的范围的情况下,根据本公开的示例方面的选择性沉积方法可使用其他腔室实施。为了阐释和讨论的目的,图4描绘了以特定的顺序进行的步骤。使用本文提供的公开,本领域普通技术人员将理解,在不偏离本公开范围的情况下,可以以各种方式省略、扩展、同时进行、重新布置和/或修改本文所述的任何方法的各个步骤。另外,在不偏离本公开的范围的情况下,可进行各种另外的步骤(未阐释)。
在(320)处,方法可包括将工件暴露于第一前体。可选择第一前体以在一部分工件上开始层的沉积。例如,可选择第一前体以在工件的非甲基化的材料层上沉积沉积层。在工件上前体可从与物质的反应中生成副产物。
在(322)处,方法可包括从处理腔室中净化前体和任何副产物。例如,泵可从具有工件的处理腔室排出前体。
在(324)处,方法可包括将工件暴露于第二前体。第二前体可与第一前体相同或不同。可选择第二前体以在一部分工件上持续层的沉积。例如,可选择第二前体以在工件的非甲基化的材料层上沉积沉积层。在工件上前体可从与物质的反应中生成副产物。
在(326)处,方法可包括从处理腔室中净化前体和任何副产物。例如,泵可从具有工件的处理腔室排出前体。
在一个示例实施方式中,第一前体可包括H2O分子和TMA分子。第二前体可包括H2O分子和TMA分子。在一些实施方式中,第一前体可包括H2O分子。第二前体可包括TMA分子。
如由(330)指示,可重复沉积工艺许多循环直到已经在工件上沉积期望的量的材料和/或直到已经完成工艺循环的期望的数量。
返回参照(310)处的图3,基于有机自由基的表面处理工艺可在一个或多个循环的沉积工艺之后定期地进行以相对于第二材料层甲基化第一材料层。在(308)处,方法包括从处理腔室取出工件。
图5描绘了根据本公开的示例实施方式的用于半导体器件制造的BEOL工艺中用于在铜层上Al2O3的ALD的一个示例选择性沉积工艺(340)的流程图。为了示例目的,提供了图5。涉及使用基于有机自由基的表面处理工艺修饰一部分工件的吸附特性的其他选择性沉积工艺落入本公开的范围内。
在(342)处,方法可包括在处理腔室中,将工件放置在工件支撑件上。例如,工件114可被放置在等离子体处理装置100的处理腔室110的基座112上。工件可包括介电层(例如,SiO2、SiN、低-k介电材料等)和铜层。选择性沉积工艺可用于在铜层上沉积Al2O3,作为例如,半导体器件制造中的后道(BEOL)工艺的部分。
在(344),方法可包括在工件上进行基于甲基自由基的表面处理以将介电层和铜层暴露于一种或多种CH3自由基。参考图6-9将更详细地讨论关于示例基于有机自由基的表面处理工艺的细节。
CH3自由基可与介电层反应,导致第一材料层的甲基化(例如,在第一材料层的表面形成单层甲基自由基)。有机自由基不与铜层反应,导致没有铜层的甲基化。
相对于铜层的介电层的甲基化可相对于铜层选择性修饰介电层的吸附特征。例如,相对于铜层,对于甲基化的介电层可减少介电层对沉积工艺中使用的前体的吸附。
在(346)处,方法可包括将工件暴露于第一前体,比如H2O分子。H2O分子可吸附或化学结合至铜层。然而,甲基化的介电层将排斥H2O分子,相对于铜层减少介电层上H2O分子的吸附。
在(348)处,方法可包括从处理腔室中净化前体和任何副产物。例如,泵可从具有工件的处理腔室排出前体。
在(350)处,方法可包括将工件暴露于第二前体,比如TMA分子。TMA分子可与铜层上吸附的H2O分子反应且生成含Al-O的物质以在铜层上开始Al2O3的ALD层的沉积。由于减少的介电层上H2O分子的吸附,TMA分子不与介电层的甲基化的表面反应。
在(352)处,方法可包括从处理腔室中净化前体和任何副产物。例如,泵可从具有工件的处理腔室排出前体。如(360)处显示,可重复期望的循环数量的(346)、(348)、(350)和(352)的沉积循环以在铜层上选择性沉积Al2O3层。在(354)处,工件可从处理腔室取出。
图6描绘了根据本公开的示例方面的示例基于有机自由基的表面处理工艺(400)的流程图。基于有机自由基的表面处理工艺(400)可使用等离子体处理装置100实施。然而,如以下将详细讨论的,在不偏离本公开的范围的情况下,根据本公开的示例方面的基于有机自由基的表面处理工艺可使用其他方式实施。为了阐释和讨论的目的,图6描绘了以特定的顺序实施的步骤。使用本文提供的公开,本领域普通技术人员将理解,在不偏离本公开范围的情况下,可以以各种方式省略、扩展、同时进行、重新布置和/或修改本文所述的任何方法的各个步骤。另外,在不偏离本公开的范围的情况下,可进行各种另外的步骤(未阐释)。
在(402)处,基于有机自由基的表面处理工艺可包括将工件加热。例如,在处理腔室110中,工件114可被加热至工艺温度。工件114可,例如,使用一种或多种与基座112相关的加热系统加热。在一些实施方式中,工件可被加热至约20℃至约400℃范围内的工艺温度。
在(404)处,表面处理工艺可包括允许工艺气体进入等离子体腔室。例如,可允许工艺气体经环形气体分配通道151或其他合适的气体引入机构从气体源150进入等离子体腔室内部125。在一些实施方式中,工艺气体可包括一种或多种烃分子。示例烃分子可包括,例如,非环烷烃CnH2n+2,其中n大于或等于一且小于或等于10。例如,烃分子可包括非环烷烃,比如甲烷CH4、乙烷C2H6、丙烷或异丙烷C3H8等。
在一些实施方式中,烃分子可包括环烷烃CnH2n,其中n大于或等于五且小于或等于十。例如,烃前体可包括环烷烃比如环戊烷C5H10、环己烷C6H12、甲基-环己烷C7H14、二甲基-环己烷C8H16、1,3,5-三甲基-环己烷C9H18等。在一些实施方式中,烃前体可包括链烯烃CnH2n,其中n大于或等于二且小于或等于十,比如乙烯C2H4、丙烯C3H6等。
在(406)处,表面处理工艺可包括(例如,任选地包括)允许第二气体进入等离子体腔室,比如反应性气体,比如氢气(H2)。例如,可允许第二气体进入等离子体腔室作为部分工艺气体。工艺气体可包括包含H2和N2的混合物和/或包含H2和He的混合物和/或包含H2和Ar的混合物。在一些实施方式中,工艺气体为惰性气体,比如氦、氩或氙。
在(408)处,表面处理工艺可包括为感应耦合的等离子体源供能以在等离子体腔室中生成等离子体。例如,可用来自RF功率发生器134的RF能为感应线圈130供能,以在等离子体腔室内部125中生成等离子体。在一些实施方式中,可用脉冲功率为感应耦合的电源供能,以获得期望的具有降低的等离子体能的自由基。等离子体可用于从氢气生成一种或多种氢自由基。
在(410)处,表面处理工艺可包括使用等离子体在等离子体腔室内部中解离混合物中的一种或多种烃分子。例如,使用感应耦合的等离子体源135在等离子体腔室内部125中诱导的等离子体可解离工艺气体中的烃分子和其他分子以生成自由基和离子。例如,一种或多种烃分子可在等离子体中被解离以生成有机自由基,比如CH3自由基。
在(412)处,表面处理工艺可包括过滤混合物中的由等离子体生成的一种或多种离子以形成过滤的混合物。过滤的混合物可包括通过烃分子的解离生成的自由基,比如CH3自由基。
在一些实施方式中,一种或多种离子可使用将等离子体腔室与工件所在的处理腔室分开的隔栅组件过滤。例如,隔栅200可用于过滤由等离子体生成的离子。隔栅200可具有多个孔。带电粒子(例如,离子)可在它们通过多个孔的路径中的壁上复合。中性物(例如,自由基比如CH3自由基)可穿过孔。
在一些实施方式中,隔栅200可被配置为以大于或等于约90%,比如大于或等于约95%的效率过滤离子。对于离子过滤的效率百分数(percentage efficiency)指相对于混合物中离子的总数从混合物去除的离子的量。例如,约90%的效率指示约90%的离子在过滤期间被去除。约95%的效率指示约95%的离子在过滤期间被去除。
在一些实施方式中,隔栅可以是多板隔栅。多板隔栅可具有平行的多个隔栅板。可选择栅板中的孔的布置和排列,以提供期望的用于离子过滤的效率,比如大于或等于约95%。
例如,隔栅200可具有彼此平行关系的第一栅板210和第二栅板220。第一栅板210可具有具备多个孔的第一栅图案。第二栅板220可具有具备多个孔的第二栅图案。第一栅图案可与第二栅图案相同或不同。带电粒子(例如,离子)可在它们通过隔栅200中的每个栅板210、220的孔的路径中的壁上复合。中性物质(例如,自由基)可相对自由地流过第一栅板210和第二栅板220中的孔。
在图6的(414)处,表面处理工艺可包括将工件暴露于过滤的混合物。更特别地,工件可暴露于等离子体中生成的且穿过隔栅组件的自由基(例如,CH3自由基)。作为示例,有机自由基(例如,CH3自由基)可穿过隔栅200且被暴露在工件114上。
在不偏离本公开的范围的情况下,基于有机自由基的表面处理工艺可使用其他方式实施。例如,在一些实施方式中,有机自由基可至少部分使用等离子体后气体注入生成。
例如,图7描绘了示例表面处理工艺(500)的流程图,其中根据本公开的示例实施方式使用等离子体后气体注入生成有机自由基。工艺(500)将通过示例参考图2的等离子体处理装置100讨论。为了阐释和讨论的目的,图7描绘了以特定的顺序进行的步骤。使用本文提供的公开,本领域普通技术人员将理解,在不偏离本公开范围的情况下,可以以各种方式省略、扩展、同时进行、重新布置和/或修改本文所述的任何方法的各个步骤。另外,在不偏离本公开的范围的情况下,可进行各种步骤(未阐释)。
在(502)处,表面处理工艺可包括将工件加热。例如,工件114可在处理腔室110中被加热至工艺温度。工件114可,例如,使用一种或多种与基座112相关的加热系统加热。在一些实施方式中,工件可被加热至约50℃至约400℃范围内的温度。
在(504)处,表面处理工艺可包括允许工艺气体混合物进入等离子体腔室。例如,可允许工艺气体经环形气体分配通道151或其他合适的气体引入机构从气体源150进入等离子体腔室内部125。在一些实施方式中,工艺气体可包括反应性气体,比如氢气(H2)。工艺气体可包括载气,比如N2和/或He和/或Ar。例如,在一些实施方式中,工艺气体可以是包括H2和N2的混合物。在一些其他实施方式中,工艺气体可以是包括H2和He的混合物。在仍一些其他实施方式中,工艺气体可以是包括H2和Ar的混合物。
在一些实施方式中,工艺气体可以是惰性气体。例如,工艺气体可以是没有反应性气体的惰性气体。在特别的实施方式中,工艺气体可以是氦、氩、氙或其他惰性气体。
在(506)处,表面处理工艺可包括为感应耦合的等离子体源供能以在等离子体腔室中生成等离子体。例如,可用来自RF功率发生器134的RF能为感应线圈130供能,以在等离子体腔室内部125生成等离子体。在一些实施方式中,可用脉冲功率为感应耦合的电源供能,以获得期望的具有降低的等离子体能量的物质。
在(508)处,表面处理工艺可包括从工艺气体在等离子体中生成一种或多种物质。例如,使用感应耦合的等离子体源135从反应性工艺气体(例如,H2)在等离子体腔室内部125中诱导的等离子体可在工艺气体混合物中解离分子以生成自由基(例如H自由基)和离子。作为另一示例,使用感应耦合的等离子体源135从惰性工艺气体(例如,He)在等离子体腔室内部125中诱导的等离子体可生成一种或多种激发的惰性气体分子(例如,激发的He分子)。
在(510),表面处理工艺可包括在混合物中过滤一种或多种由等离子体生成的离子以形成过滤的混合物。过滤的混合物可包括从工艺气体在等离子体中生成的物质。
在一些实施方式中,一种或多种离子可使用将等离子体腔室与工件所在的处理腔室分开的隔栅组件过滤。例如,隔栅200可用于过滤由等离子体生成的离子。
隔栅200可具有多个孔。带电粒子(例如,离子)可在它们通过多个孔的路径中的壁上复合。中性粒子(例如,自由基)可穿过孔。在一些实施方式中,隔栅200可被配置为以大于或等于约90%,比如大于或等于约95%的效率过滤离子。
在一些实施方式中,隔栅可以是多板隔栅。多板隔栅可具有平行的多个隔栅板。可选择栅板中的孔的布置和排列,以提供期望的用于离子过滤的效率,比如大于或等于约95%。
在(512)处,工艺可包括在等离子体腔室的外部和从等离子体腔室下游流动处(例如,在隔栅处或下方)过滤后,将烃分子混合入过滤的混合物中。烃分子可与氢自由基反应以生成期望的自由基(例如,CH3自由基)。
示例烃分子可包括,例如,具有CnH2n+2化学式的非环烷烃,其中n大于或等于一且小于或等于10。例如,烃分子可包括非环烷烃,比如甲烷CH4、乙烷C2H6、丙烷或异丙烷C3H8等。烃分子可包括具有CnH2n化学式的环烷烃,其中n大于或等于五且小于或等于十。例如,烃分子可包括环烷烃比如环戊烷C5H10、环己烷C6H12、甲基-环己烷C7H14、二甲基-环己烷C8H16、1,3,5-三甲基-环己烷C9H18等。在一些实施方式中,烃分子可包括链烯烃CnH2n,其中n大于或等于一且小于或等于十,比如乙烯C2H4、丙烯C3H6等。
图8描绘了根据本公开的示例实施方式的离子过滤后用于烃分子的注入的示例隔栅200。更特别地,隔栅200包括平行关系设置的第一栅板210和第二栅板220,用于离子/UV过滤。
第一栅板210和第二栅板220可以是彼此平行关系。第一栅板210可具有具备多个孔的第一栅图案。第二栅板220可具有具备多个孔的第二栅图案。第一栅图案可与第二栅图案相同或不同。来自等离子体的中性粒子和带电粒子215可暴露于隔栅200。带电粒子(例如,离子)可在它们通过隔栅200中的每个栅板210、220的孔的路径中的壁上复合。中性物质(例如,H自由基或激发惰性气体分子)可相对自由地流过第一栅板210和第二栅板220中的孔。
第二栅板220之后,气体注入源230可配置为允许烃气体进入过滤的混合物。来源于烃气体的注入的自由基(例如,CH3自由基)225可穿过第三栅板235,用于暴露于工件。
为了示例的目的,参考具有三个栅板的隔栅讨论了本示例。在不偏离本公开的范围的情况下,使用本文提供的公开,本领域普通技术人员将理解,可使用更多或更少的栅板。
在图7的(514)处,表面处理工艺可包括将工件暴露于过滤的混合物。更特别地,在烃分子的注入之后,工件可暴露于自由基(例如,CH3自由基)。作为示例,自由基(例如,CH3自由基)可穿过第三栅板235(图8)并可被暴露在工件114上。在一些实施方式中,将工件暴露于有机自由基可导致工件上一种或多种层的甲基化。
在一些实施方式中,氢自由基可使用不同的氢自由基的来源生成。例如,如图9中显示,氢气H2可穿过加热的细丝(例如,钨细丝)以在第一腔室中生成氢自由基。氢自由基可穿过隔栅200。
隔栅200包括平行关系设置的第一栅板210和第二栅板220。第一栅板210可具有具备多个孔的第一栅图案。第二栅板220可具有具备多个孔的第二栅图案。第一栅图案可与第二栅图案相同或不同。
第一栅板210之后,气体注入源230可配置为允许烃气体进入过滤的混合物。来源于烃气体的注入的自由基(例如,CH3)自由基264可穿过第二栅板220,用于暴露于工件。
烃气体可包括一种或多种烃分子。示例烃分子可包括,例如,非环烷烃CnH2n+2,其中n大于或等于一且小于或等于10。例如,烃分子可包括非环烷烃,比如甲烷CH4、乙烷C2H6、丙烷或异丙烷C3H8等。烃分子可包括环烷烃CnH2n,其中n大于或等于五且小于或等于十。例如,烃分子可包括环烷烃比如环戊烷C5H10、环己烷C6H12、甲基-环己烷C7H14、二甲基-环己烷C8H16、1,3,5-三甲基-环己烷C9H18等。在一些实施方式中,烃分子可包括链烯烃CnH2n,其中n大于或等于一且小于或等于十,比如乙烯C2H4、丙烯C3H6等。
为了示例的目的,参考具有两个栅板的隔栅讨论了本示例。在不偏离本公开的范围的情况下,使用本文提供的公开,本领域普通技术人员将理解,可使用更多或更少的栅板。
在偏离本公开的范围的情况下,有机自由基(例如,CH3自由基)可使用其他方式生成。作为一个示例,有机自由基(例如,CH3自由基)可使用分子(例如,偶氮甲烷CH3-N=N-CH3)的热解(热分解)生成。作为另一示例,有机自由基可由UV-辅助的分子解离生成(例如,丙酮CH3COCH3)。
根据本公开的示例方面的选择性沉积工艺可以在其他合适的等离子体处理装置中实施。例如,根据本公开的示例实施方式的表面处理工艺可使用以下详细讨论的图10中显示的示例装置和图11中显示的示例装置实施。
图10描绘了可用于实施根据本公开的示例实施方式的工艺的示例等离子体处理装置700。等离子体处理装置700类似于图2的等离子体处理装置100。
更特别地,等离子体处理装置700包括处理腔室110和与处理腔室110分开的等离子体腔室120。处理腔室110包括可操作地支撑待处理的工件114,比如半导体晶片的基材支架或基座112。在该示例阐释中,通过感应耦合的等离子体源135在等离子体腔室120(即,等离子体生成区)中生成等离子体,并且将期望的物质通过隔栅组件200从等离子体腔室120引导至基材114的表面。
等离子体腔室120包括介电侧壁122和顶棚124。介电侧壁122、顶棚124和隔栅200限定了等离子体腔室内部125。介电侧壁122可由介电材料,比如石英和/或氧化铝形成。感应耦合的等离子体源135可包括邻近介电侧壁122围绕等离子体腔室120设置的感应线圈130。感应线圈130通过合适的匹配网络132耦合至RF功率发生器134。工艺气体(例如,惰性气体)可从气体供应150和环形气体分配通道151或其他合适的气体引入机构提供至腔室内部。当用来自RF功率发生器134的RF功率为感应线圈130供能时,可在等离子体腔室120中生成等离子体。在特别的实施方式中,等离子体处理装置100可包括任选的接地的法拉第笼128,以减少感应线圈130与等离子体的电容耦合。
如图10中显示,隔栅200将等离子体腔室120与处理腔室110分开。隔栅200可用于从在等离子体腔室120中通过等离子体生成的混合物进行离子过滤,以生成过滤的混合物。在处理腔室中,过滤的混合物可暴露于工件114。
在一些实施方式中,隔栅200可以是多板隔栅。例如,隔栅200可包括以彼此平行关系间隔开的第一栅板210和第二栅板220。第一栅板210和第二栅板220可分开一定距离。
第一栅板210可具有具备多个孔的第一栅图案。第二栅板220可具有具备多个孔的第二栅图案。第一栅图案可与第二栅图案相同或不同。带电粒子可在它们通过隔栅中的每个栅板210、220的孔的路径中的壁上复合。中性物质(例如,自由基)可相对自由地流过第一栅板210和第二栅板220中的孔。每个栅板210和220的孔的尺寸和厚度可影响带电粒子和中性粒子二者的透过性。
在一些实施方式中,第一栅板210可由金属(例如,铝)或其他导电材料制成和/或第二栅板220可由导电材料或介电材料(例如,石英、陶瓷等)制成。在一些实施方式中,第一栅板210和/或第二栅板220可由其他材料,比如硅或碳化硅制成。如果栅板由金属或其他导电材料制成,则栅板可接地。
图10的示例等离子体处理装置700可操作地在等离子体腔室120中生成第一等离子体702(例如,远程等离子体)并且在处理腔室110中生成第二等离子体704(例如,直接等离子体)。如本文使用的,“远程等离子体”指远离工件,比如在通过隔栅与工件分开的等离子体腔室中生成的等离子体。如本文使用的,“直接等离子体”指直接暴露至工件的等离子体,比如在具有可操作地支撑工件的基座的处理腔室中生成的等离子体。
更特别地,图10的等离子体处理装置700包括在基座112中具有偏置电极710的偏置源。偏置电极710可经合适的匹配网络712耦合至RF功率发生器714。当偏置电极710用RF能供能时,第二等离子体704可由处理腔室110中的混合物生成,用于直接暴露至工件114。处理腔室110可包括用于从处理腔室110中排出气体的排气口716。根据本公开的示例方面的氧化物去除工艺中使用的物质可使用第一等离子体702和/或第二等离子体704生成。
图11描绘了类似于图2和图10的处理腔室的处理腔室800。更特别地,等离子体处理装置800包括处理腔室110和与处理腔室110分开的等离子体腔室120。处理腔室110包括可操作地支持待处理的工件114,比如半导体晶片的基材支架或基座112。在该示例阐释中,通过感应耦合的等离子体源135在等离子体腔室120(即,等离子体生成区)中生成等离子体,并且将期望的物质通过隔栅组件200从等离子体腔室120引导至基材114的表面。
等离子体腔室120包括介电侧壁122和顶棚124。介电侧壁122、顶棚124和隔栅200限定了等离子体腔室内部125。介电侧壁122可由介电材料,比如石英和/或氧化铝形成。感应耦合的等离子体源135可包括邻近介电侧壁122围绕等离子体腔室120设置的感应线圈130。感应线圈130通过合适的匹配网络132耦合至RF功率发生器134。工艺气体(例如,惰性气体)可从气体供应150和环形气体分配通道151或其他合适的气体引入机构提供至腔室内部。当用来自RF功率发生器134的RF功率为感应线圈130供能时,可在等离子体腔室120中生成等离子体。在特别的实施方式中,等离子体处理装置100可包括任选的接地的法拉第笼128,以减少感应线圈130与等离子体的电容耦合。
如图11中显示,隔栅200将等离子体腔室120与处理腔室110分开。隔栅200可用于从在等离子体腔室120中通过等离子体生成的混合物进行离子过滤,以生成过滤的混合物。在处理腔室中,过滤的混合物可暴露于工件114。
在一些实施方式中,隔栅200可以是多板隔栅。例如,隔栅200可包括以彼此平行关系间隔开的第一栅板210和第二栅板220。第一栅板210和第二栅板220可分开一定距离。
第一栅板210可具有具备多个孔的第一栅图案。第二栅板220可具有具备多个孔的第二栅图案。第一栅图案可与第二栅图案相同或不同。带电粒子可在它们通过隔栅中的每个栅板210、220的孔的路径中的壁上复合。中性物质(例如,自由基)可相对自由地流过第一栅板210和第二栅板220中的孔。每个栅板210和220的孔的尺寸和厚度可影响带电粒子和中性粒子二者的透过性。
在一些实施方式中,第一栅板210可由金属(例如,铝)或其他导电材料制成和/或第二栅板220可由导电材料或介电材料(例如,石英、陶瓷等)制成。在一些实施方式中,第一栅板210和/或第二栅板220可由其他材料,比如硅或碳化硅制成。如果栅板由金属或其他导电材料制成,则栅板可接地。
图11的示例等离子体处理装置800可操作地在等离子体腔室120中生成第一等离子体802(例如,远程等离子体)并且在处理腔室110中生成第二等离子体804(例如,直接等离子体)。如显示,等离子体处理装置800可包括从与远程等离子体腔室120相连的竖直侧壁122延伸的有角度的介电侧壁822。有角度的介电侧壁822可形成处理腔室110的一部分。
第二感应等离子体源835可靠近介电侧壁822放置。第二感应等离子体源835可包括经合适的匹配网络812耦合至RF发生器814的感应线圈810。当用RF能供能时,感应线圈810可由处理腔室110中的混合物诱导直接等离子体804。法拉第笼828可设置在感应线圈810和侧壁822之间。
基座112可在竖直方向V上可移动。例如,基座112可包括可配置为调节基座112和隔栅组件200之间的距离的竖直提升器816。作为一个示例,基座112可放置在第一竖直位置,用于使用远程等离子体802处理。基座112可在第二竖直位置,用于使用直接等离子体804处理。第一竖直位置相对于第二竖直位置可更靠近隔栅组件200。
图11的等离子体处理装置800包括在基座112中具有偏置电极710的偏置源。偏置电极710可经合适的匹配网络712耦合至RF功率发生器714。处理腔室110可包括用于从处理腔室110排出气体的排气口716。
尽管已经结合其特定的示例实施方式详细地描述了本主题,但是应当理解,本领域技术人员在获得前述的理解之后,可容易地为这些实施方式生成改变、变型和等效方案。因此,示例了而不是限制了本公开的范围,并且本公开不排除包括对本领域技术人员是显而易见的对本主题的这种修改、变型和/或添加。

Claims (20)

1.一种用于处理工件的方法,包括:
在处理腔室中,将工件放置在工件支撑件上,所述工件具有第一材料和第二材料,所述第二材料与所述第一材料不同;
在工件上进行基于有机自由基的表面处理工艺以相对于第二材料选择性修饰第一材料的吸附特征,使得第一材料具有第一吸附特征和第二材料具有第二吸附特征,第二吸附特征与第一吸附特征不同;和
在工件上进行沉积工艺,使得相对于第二材料,材料选择性沉积在第一材料上。
2.根据权利要求1所述的方法,其中所述基于有机自由基的表面处理工艺将工件暴露于一种或多种甲基自由基。
3.根据权利要求1所述的方法,其中所述基于有机自由基的表面处理工艺导致第一材料的甲基化。
4.根据权利要求1所述的方法,其中所述基于有机自由基的表面处理工艺包括:
在等离子体腔室中生成一种或多种物质;
将一种或多种烃分子与所述物质混合以形成混合物,所述混合物包括一种或多种有机自由基;和
在处理腔室中,将工件上的第一材料和第二材料暴露于所述混合物。
5.根据权利要求1所述的方法,其中所述基于有机自由基的表面处理工艺包括:
在等离子体腔室中,使用从工艺气体诱导的等离子体生成一种或多种物质;
过滤所述一种或多种物质以生成过滤的混合物;
过滤后将一种或多种烃分子与过滤的混合物混合以在等离子体腔室的外部和从等离子体腔室下游流动处形成混合物,所述混合物包括一种或多种有机自由基;和
将第一材料和第二材料暴露于一种或多种有机自由基。
6.根据权利要求1所述的方法,其中进行沉积工艺包括将第一材料和第二材料暴露于前体。
7.根据权利要求1所述的方法,其中所述第一材料包括介电材料且所述第二材料包括金属材料。
8.根据权利要求7所述的方法,其中在有机自由基表面处理工艺期间所述介电材料被甲基化且在有机自由基表面处理工艺期间所述金属材料未被甲基化。
9.根据权利要求8所述的方法,其中在沉积工艺期间沉积材料未沉积在介电材料上且沉积材料沉积在金属材料上。
10.根据权利要求4所述的方法,其中所述一种或多种烃分子具有CnH2n+2的化学式,其中n大于或等于1且小于或等于10。
11.根据权利要求4所述的方法,其中所述一种或多种烃分子具有CnH2n的化学式,其中n大于或等于2且n小于或等于。
12.根据权利要求5所述的方法,其中所述一种或多种物质在等离子体腔室中由工艺气体中诱导的等离子体生成。
13.根据权利要求12所述的方法,其中所述工艺气体是惰性气体。
14.根据权利要求13所述的方法,其中所述惰性气体是氦。
15.根据权利要求5所述的方法,其中所述工艺气体包括氢气且所述物质包括氢自由基。
16.根据权利要求1所述的方法,其中所述一种或多种有机自由基使用分子的热解或UV-辅助的分子解离生成。
17.根据权利要求4所述的方法,其中所述方法包括使用将等离子体腔室与处理腔室分开的隔栅过滤一种或多种离子。
18.一种用于在具有介电层和铜层的工件上对Al2O3进行沉积工艺的方法,所述方法包括:
在处理腔室中,将工件放置在工件支撑件上,所述工件具有介电层和铜层;
在工件上进行基于甲基自由基的表面处理工艺以相对于铜层选择性甲基化介电层以生成甲基化的介电层;和
进行沉积工艺以选择性相对于介电层在铜层上选择性沉积Al2O3层。
19.根据权利要求18所述的方法,其中所述基于甲基自由基的表面处理工艺相对于介电层修饰铜层的吸附特征。
20.根据权利要求19所述的方法,其中所述沉积工艺包括将工件暴露于三甲基铝和H2O分子。
CN202080004244.0A 2019-04-30 2020-04-29 使用甲基化处理选择性沉积 Pending CN112601836A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962840686P 2019-04-30 2019-04-30
US62/840,686 2019-04-30
PCT/US2020/030434 WO2020223326A1 (en) 2019-04-30 2020-04-29 Selective deposition using methylation treatment

Publications (1)

Publication Number Publication Date
CN112601836A true CN112601836A (zh) 2021-04-02

Family

ID=73015988

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080004244.0A Pending CN112601836A (zh) 2019-04-30 2020-04-29 使用甲基化处理选择性沉积

Country Status (6)

Country Link
US (1) US11164742B2 (zh)
JP (1) JP7311628B2 (zh)
KR (1) KR20210131441A (zh)
CN (1) CN112601836A (zh)
TW (1) TW202111144A (zh)
WO (1) WO2020223326A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11315801B2 (en) 2020-05-22 2022-04-26 Beijing E-Town Semiconductor Technology Co., Ltd Processing of workpieces using ozone gas and hydrogen radicals

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020059880A (ko) * 2001-01-08 2002-07-16 (주)에이피엘 반도체 집적소자 제조공정 및 장치
CN101388359A (zh) * 2004-04-19 2009-03-18 应用材料公司 改善低k电介质对导电材料粘附性的方法
CN102822949A (zh) * 2010-03-30 2012-12-12 东京毅力科创株式会社 用于半导体器件的含金属覆盖层的表面清洁和选择性沉积
US20140349480A1 (en) * 2013-05-24 2014-11-27 Applied Materials, Inc. Cobalt selectivity improvement in selective cobalt process sequence
US20160260616A1 (en) * 2015-03-02 2016-09-08 Applied Materials, Inc. Silicon selective removal
CN106057728A (zh) * 2015-04-07 2016-10-26 应用材料公司 介电常数恢复
US9837270B1 (en) * 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
CN109087885A (zh) * 2017-06-14 2018-12-25 Asm Ip 控股有限公司 金属膜的选择性沉积
US20190103280A1 (en) * 2017-10-03 2019-04-04 Mattson Technology, Inc. Surface treatment of carbon containing films using organic radicals
US20190109009A1 (en) * 2017-10-05 2019-04-11 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5811022A (en) 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
DE19744060C2 (de) 1997-10-06 1999-08-12 Fraunhofer Ges Forschung Verfahren und Vorrichtung zur Oberflächenbehandlung von Substraten
US6028015A (en) 1999-03-29 2000-02-22 Lsi Logic Corporation Process for treating damaged surfaces of low dielectric constant organo silicon oxide insulation material to inhibit moisture absorption
US6989108B2 (en) 2001-08-30 2006-01-24 Micron Technology, Inc. Etchant gas composition
US7541200B1 (en) 2002-01-24 2009-06-02 Novellus Systems, Inc. Treatment of low k films with a silylating agent for damage repair
US20040154743A1 (en) 2002-11-29 2004-08-12 Savas Stephen E. Apparatus and method for low temperature stripping of photoresist and residues
CN101457338B (zh) 2003-02-14 2011-04-27 应用材料股份有限公司 利用含氢自由基清洁自生氧化物的方法和设备
US20060081273A1 (en) 2004-10-20 2006-04-20 Mcdermott Wayne T Dense fluid compositions and processes using same for article treatment and residue removal
EP1961042A2 (en) 2005-12-07 2008-08-27 Nxp B.V. A method of forming a layer over a surface of a first material embedded in a second material in a structure for a semiconductor device
US7807579B2 (en) 2007-04-19 2010-10-05 Applied Materials, Inc. Hydrogen ashing enhanced with water vapor and diluent gas
KR100777043B1 (ko) 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
JP5132244B2 (ja) 2007-10-18 2013-01-30 大陽日酸株式会社 絶縁膜のダメージ回復方法および回復剤
KR20100123757A (ko) 2008-03-07 2010-11-24 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 비-선택적 산화물 에칭용 습윤 세정 조성물 및 사용 방법
JP2012506151A (ja) 2008-10-14 2012-03-08 アプライド マテリアルズ インコーポレイテッド プラズマ強化化学気相成長(pecvd)によって共形の非晶質炭素膜を堆積させる方法
US8501145B2 (en) 2009-07-12 2013-08-06 Mahmood Ghoanneviss Method for growing carbon nanowalls
US9653327B2 (en) 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
JP5989119B2 (ja) 2011-08-19 2016-09-07 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. プラズマリアクタ及びプラズマを生成する方法
WO2014013941A1 (ja) 2012-07-18 2014-01-23 東京エレクトロン株式会社 半導体装置の製造方法
US9469912B2 (en) 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US9735009B2 (en) 2014-09-15 2017-08-15 Applied Materials, Inc. Pre-clean of silicon germanium for pre-metal contact at source and drain and pre-high K at channel
US10078266B2 (en) 2016-02-26 2018-09-18 Mattson Technology, Inc. Implanted photoresist stripping process
US10580644B2 (en) 2016-07-11 2020-03-03 Tokyo Electron Limited Method and apparatus for selective film deposition using a cyclic treatment
TWI700750B (zh) 2017-01-24 2020-08-01 美商應用材料股份有限公司 用於介電薄膜的選擇性沉積之方法及設備
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020059880A (ko) * 2001-01-08 2002-07-16 (주)에이피엘 반도체 집적소자 제조공정 및 장치
CN101388359A (zh) * 2004-04-19 2009-03-18 应用材料公司 改善低k电介质对导电材料粘附性的方法
CN102822949A (zh) * 2010-03-30 2012-12-12 东京毅力科创株式会社 用于半导体器件的含金属覆盖层的表面清洁和选择性沉积
US20140349480A1 (en) * 2013-05-24 2014-11-27 Applied Materials, Inc. Cobalt selectivity improvement in selective cobalt process sequence
US20160260616A1 (en) * 2015-03-02 2016-09-08 Applied Materials, Inc. Silicon selective removal
CN106057728A (zh) * 2015-04-07 2016-10-26 应用材料公司 介电常数恢复
US9837270B1 (en) * 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
CN109087885A (zh) * 2017-06-14 2018-12-25 Asm Ip 控股有限公司 金属膜的选择性沉积
US20190103280A1 (en) * 2017-10-03 2019-04-04 Mattson Technology, Inc. Surface treatment of carbon containing films using organic radicals
US20190109009A1 (en) * 2017-10-05 2019-04-11 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate

Also Published As

Publication number Publication date
WO2020223326A1 (en) 2020-11-05
KR20210131441A (ko) 2021-11-02
US11164742B2 (en) 2021-11-02
JP2022530664A (ja) 2022-06-30
TW202111144A (zh) 2021-03-16
JP7311628B2 (ja) 2023-07-19
US20200350161A1 (en) 2020-11-05

Similar Documents

Publication Publication Date Title
CN111433895B (zh) 使用有机自由基对含碳膜的表面处理
CN112368803B (zh) 半导体设备制造中材料去除和表面处理的整合
CN112219266B (zh) 以使用烷基卤化物生成的反应性核素处理工件
US11626269B2 (en) Chamber seasoning to improve etch uniformity by reducing chemistry
US11164742B2 (en) Selective deposition using methylation treatment
WO2020236920A1 (en) Surface pretreatment process to improve quality of oxide films produced by remote plasma
CN112313777A (zh) 用于选择性亲水表面处理的臭氧
US20240165659A1 (en) Methods of processing workpieces using organic radicals

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination