TWI588239B - 選擇性蝕刻氮化鈦之組成物及方法 - Google Patents

選擇性蝕刻氮化鈦之組成物及方法 Download PDF

Info

Publication number
TWI588239B
TWI588239B TW101150897A TW101150897A TWI588239B TW I588239 B TWI588239 B TW I588239B TW 101150897 A TW101150897 A TW 101150897A TW 101150897 A TW101150897 A TW 101150897A TW I588239 B TWI588239 B TW I588239B
Authority
TW
Taiwan
Prior art keywords
ammonium
composition
acid
hydroxide
tetramethylammonium
Prior art date
Application number
TW101150897A
Other languages
English (en)
Other versions
TW201333171A (zh
Inventor
傑佛里A 巴尼斯
艾曼紐I 庫帕
陳立民
史帝芬 里皮
雷哈 拉加雷姆
涂勝宏
Original Assignee
恩特葛瑞斯股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 恩特葛瑞斯股份有限公司 filed Critical 恩特葛瑞斯股份有限公司
Publication of TW201333171A publication Critical patent/TW201333171A/zh
Application granted granted Critical
Publication of TWI588239B publication Critical patent/TWI588239B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/10Etching, surface-brightening or pickling compositions containing an inorganic acid containing a boron compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/06Etching, surface-brightening or pickling compositions containing an inorganic acid with organic material
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/02Local etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/30Acidic compositions for etching other metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/32Alkaline compositions
    • C23F1/40Alkaline compositions for etching other metallic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Weting (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

選擇性蝕刻氮化鈦之組成物及方法
本發明係有關一種於金屬導體及絕緣體材料(例如低k電介質)存在下選擇性地蝕刻氮化鈦及/或光阻蝕刻殘餘物之組成物及方法,及更明確言之,係有關於一種以比銅、鎢、及低k電介質材料的暴露層或下層之選擇性更高的蝕刻速率及有效地且有效率地蝕刻氮化鈦及/或光阻蝕刻殘餘物之組成物及方法。
光阻遮罩常見用於半導體工業來圖案化材料諸如半導體或電介質。於一項應用中,光阻遮罩用於雙重鑲嵌法以在微電子裝置的後端金屬化中形成互連體。雙重鑲嵌法涉及於金屬導體層諸如銅層上方的低k電介質層上形成光阻遮罩。然後,低k電介質層依據該光阻遮罩蝕刻而形成暴露出金屬導體層的通孔及/或溝槽。通孔及溝槽俗稱為雙重鑲嵌結構,通常係使用兩個光刻術步驟界定。然後光阻遮罩從低k電介質層移開,隨後,導體材料沉積於通孔及/或溝槽內部以形成互連體。
隨著微電子裝置尺寸的縮小,變成愈來愈難以達成通孔及溝槽的臨界尺寸。如此,使用金屬硬遮罩來提供通孔及溝槽的較佳輪廓控制。金屬硬遮罩可由鈦或氮化鈦製成,且於形成該雙重鑲嵌結構的通孔及/或溝槽之後,藉濕蝕刻法去 除。主要地,濕蝕刻法使用移除性化學而有效地去除金屬硬遮罩及/或光阻蝕刻殘餘物,而不影響下方金屬導體層及低k電介質材料。換言之,該移除性化學係要求對金屬導體層及低k電介質層高度敏感。
據此,本發明之一個目的係提供相對於存在的金屬導體層及低k電介質層可選擇性移除硬遮罩材料,同時不會有損硬遮罩的蝕刻速率之改良組成物。
本發明係有關於一種相對於存在的金屬導體層及低k電介質層選擇性地蝕刻硬遮罩層及/或光阻蝕刻殘餘物之組成物及方法。更明確言之,本發明係關於一種相對於銅、鎢、及低k電介質層選擇性地蝕刻氮化鈦及/或光阻蝕刻殘餘物之組成物及方法。
於一個態樣中,描述一種從其上具有氮化鈦及/或光阻蝕刻殘餘物材料的微電子裝置表面選擇性地去除該材料之組成物,該組成物包括至少一種氧化劑,至少一種蝕刻劑,及至少一種溶劑,其中該組成物係實質上不含過氧化氫。
於另一態樣中,描述一種從其上具有氮化鈦及/或光阻蝕刻殘餘物材料的微電子裝置表面選擇性地去除該材料之組成物,該組成物包括至少一種氧化劑,至少一種蝕刻劑,至少一種金屬腐蝕抑制劑,及至少一種溶劑。
於又另一態樣中,一種從其上具有氮化鈦材料之微電子裝 置表面蝕刻氮化鈦材料之方法,該方法包括該表面與包括至少一種氧化劑,至少一種蝕刻劑及至少一種溶劑之組成物接觸,其中該組成物為實質上不含過氧化氫,其中該組成物相對於金屬及絕緣材料而從該表面選擇性地去除氮化鈦材料。
於又另一個態樣中,一種從其上具有氮化鈦材料之微電子裝置表面蝕刻氮化鈦材料之方法,該方法包括該表面與包含至少一種氧化劑,至少一種蝕刻劑,至少一種金屬腐蝕抑制劑,及至少一種溶劑之組成物接觸,其中該組成物為實質上不含過氧化氫,其中該組成物相對於金屬及絕緣材料而從該表面選擇性地去除氮化鈦材料。
本發明之其它態樣、特徵及實施例由後文揭示內容及隨附之申請專利範圍將變得更為彰顯。
一般而言,本發明係有關於一種相對於存在的金屬導體層及低k電介質層選擇性地蝕刻硬遮罩層及/或光阻蝕刻殘餘物之組成物及方法。更明確言之,本發明係關於一種相對於銅、鎢、及低k電介質層選擇性地蝕刻氮化鈦及/或光阻蝕刻殘餘物之組成物及方法。可存在於微電子裝置上的其它材料不應藉該組成物實質上去除或腐蝕。
為了容易參考,「微電子裝置」係相對應於半導體基材、平板顯示器、相變記憶體裝置、太陽能面板及其它包括太陽能電池裝置的產品、光伏電池、及微機電系統(MEMS),該 等元件係製造供微電子、積體電路、能量收集、或電腦晶片應用所使用。須瞭解「微電子裝置」、「微電子基材」、「微電子裝置結構」等術語絕非意圖為限制性,包括最終將變成微電子裝置或微電子總成的任一種基材或結構。微電子裝置可為經圖案化的、全面性的控制裝置及/或測試裝置。
如本文使用,「硬罩覆蓋層」係相對應於沉積在電介質材料上方以於電漿蝕刻步驟期間保護電介質材料的材料。傳統上硬罩覆蓋層係為矽氮化物、矽氧氮化物、鈦氮化物、鈦氧氮化物、鈦及其它相似的化合物。
如本文使用,「氮化鈦」及「TiNx」係相對應於純質氮化鈦以及包括各種化學計算學及氧含量(TiOxNy)的不純質氮化鈦。
如本文使用,「約」意圖相對應於陳述值的±5%。
如本文定義,「低k電介質層材料」係相對應於用於層狀微電子裝置作為電介質材料的任一種材料,其中該材料具有小於約3.5之電介質常數。較佳地,低k電介質材料包括低極性材料諸如含矽有機聚合物、含矽有機/無機混成材料、有機矽酸鹽玻璃(OSG)、四乙氧基矽烷(TEOS)、氟化矽酸鹽玻璃(FSG)、二氧化矽、及摻碳氧化物(CDO)玻璃。須瞭解低k電介質材料可具有各種密度及各種孔隙度。
如本文定義,「金屬導體層」係包含銅、鎢、鈷、鉬、鋁、釕、包含該等金屬之合金、及其組合。
如本文定義,「胺」種類包括至少一種第一、第二及第三胺,但限制條件為(i)包括羧酸基及胺基的種類,(ii)包括胺基的界面活性劑,及(iii)其中胺基為取代基(附接至芳基或雜環部分)的種類依據本定義不考慮為「胺」。胺的化學式可表示為NR1R2R3,其中R1、R2及R3為彼此相同或相異且係選自由下列所組成之組群:氫、直鏈或分支鏈C1-C6烷基(例如甲基、乙基、丙基、丁基、戊基、己基)、C6-C10芳基(例如苯甲基)、直鏈或分支鏈C1-C6烷醇(例如甲醇、乙醇、丙醇、丁醇、戊醇、己醇)、及其組合,但限制條件為R1、R2及R3不可皆為氫。
如本文定義,「光阻蝕刻殘餘物」如熟諳技藝人士方便瞭解係相對應於包含光阻材料、或為光阻於蝕刻步驟或灰化步驟後之副產物的任一種殘餘物。
「實質上不含」於本文係定義為低於2 wt.%,較佳低於1 wt.%,更佳低於0.5 wt.%,又更佳低於0.1 wt.%,及最佳為0 wt.%。
如本文使用,「氟化物」種類係相對應於包括離子性氟陰離子(F-)或共價鍵結氟的種類。須瞭解氟種類可包括作為氟陰離子種類或於原位產生。
如本文使用,「氯陰離子」種類係相對應於包括離子性氯陰離子(Cl-)的種類,但限制條件為包括氯陰離子的界面活性劑依據本定義不考慮為「氯化物」。
如本文定義,強鹼為具有至少一個pKa大於11的任一種鹼,而弱鹼為具有至少一個pKa小於11的任一種鹼。
本發明之組成物可於寬廣多種特定配方實施,容後詳述。
於全部此等組成物中,其中組成物的特定成分係參考包括零下限的重量百分比範圍討論,須瞭解此等組成物可存在於或不存在於多個特定組成物之實施例,於存在有此種成分之情況下,以採用此等成分之組成物的總重為基準,此等成分係以低至0.001重量百分比之濃度存在。
本發明之實施例包括去除硬遮罩及/或光阻蝕刻殘餘物之一種化學。於一個實施例中,去除組成物係為濕蝕刻液,該濕蝕刻液去除電介質層上的金屬硬遮罩及/或光阻蝕刻殘餘物,且對電介質層下方及電介質層本身的金屬導體層高度具有選擇性。於更特定實施例中,去除組成物係為去除氮化鈦層及/或光阻蝕刻殘餘物的濕蝕刻液,及對銅、鎢及低k電介質材料高度具有選擇性。
據此,於一個態樣中,描述一種從具有氮化鈦及/或光阻蝕刻殘餘物材料於其上的微電子裝置表面選擇性去除該等材料之組成物,該組成物包括至少一種氧化劑及至少一種蝕刻劑,其中該組成物係實質上不含過氧化氫。於一個實施例中,從具有氮化鈦及/或光阻蝕刻殘餘物材料於其上的微電子裝置表面選擇性去除該等材料之組成物,該組成物包含、其組成為、或其主要組成為至少一種氧化劑,至少一種蝕刻 劑及至少一種溶劑,其中該組成物為實質上不含過氧化氫。於另一個實施例中,從具有氮化鈦及/或光阻蝕刻殘餘物材料於其上的微電子裝置表面選擇性去除該等材料之組成物,該組成物包含、其組成為、或其主要組成為至少一種氧化劑,至少一種蝕刻劑,至少一種腐蝕抑制劑,及至少一種溶劑,其中該組成物為實質上不含過氧化氫。於又另一個實施例中,從具有氮化鈦及/或光阻蝕刻殘餘物材料於其上的微電子裝置表面選擇性去除該等材料之組成物,該組成物包含、其組成為、或其主要組成為至少一種氧化劑,至少一種蝕刻劑,至少一種腐蝕抑制劑,至少一種界面活性劑,及至少一種溶劑,其中該組成物為實質上不含過氧化氫。於又另一個實施例中,從具有氮化鈦及/或光阻蝕刻殘餘物材料於其上的微電子裝置表面選擇性去除該等材料之組成物,該組成物包含、其組成為、或其主要組成為至少一種氧化劑,至少一種蝕刻劑,至少一種腐蝕抑制劑,至少一種鈍化劑,及至少一種溶劑,其中該組成物為實質上不含過氧化氫。於額外實施例中,從具有氮化鈦及/或光阻蝕刻殘餘物材料於其上的微電子裝置表面選擇性去除該等材料之組成物,該組成物包含、其組成為、或其主要組成為至少一種氧化劑,至少一種蝕刻劑,至少一種腐蝕抑制劑,至少一種溶劑,及至少一種碘清除劑,其中該組成物為實質上不含過氧化氫。於第一態樣之各個實施例中,可添加至少一種含矽化合物。較佳 地,以組成物之總重為基準,此等組成物包含至少95 wt%水,更佳至少97 wt%水,及最佳至少98 wt%水。優異地,此等組成物具有氮化鈦對鎢之選擇性大於50:1及鎢之去除率小於1埃分鐘-1,又更佳大於60:1,及鎢之去除率小於0.5埃分鐘-1。此等組成物實質上不含如本文中定義的胺類、腐蝕材料、氯陰離子源、金屬鹵化物、及其組合。此等組成物具有於0至4較佳為1至3之範圍的pH值。
於第二態樣中,描述一種從具有氮化鈦及/或光阻蝕刻殘餘物材料於其上的微電子裝置表面選擇性去除該等材料之組成物,該組成物包括至少一種氧化劑及至少一種蝕刻劑。於一個實施例中,從具有氮化鈦及/或光阻蝕刻殘餘物材料於其上的微電子裝置表面選擇性去除該等材料之組成物,該組成物包含、其組成為、或其主要組成為至少一種氧化劑,至少一種蝕刻劑,至少一種金屬腐蝕抑制劑,及至少一種溶劑。於另一個實施例中,從具有氮化鈦及/或光阻蝕刻殘餘物材料於其上的微電子裝置表面選擇性去除該等材料之組成物,該組成物包含、其組成為、或其主要組成為至少一種氧化劑,至少一種蝕刻劑,至少一種羧酸鹽,至少一種金屬腐蝕抑制劑,及至少一種溶劑。於又另一個實施例中,從具有氮化鈦及/或光阻蝕刻殘餘物材料於其上的微電子裝置表面選擇性去除該等材料之組成物,該組成物包含、其組成為、或其主要組成為至少一種氧化劑,至少一種蝕刻劑,至 少一種羧酸鹽,至少一種金屬腐蝕抑制劑,至少一種氧化劑安定劑,及至少一種溶劑。於又另一個實施例中,從具有氮化鈦及/或光阻蝕刻殘餘物材料於其上的微電子裝置表面選擇性去除該等材料之組成物,該組成物包含、其組成為、或其主要組成為至少一種氧化劑,至少一種蝕刻劑,至少一種羧酸鹽,至少一種金屬腐蝕抑制劑,至少一種氧化劑安定劑,至少一種界面活性劑,及至少一種溶劑。於另一個實施例中,從具有氮化鈦及/或光阻蝕刻殘餘物材料於其上的微電子裝置表面選擇性去除該等材料之組成物,該組成物包含、其組成為、或其主要組成為至少一種氧化劑,至少一種蝕刻劑,至少一種金屬腐蝕抑制劑,至少一種氧化劑安定劑,至少一種界面活性劑,及至少一種溶劑。於又另一個實施例中,從具有氮化鈦及/或光阻蝕刻殘餘物材料於其上的微電子裝置表面選擇性去除該等材料之組成物,該組成物包含、其組成為、或其主要組成為至少一種氧化劑,至少一種蝕刻劑,至少一種金屬腐蝕抑制劑,至少一種氧化劑安定劑,及至少一種溶劑。於第二態樣之各個實施例中,可添加至少一種含矽化合物。此等組成物為實質上不含矽酸鹽類、磨蝕材料、氯陰離子源、金屬鹵化物及其組合。此等組成物具有於約5至約10,較佳於約6至約9之範圍之pH值。
添加蝕刻劑以提升氮化鈦的蝕刻速率。預期涵蓋的蝕刻劑包括但非限於HF、氟化銨、四氟硼酸、六氟矽酸、其它含 B-F或Si-F鍵結之化合物、四氟硼酸四丁基銨(TBA-BF4)、氟化四烷基銨(NR1R2R3R4F)、氫氧化四烷基銨(NR1R2R3R4OH),於該處R1、R2、R3、R4可彼此相同或相異且係選自由直鏈或分支鏈C1-C6烷基(例如甲基、乙基、丙基、丁基、戊基、己基)、C1-C6烷氧基(例如羥基乙基、羥基丙基)經取代之或未經取代之芳基(例如苯甲基)所組成的組群、弱鹼、及其組合。較佳地,氟陰離子源包括四氟硼酸、六氟矽酸、氟化銨、氟化四甲基銨、氫氧化四甲基銨、六氟矽酸銨、六氟鈦酸銨、或氟化銨與氟化四甲基銨之組合。另外,或除了氟陰離子源之外,該蝕刻劑可包括一種強鹼諸如氫氧化四甲基銨(TMAH)、氫氧化四乙基銨(TEAH)、氫氧化四丙基銨(TPAH)、氫氧化四丁基銨(TBAH)、氫氧化苯甲基三甲基銨(BTMAH)、氫氧化鉀、氫氧化銨、氫氧化苯甲基三乙基銨(BTEAH)、氫氧化四丁基鏻(TBPH)、氫氧化(2-羥基乙基)三甲基銨、氫氧化(2-羥基乙基)三乙基銨、氫氧化(2-羥基乙基)三丙基銨、氫氧化(1-羥基丙基)三甲基銨、氫氧化乙基三甲基銨、氫氧化二乙基二甲基銨(DEDMAH)、1,1,3,3-四甲基胍(TMG)、碳酸胍、精胺酸、及其組合。預期涵蓋之弱鹼包括但非限於氫氧化銨、一乙醇胺(MEA)、二乙醇胺(DEA)、三乙醇胺(TEA)、伸乙基二胺、半胱胺酸、及其組合。最佳地,蝕刻劑包含六氟矽酸。
含括氧化劑以氧化TiNx中的Ti3+。本文預期涵蓋的氧化劑 包括但非限於過氧化氫(H2O2)、FeCl3、FeF3、Fe(NO3)3、Sr(NO3)2、CoF3、MnF3、奧松(oxone)(2KHSO5‧KHSO4‧K2SO4)、過碘酸、碘酸、氧化釩(V)、氧化釩(IV、V)、釩酸銨、銨多原子鹽類(例如過氧基一硫酸銨)、亞氯酸銨(NH4ClO2)、氯酸銨(NH4ClO3)、碘酸銨(NH4IO3)、硝酸銨(NH4NO3)、過硼酸銨(NH4BO3)、過氯酸銨(NH4ClO4)、過碘酸銨(NH4IO4)、過硫酸銨((NH4)2S2O8)、次氯酸銨(NH4ClO)、鎢酸銨((NH4)10H2(W2O7))、鈉多原子鹽類(例如過硫酸鈉(Na2S2O8)、次氯酸鈉(NaClO)、過硼酸鈉)、鉀多原子鹽類(例如碘酸鉀(KIO3)、過錳酸鉀(KMnO4)、過硫酸鉀、硝酸(HNO3)、過硫酸鉀(K2S2O8)、次氯酸鉀(KClO))、四甲基銨多原子鹽類(例如亞氯酸四甲基銨((N(CH3)4)ClO2)、氯酸四甲基銨((N(CH3)4)ClO3)、碘酸四甲基銨((N(CH3)4)IO3)、過硼酸四甲基銨((N(CH3)4)BO3)、過氯酸四甲基銨((N(CH3)4)ClO4)、過碘酸四甲基銨((N(CH3)4)IO4)、過硫酸四甲基銨((N(CH3)4)S2O8))、四丁基銨多原子鹽類(例如過氧基一硫酸四丁基銨)、過氧基一硫酸、硝酸鐵(Fe(NO3)3)、尿素過氧化氫((CO(NH2)2)H2O2)、過乙酸(CH3(CO)OOH)、1,4-苯醌、甲苯醌、二甲基-1,4-苯醌、四氯醌、四氧嘧啶、N-甲基啉N-氧化物、三甲基胺N-氧化物、及其組合。當氧化劑為鹽時,氧化劑可為水合或為無水。氧化劑可在組成物的導引至裝置晶圓之前在製造商處導入該組成物內,或另外 可在裝置晶圓亦即原位(in situ)導入組成物。較佳,第二態樣之組成物之氧化劑包括過氧化氫。較佳,第一態樣之組成物之氧化劑包括氧化釩、碘酸銨、過碘酸銨、碘酸、或過碘酸。
當氧化劑包含碘酸鹽或過碘酸鹽時,較佳添加碘清除劑至該去除組成物。雖然不欲受理論所限,但相信碘酸鹽或過碘酸鹽減少,碘的累積可提高銅蝕刻速率。碘清除劑包括但非限於酮類,更佳為具有在甲醯基的α位置之氫的酮類,諸如4-甲基-2-戊酮、2,4-二甲基-3-戊酮、環己酮、5-甲基-3-庚酮、3-戊酮、5-羥基-2-戊酮、2,5-己二酮、4-羥基-4-甲基-2-戊酮、丙酮、丁酮、2-甲基-2-丁酮、3,3-二甲基-2-丁酮、4-羥基-2-丁酮、環戊酮、2-戊酮、3-戊酮、1-苯基乙酮、苯乙酮、二苯甲酮、2-己酮、3-己酮、2-庚酮、3-庚酮、4-庚酮、2,6-二甲基-4-庚酮、2-辛酮、3-辛酮、4-辛酮、二環己基甲酮、2,6-二甲基環己酮、2-乙醯基環己酮、2,4-戊二酮、薄荷酮、及其組合。較佳地,碘清除劑包括4-甲基-2-戊酮、2,4-二甲基-3-戊酮、或環己酮。
添加羧酸鹽以提高TiNx的蝕刻速率且具有大為抗氧化性質。雖然不欲受理論所限,但相信羧酸鹽類進行原位反應來製造過羧酸鹽,過羧酸鹽為極為強力的氧化劑。較佳地,羧酸鹽包含銨陽離子或四烷基銨陽離子([NR1R2R3R4]+,於該處R1、R2、R3及R4可彼此相同或相異且係選自於由氫及C1-C6 烷基(例如甲基、乙基、丙基、丁基、戊基、己基)所組成的組群),及陰離子係選自由乙酸根、苯甲酸根、丙酸根、檸檬酸根、甲酸根、草酸根、酒石酸根、丁二酸根、乳酸根、順丁烯二甲酸根、丙二酸根、反丁烯二甲酸根、蘋果酸根、抗壞血酸根、扁桃酸根、及鄰苯二甲酸根所組成之組群。最佳,羧酸鹽類包含乙酸銨、苯甲酸銨或其組合。
添加金屬腐蝕抑制劑以阻斷氧化劑及羧酸鹽(當存在時)的氧化活性。預期涵蓋於本文的金屬腐蝕抑制劑包括但非限於5-胺基-1,3,4-噻二唑-2-硫醇(ATDT)、苯并三唑(BTA)、1,2,4-三唑(TAZ)、甲苯基三唑、5-甲基-苯并三唑、5-苯基-苯并三唑、5-硝基-苯并三唑、苯并三唑羧酸、3-胺基-5-巰基-1,2,4-三唑、1-胺基-1,2,4-三唑、羥基苯并三唑、2-(5-胺基-戊基)-苯并三唑、1-胺基-1,2,3-三唑、1-胺基-5-甲基-1,2,3-三唑、3-胺基-1,2,4-三唑、3-巰基-1,2,4-三唑、3-異丙基-1,2,4-三唑、5-苯基硫醇-苯并三唑、鹵代-苯并三唑類(鹵=F、Cl、Br或I)、萘并三唑、2-巰基苯并咪唑(MBI)、2-巰基苯并噻唑、4-甲基-2-苯基咪唑、2-巰基噻唑啉、5-胺基四唑、伸戊基四唑、5-苯基-1H-四唑、5-苯甲基-1H-四唑、阿布明(Ablumine)O(台灣界面活性劑)、2-苯甲基吡啶、丁二醯亞胺、2,4-二胺基-6-甲基-1,3,5-三、噻唑、三、甲基四唑、1,3-二甲基-2-咪唑啶酮、1,5-五亞甲基四唑、1-苯基-5-巰基四唑、二胺基甲基三、咪唑啉硫酮、4-甲基-4H-1,2,4-三唑-3-硫醇、 苯并噻唑、咪唑、吲唑、腺苷、咔唑、糖精、及安息香肟。額外腐蝕抑制劑包括陽離子性第四鹽類諸如氯化苯甲烷鎓、氯化苯甲基二甲基十二烷基銨、溴化肉豆蔻基三甲基銨、溴化十二烷基三甲基銨、氯化十六烷基吡啶鎓、愛麗夸(Aliquat)336(柯尼斯(Cognis))、氯化苯甲基二甲基苯基銨、克羅拉夸(Crodaquat)TES(克羅拉公司(Croda Inc.)、里沃夸(Rewoquat)CPEM(惠特可(Witco))、對-甲苯磺酸十六烷基三甲基銨、氫氧化十六烷基三甲基銨、二氯化1-甲基-1’-十四烷基-4,4’-聯吡啶鎓、溴化烷基三甲基銨、鹽酸安普羅利(amprolium)、氫氧化苯乙鎓、氯化苯乙鎓、氯化苯甲基二甲基十六烷基銨、氯化苯甲基二甲基十四烷基銨、溴化苯甲基十二烷基二甲基銨、氯化苯甲基十二烷基二甲基銨、氯化鯨蠟基吡啶鎓、膽鹼對-甲苯磺酸鹽、溴化二甲基二-十八烷基銨、溴化十二烷基乙基二甲基銨、氯化十二烷基三甲基銨、溴化乙基十六烷基二甲基銨、吉拉德氏(Girard’s)試劑、磷酸二氫十六烷基(2-羥基乙基)二甲基銨、溴化十六烷基吡啶鎓、溴化十六烷基三甲基銨、氯化十六烷基三甲基銨、氯化甲基苯乙鎓、玻璃胺(Hyamine®)1622、路威夸(LuviquatTM)、N,N’,N’-多氧伸乙基(10)-N-獸脂-1,3-二胺基丙烷液體、溴化羥基苯酮鎓、溴化四庚基銨、溴化肆(癸基)銨、溴化通左銨(thonzonium bromide)、氯化三-十二烷基銨、溴化三甲基十八烷基銨、四氟硼酸1-甲基-3-正辛基咪唑鎓、 四氟硼酸1-癸基-3-甲基咪唑鎓、氯化1-癸基-3-甲基咪唑鎓、溴化三-十二烷基甲基銨、氯化二甲基二硬脂基銨、及氯化六羥季銨(hexamethonium chloride)。其它腐蝕抑制劑包括非離子性界面活性劑諸如聚福克斯(PolyFox)PF-159(安諾瓦(OMNOVA)溶液)、聚(乙二醇)(「PEG」)、聚(丙二醇)(「PPG」)、PEG-PPG共聚物諸如普隆尼克(Pluronic)F-127(巴斯夫公司(BASF))、陰離子性界面活性劑諸如十二烷基苯磺酸、十二烷基苯磺酸鈉、及其組合。第四鹽可作為腐蝕抑制劑(特別為銅及鎢)及濕潤劑二者。熟諳技藝人士顯然易知,雖然第四鹽於商業上最常係以氯化物或溴化物取得,但鹵化物陰離子溶液與非鹵化物陰離子諸如硫酸根、甲烷磺酸根、硝酸根、氫氧根等做離子交換。此等轉換後的第四鹽也預期涵蓋於此處。於特佳實施例中,已知5-甲基-1H-苯并三唑可阻斷氧化劑對抗銅的氧化活性。其它較佳腐蝕抑制劑包括陽離子性第四鹽類,更佳為溴化肉豆蔻基三甲基銨、氯化苯甲烷鎓、對甲苯磺酸十六烷基三甲基銨及氫氧化十六烷基三甲基銨及四唑類諸如5-苯甲基-1H-四唑。
第一態樣或第二態樣之組成物進一步包括至少一種低k鈍化劑以減少低k電介質層的化學攻擊及保護晶圓免於額外氧化。較佳低k鈍化劑包括但非僅限於硼酸、硼酸鹽類,諸如五硼酸銨、四硼酸鈉、3-羥基-2-萘甲酸、丙二酸、亞胺基二乙酸。當存在時,以組成物之總重為基準,該組成物包括約 0.01 wt%至約2 wt%低k鈍化劑。較佳地,以下方低k材料之總重為基準,小於2 wt%的下方低k材料使用此處描述之組成物蝕刻/去除,更佳小於1 wt.%,最佳小於0.5 wt.%。
第一或第二態樣之組成物進一步包括至少一種含矽化合物以減低蝕刻劑來源活性。於一個實施例中,該至少一種含矽化合物包括烷氧基矽烷。意圖涵蓋之烷氧基矽烷具有通式SiR1R2R3R4,其中該R1、R2、R3及R4為彼此相同或相異且係選自由直鏈C1-C6烷基(例如甲基、乙基、丙基、丁基、戊基、己基)、分支鏈C1-C6烷基、C1-C6烷氧基(例如甲氧基、乙氧基、丙氧基、丁氧基、戊氧基、己氧基)、苯基及其組合所組成之組群。熟諳技藝人士須瞭解為了特徵化烷氧基矽烷,R1、R2、R3或R4中之至少一者須為C1-C6烷氧基。意圖涵蓋之烷氧基矽烷類包括甲基三甲氧基矽烷、二甲基二甲氧基矽烷、苯基三甲氧基矽烷、四乙氧基矽烷(TEOS)、N-丙基三甲氧基矽烷、N-丙基三乙氧基矽烷、己基三甲氧基矽烷、己基三乙氧基矽烷、及其組合。可用以替代或除外烷氧基矽烷而使用的其它含矽化合物包括六氟矽酸銨、矽酸鈉、矽酸四甲基銨(TMAS)、及其組合。較佳地,含矽化合物包括TEOS、TMAS及矽酸鈉。當存在時,以組成物之總重為基準,含矽化合物之含量係於約0.001 wt%至約2 wt%之範圍。
在使用的時間點之前的任何時間,特別當氧化劑和其它成分組合時,氧化劑安定劑可被添加至水性組成物。氧化劑安 定劑包括但非限於甘胺酸、絲胺酸、脯胺酸、白胺酸、丙胺酸、天冬醯胺、天冬酸、麩胺、纈胺酸、及離胺酸、氮基三乙酸、亞胺基二乙酸、羥乙磷酸、伸乙基二胺四乙酸(EDTA)、(1,2-伸環己基二氮基)四乙酸(CDTA)、尿酸、四乙二醇二甲醚、二伸乙基三胺五乙酸、伸丙基二胺四乙酸、伸乙基二胺二丁二酸、對-胺基苯磺醯胺、及其組合。較佳地,氧化劑安定劑包括CDTA、對胺基苯磺醯胺或其組合。
特別當pH為低pH時,為了確保濕潤,可添加界面活性劑至水性組成物,較佳為抗氧化氟化陰離子性界面活性劑。預期涵蓋於本發明之組成物的陰離子性界面活性劑包括但非僅限於含氟界面活性劑諸如佐尼爾(ZONYL)UR及佐尼爾FS-62(杜邦加拿大公司(DuPont Canada Inc.),加拿大安大略省米希紹佳),及氟烷基磺酸銨諸如諾瓦克(Novec)4300(3M)。當使用的蝕刻劑含有氟陰離子時,預期涵蓋使用長鏈氟化四烷基銨,其可用作為界面活性劑及蝕刻劑。
該至少一種溶劑可包括水,至少一種水可相溶混的有機溶劑或其組合,其中該至少一種水可相溶混的有機溶劑係選自由下列所組成的組群:式R1R2R3C(OH)之化合物,於該處R1、R2及R3彼此獨立且係選自由氫、C2-C30烷基、C2-C30烯、環烷基、C2-C30烷氧基、及其組合所組成之組群。舉例言之,該至少一種溶劑可包含選自由下列所組成之組群中之至少一者:水、甲醇、乙醇、異丙醇、丁醇、戊醇、己醇、2- 乙基-1-己醇、庚醇、辛醇、乙二醇、丙二醇、丁二醇、碳酸伸丁酯、碳酸伸乙酯、碳酸伸丙酯、二丙二醇、二乙二醇一甲醚、三乙二醇一甲醚、二乙二醇一乙醚、三乙二醇一乙醚、乙二醇一丙醚、乙二醇一丁醚、二乙二醇一丁醚、三乙二醇一丁醚、乙二醇一己醚、二乙二醇一己醚、乙二醇苯醚、丙二醇甲醚、二丙二醇甲醚(DPGME)、三丙二醇甲醚(TPGME)、二丙二醇二甲醚、二丙二醇乙醚、丙二醇正丙醚、二丙二醇正丙醚(DPGPE)、三丙二醇正丙醚、丙二醇正丁醚、二丙二醇正丁醚、三丙二醇正丁醚、丙二醇苯醚、2,3-二氫十氟戊烷、乙基全氟丁基醚、甲基全氟丁基醚、烷基碳酸酯類、伸烷基碳酸酯類、4-甲基-2-戊醇、及其組合。較佳地,該至少一種溶劑包括水,最佳包括去離子水。
於另一個實施例中,本發明組成物中之任一者進一步包含氮化鈦及/或光阻蝕刻材料殘餘物,其中該殘餘物係懸浮於及/或溶解於該水性組成物。
於一個實施例中,第一態樣之組成物包含、其組成為、或其主要組成為至少一種氧化劑,至少一種蝕刻劑,及至少一種溶劑,其中該組成物為實質上不含過氧化氫,以組成物之總重為基準係以下述範圍存在:
較佳地,該氧化劑包括氧化釩、碘酸銨、過碘酸、或1,4-苯醌。較佳地,蝕刻劑包括四氟硼酸或六氟矽酸。當該氧化劑包含碘酸鹽或過碘酸鹽化合物時,第一態樣之去除組成物較佳地進一步包括至少一種碘清除劑。
於另一個實施例中,第一態樣之組成物包含、其組成為、或其主要組成為至少一種氧化劑,至少一種蝕刻劑,至少一種溶劑,及至少一種腐蝕抑制劑,其中該組成物為實質上不含過氧化氫,以組成物之總重為基準係以下述範圍存在:
較佳地,該氧化劑包括氧化釩、碘酸銨、過碘酸、或1,4-苯醌。較佳地,蝕刻劑包括四氟硼酸或六氟矽酸。當該氧化劑包含碘酸鹽或過碘酸鹽化合物時,第一態樣之去除組成物較佳地進一步包括至少一種碘清除劑。
於另一個實施例中,第一態樣之組成物包含、其組成為、或其主要組成為至少一種氧化劑,至少一種蝕刻劑,至少一種溶劑,至少一種腐蝕抑制劑,及至少一種界面活性劑,其中該組成物為實質上不含過氧化氫,以組成物之總重為基準 係以下述範圍存在:
較佳地,該氧化劑包括氧化釩、碘酸銨、過碘酸、或1,4-苯醌。較佳地,蝕刻劑包括四氟硼酸或六氟矽酸。當該氧化劑包括碘酸鹽或過碘酸鹽化合物時,第一態樣之去除組成物較佳地進一步包括至少一種碘清除劑。
於又另一個實施例中,第一態樣之組成物包含、其組成為、或其主要組成為至少一種氧化劑,至少一種蝕刻劑,至少一種溶劑,至少一種腐蝕抑制劑,及至少一種鈍化劑,其中該組成物為實質上不含過氧化氫,以組成物之總重為基準係以下述範圍存在:
較佳地,該氧化劑包括氧化釩、碘酸銨、過碘酸、或1,4-苯醌。較佳地,蝕刻劑包含四氟硼酸或六氟矽酸。當該氧化劑包含碘酸鹽或過碘酸鹽化合物時,第一態樣之去除組成物較佳地進一步包括至少一種碘清除劑。
於另一個實施例中,第一態樣之組成物包含、其組成為、或其主要組成為至少一種氧化劑,至少一種蝕刻劑,至少一種溶劑,至少一種腐蝕抑制劑,至少一種含矽化合物,及至少一種鈍化劑,其中該組成物為實質上不含過氧化氫,以組成物之總重為基準係以下述範圍存在:
較佳地,該氧化劑包括氧化釩、碘酸銨、過碘酸、或1,4-苯醌。較佳地,蝕刻劑包含四氟硼酸或六氟矽酸。當該氧化劑包含碘酸鹽或過碘酸鹽化合物時,第一態樣之去除組成物較佳地進一步包括至少一種碘清除劑。
最佳地,第一態樣之去除組成物包含、其組成為、或其主要組成為至少一種碘酸鹽或過碘酸鹽氧化劑,至少一種蝕刻劑,至少一種溶劑,至少一種腐蝕抑制劑,至少一種鈍化劑,至少一種含矽化合物,及至少一種碘清除劑,其中該組成物 為實質上不含過氧化氫。又更佳地,第一態樣之去除組成物包含、其組成為、或其主要組成為至少一種碘酸鹽或過碘酸鹽氧化劑,一種六氟矽酸,水,至少一種腐蝕抑制劑,至少一種鈍化劑,至少一種含矽化合物,及至少一種碘清除劑,其中該組成物為實質上不含過氧化氫。最佳地,第一態樣之去除組成物包含、其組成為、或其主要組成為碘酸銨、六氟矽酸、水、TEOS、至少一個種腐蝕抑制劑,至少一種鈍化劑及選自由4-甲基-2-戊酮、2,4-二甲基-3-戊酮、環己酮、及5-甲基-3-庚酮所組成之組群中之至少一種碘清除劑,其中該組成物為實質上不含過氧化氫。於另一個替代例中,第一態樣之去除組成物包含、其組成為、或其主要組成為至少一種碘酸鹽或過碘酸鹽氧化劑,至少一種蝕刻劑,至少一種溶劑,至少一種腐蝕抑制劑,及至少一種含矽化合物,其中該組成物為實質上不含過氧化氫。又更佳地,第一態樣之去除組成物包含、其組成為、或其主要組成為至少一種碘酸鹽或過碘酸鹽氧化劑,一種六氟矽酸,水,至少一種腐蝕抑制劑,及至少一種含矽化合物,其中該組成物為實質上不含過氧化氫。最佳地,第一態樣之去除組成物包含、其組成為、或其主要組成為碘酸銨、六氟矽酸、水、TEOS、及至少一種腐蝕抑制劑,其中該組成物為實質上不含過氧化氫。
於第二態樣之組成物之一實施例中,該組成物包含、其組成為、或其主要組成為至少一種氧化劑,至少一種蝕刻劑, 至少一種金屬腐蝕抑制劑,至少一種氧化劑安定劑,及至少一種溶劑,以組成物之總重為基準,係以下述範圍存在:
較佳地,氧化劑包括過氧化氫及蝕刻劑包括TMAH。
第二態樣之組成物之又另一個實施例中,該組成物包含、其組成為、或其主要組成為至少一種氧化劑,至少一種蝕刻劑,至少一種金屬腐蝕抑制劑,至少一種氧化劑安定劑,至少一種羧酸鹽,至少一種界面活性劑,及至少一種溶劑,以組成物之總重為基準,係以下述範圍存在:
較佳地,氧化劑包括過氧化氫,蝕刻劑包括TMAH,及該羧酸鹽包括乙酸銨。
實務上顯然常見在使用前將濃縮形式的組成物稀釋。例如組成物能以更濃縮形式製造及隨後在製造商、在使用前及/ 或在工廠的使用期間以至少一種溶劑稀釋。稀釋比可於約0.1份稀釋劑:1份組成物濃縮劑至約100份稀釋劑:1份組成物濃縮劑之範圍。進一步須瞭解本文所述組成物包括氧化劑,氧化劑隨著時間的經過可能不安定。據此,濃縮形式可實質上不含氧化劑,氧化劑可由製造商在使用前及/或工廠使用期間由製造商導入濃縮劑或稀釋組成物內。
本文描述之組成物容易藉單純添加個別成分及混合成均質狀況來調配。此外,組成物容易調配成單一包裝配方或多份式配方,其在使用點或在使用點之前混合,較佳為多份式配方。多份式配方的個別部分可於工具或於混合區域/區諸如線上混合機或於工具上游的儲存槽內混合。預期當混合形成期望的組成物時,多份式配方的個別部分可含有組成分/成分的各種組合。個別成分之濃度能以組成物的特定倍數改變,亦即更稀或更濃,且須瞭解組成物可另外地或替代地包含、其組成為或其主要組成為符合本文揭示之成分之任一種組合。
據此,第三態樣係有關於一種包含適用以形成本文描述之組成物的一或多個成分於一或多個容器之套組。該套組之容器適合儲存於運送該去除組成物成分,例如紐派克(NOWPak)容器(先進技術材料公司(Advanced Technology Materials,Inc.),美國康乃迪克州,丹伯利)。含有組成物的成分之一或多個容器較佳含有將該等一或多個容器內之各 成分變成可流體連通進行摻混與配送的裝置。舉例言之,述及紐派克(NOWPak)容器,氣體壓力可施加至該等一或多個容器的內襯外側以使得內襯的內容物部分排放,及因而許可做流體連通進行摻混與配送。另外,可施加氣體壓力至習知可加壓容器的頂上空間,或可使用泵浦來允許達成流體連通。此外,系統較佳包括配送摻混組成物至加工工具的配送埠口。
實質上化學惰性、不含雜質、可撓性及反彈性聚合物膜材諸如高密度聚乙烯較佳用以製造該等一或多個容器的內襯。期望的內襯材料係經加工而不需要共擠塑層或障蔽層,也不含可能對欲置於該內襯內的成分之純度要求造成不良影響的任何顏料、紫外光抑制劑、或加工劑。期望的內襯材料之表列包括原始(不含添加劑)聚乙烯、初始的聚四氟乙烯(PTFE)、聚丙烯、聚胺基甲酸酯、聚亞乙烯氯、聚氯乙烯、聚縮醛、聚苯乙烯、聚丙烯腈、聚丁烯等。此種內襯材料之較佳厚度係於約5密耳(0.005吋)至約30密耳(0.030吋)之範圍,例如20密耳(0.020吋)之厚度。
有關套組的容器,下列專利案及專利申請案之揭示係全文爰引於此並融入本說明書的揭示:美國專利案第7,188,644號,名稱「最小化於超純液體中粒子的產生之裝置及方法(APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS)」;美國專利案第6,698,619號,名稱「可返回且可重複使用的袋於轉鼓流體儲存與配送容器系統(RETURNABLE AND REUSABLE,BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM)」;及PCT/US08/63276名稱「材料摻混及分配系統及方法(SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION)」,申請日2008年5月9日。
於第四態樣中,本發明係有關於使用如本文所述第一態樣之組成物或第二態樣之組成物從具有氮化鈦材料於其上的該微電子裝置表面蝕刻氮化鈦材料之方法。例如,氮化鈦材料可被去除而實質上不損害/移除存在於微電子裝置上的金屬導體及絕緣體材料。據此,於較佳實施例中,描述一種使用如本文所述第一態樣之組成物或第二態樣之組成物從具有氮化鈦及/或光阻蝕刻殘餘材料於其上的微電子裝置表態樣對於金屬導體及絕緣體材料選擇性地且實質上去除氮化鈦及/或光阻蝕刻殘餘材料之方法。於另一個較佳實施例中,描述一種使用如本文所述第一態樣之組成物從具有氮化鈦及/或光阻蝕刻殘餘材料於其上的微電子裝置表態樣對於金屬導體(例如銅)、鎢及絕緣體材料選擇性地且實質上去除氮化鈦及/或光阻蝕刻殘餘材料之方法。
於蝕刻應用中,組成物係以任一種適當方式施用至具有氮化鈦及/或光阻蝕刻殘餘材料於其上的該微電子裝置表面, 施用方式例如係經由噴灑該組成物至裝置表面上,及經由將包括氮化鈦及/或光阻蝕刻殘餘材料的裝置浸泡(於靜態體積或動態體積量的組成物),及經由將該裝置接觸另一種材料,例如已經吸收有組成物於其上的襯墊或纖維吸收劑施用器元件,經由將包括氮化鈦及/或光阻蝕刻殘餘材料的裝置接觸循環組成物,或藉任何其他適當手段、方式或技術,藉此組成物被調整至與氮化鈦及/或光阻蝕刻殘餘材料做去除接觸。該項施用可用於動態清潔或靜態清潔的批次式裝置或單晶圓裝置。較佳地,本文所述組成物由於可能存在於微電子裝置結構或暴露於組成物的其它材料,諸如金屬及絕緣材料(亦即低k電介質)對氮化鈦及/或光阻蝕刻殘餘材料具有選擇性,故可以高度有效且高度選擇性之方式達成氮化鈦及/或光阻蝕刻殘餘材料之至少部分去除。
使用第一或第二態樣之組成物用以從具有氮化鈦及/或光阻蝕刻殘餘材料於其上的微電子裝置結構去除氮化鈦及/或光阻蝕刻殘餘材料之用途中,於約20℃至約100℃,較佳約45℃至約60℃範圍之溫度下,該組成物典型地係於單晶圓工具內接觸裝置結構歷經足夠時間約0.3分鐘至約30分鐘,較佳約0.5分鐘至約3分鐘。此等接觸時間及溫度為例示說明,可採用任何其它適當時間及溫度條件,該等條件可有效用以從裝置結構至少部分去除氮化鈦及/或光阻蝕刻殘餘材料。
於一個實施例中,組成物係於遞送至裝置結構期間於線上 加熱。藉由線上加熱而非於浴槽中本身加熱,組成物的壽命因此延長。
於達成期望的蝕刻動作之後,組成物容易從先前施用的微電子裝置去除,例如藉由清洗、洗滌或其它去除步驟,且為本文所述組成物的指定終端用途所期望的且有效的方式。舉例言之,裝置可使用包括去離子水之清洗溶液清洗及/或乾燥(例如離心乾燥、氮氣乾燥、蒸氣乾燥等)。
第一態樣或第二態樣之組成物較佳係相對於金屬導體及絕緣(亦即低k電介質)材料選擇性地蝕刻氮化鈦材料。於一個實施例中,氮化鈦之蝕刻速率為高(高達500埃/分鐘,較佳於50℃高達約350埃/分鐘,及於60℃高達約500埃/分鐘),而金屬的蝕刻速率為低(約0.01至約10埃/分鐘,較佳約0.1至約5埃/分鐘)及低k電介質之蝕刻速率為低(約0.01至約10埃/分鐘,較佳約0.01至約5埃/分鐘)。
本發明之第五態樣係有關於依據本文所述方法製造的改良式微電子裝置及含有此等微電子裝置之產品。
第六態樣係有關於製造包含微電子裝置之物品之方法,該方法包括微電子裝置接觸組成物歷經足夠時間以從具有氮化鈦及/或光阻蝕刻殘餘材料於其上的微電子裝置表面蝕刻去除氮化鈦及/或光阻蝕刻殘餘材料,及將該微電子裝置結合入該物品內,其中該組成物包含、其組成為、或其主要組成為至少一種氧化劑,至少一種蝕刻劑,及至少一種溶劑, 其中該組成物為實質上不含過氧化氫。另外,該組成物包含、其組成為、或其主要組成為至少一種氧化劑,至少一種蝕刻劑,至少一種金屬腐蝕抑制劑,至少一種氧化劑安定劑,及至少一種溶劑。於又另一個替代例中,該組成物包含、其組成為、或其主要組成為至少一種氧化劑,至少一種蝕刻劑,至少一種金屬腐蝕抑制劑,至少一種氧化劑安定劑,至少一種羧酸鹽,至少一種界面活性劑,及至少一種溶劑。該組成物進一步包含、其組成為、或其主要組成為氮化鈦材料。
本發明之第七態樣係有關於一種製造物品包含、其組成為、或其主要組成為微電子裝置基材、於該基材上的氮化鈦層及本文描述之組成物。
本發明之特徵及優點藉後文描述之具體實施例將更完整顯示。
[實施例1]
依據第二態樣之三種組成物係經製備如顯示於下表1。氮化鈦(第1型)、氮化鈦(第2型)、銅、氧氮化矽及超低k電介質的試驗片於50℃下浸沒於各種配方內,基於厚度損耗相對於時間的斜率決定各自的蝕刻速率。表1中可知氮化鈦相對於金屬(例如銅)及電介質材料的選擇性至少為約200:1至約500:1。此外,包含羧酸鹽之組成物C之蝕刻速率出乎意外地大於不含羧酸鹽的組成物之蝕刻速率,即便氧化劑之濃度比組成物A及B低40%亦復如此。此點為優異,原因在於極少 攻擊金屬導體諸如銅。
[實施例2]
製備依據第一態樣之七種組成物(亦即實質上不含過氧化氫)如下表2所示。TiN(第1型)、銅、皮托斯(PETEOS)電介質、及鎢之試驗片於50℃或60℃下浸泡於各配方內,從歷經給定時間間隔的損失估算蝕刻速率。表2可知TiN相對於銅及鎢之選擇性及相對於電介質材料的選擇性於許多情況下大於50:1,某些情況下大於100:1。注意使用配方J,實際組成物具有0.1% HF及0.1%硼酸,預期可在數分鐘內轉換成為0.11% HBF4及0.023%硼酸(如表中列舉),但各種類最終在平衡狀態下主要為HBF3OH+HBF4
[實施例3]
如表3顯示調配三種組成物。
假設一定量的化學溶液浸泡500或750個晶圓,藉由計算溶解於該溶液中的Cu(呈硫酸銅)、TiN(呈TiN粉末)及W(呈鎢酸銨)的質量以製備K、L及M組成物來模擬具有500個及750個 晶圓浴載荷之組成物。Cu、TiN、W及皮托斯(PETEOS)試驗片浸泡於乾淨K、L及M中,以及載荷500個晶圓的K、L及M溶液,以及載荷750個晶圓的K、L及M溶液於60℃經歷特定時間量。舉例言之,TiN試驗片浸泡30秒,Cu、W及皮托斯(PETEOS)分別測試5、15及30分鐘。結果顯示於下表4。
基於蝕刻速率測試,可知全部三種測試的碘清除劑皆可延伸浴載荷壽命至約500個晶圓。於750個晶圓浴載荷時,其限制因素為TiN蝕刻速率,其中所測試的最有效碘清除劑為4-甲基-2-戊酮。
[實施例4]
依據本文所述之第二態樣製備10種濃縮配方,該等配方具有下列取代基。各自包括0.01 wt% CDTA及1.000 wt% 5-甲基BTA,及下表5所述蝕刻劑:表5:配方AA-JJ中的蝕刻劑
濃縮配方使用30%過氧化氫稀釋成9:1(9份H2O2對1份濃縮劑)。TiN、Cu、Co、TEOS、及LTO(低溫氧化物)的試驗片於50℃下浸泡於各稀釋配方中及測定其蝕刻速率。大部分TiN(200埃)在1分鐘處理時間以內清潔。結果列表於下表6。
可知優異地,包含不同蝕刻劑之配方可有效去除TiN而不會實質上去除銅、鈷或低k電介質材料。
[實施例5]
如下表顯示依據第一態樣製備配方,藉此數量係以配方的 總重為基準,以重量百分比提供。配方的差額為去離子水。TiN、Cu、W、及LTO(低溫氧化物)之試驗片於50℃下浸沒於各個稀釋配方中,測定其蝕刻速率。注意,由於不同批TiN及因而具有不同的薄膜性質,故TiN蝕刻速率無法比較。結果列表於下表7。
由本實驗所結論為非溴化物界面活性劑/腐蝕抑制劑可高度有效用於碘酸銨系統,藉此TiN被選擇性蝕刻優於Cu、W、及LTO。
[實施例6]
如下表顯示依據第一態樣製備配方,藉此數量係以配方的總重為基準,以重量百分比提供。配方的差額為去離子水。TiN、Cu、W、及皮托斯(PETEOS)之試驗片於50℃下浸沒於各個稀釋配方中,測定其蝕刻速率。結果列表於下表8。
由此等實驗釋出的結論係於碳酸銨系統不同氟陰離子來源為有效的,藉此TiN選擇性蝕刻優於Cu、W、及LTO。蝕刻速率似乎受pH強力影響。
[實施例7]
如下表顯示依據第一態樣製備配方,藉此數量係以配方的總重為基準,以重量百分比提供。配方的差額為去離子水。TiN、Cu、W、TEOS及LTO(低溫氧化物)之試驗片於50℃下浸沒於各個稀釋配方中,測定其蝕刻速率。結果列表於下表9。
由此等實驗使用不同氧化物及較高氟化物濃度所得結論為達成較高TiN蝕刻速率,如此對其它金屬導體層及電介質材料具有較高選擇性。
[實施例8]
製備第一態樣之配方包括0.01 wt%碘酸銨,0.8 wt%六氟矽酸,0.2 wt% TEOS,0.04 wt%5-苯甲基四唑,表10揭示的腐蝕抑制劑,及差額為水。各自的pH係於1-3之範圍。蝕刻速率的測定係將市售TiN及W試驗片於50℃下浸泡於各種配方內並歷經一定時間及分析TiN及W的損耗。結果也提供於表10。
可知包括某些陽離子性第四鹽對相對於鎢去除TiN的選擇性具有實質影響。
雖然於此處已經參考本發明之特定態樣、特徵及具體實施 例描述本發明,但顯然易知本發明之用途並非囿限於此,反而延伸至涵蓋無數其它變化例、修改例及替代具體實施例,如熟諳本發明領域之人士基於本文揭示將顯然自明。相對應地,後文請求專利之發明係意圖廣義地視為且解譯為涵蓋落入於其精髓及範圍內之全部此等變化、修改及替代實施例。

Claims (21)

  1. 一種用於從其上具有氮化鈦及/或光阻蝕刻殘餘材料的微電子裝置表面上選擇性地去除該等材料之組成物,該組成物包括(a)包含至少一種蝕刻劑、至少一種金屬腐蝕抑制劑、至少一種氧化劑安定劑及至少一種溶劑之濃縮劑,以及(b)至少一種氧化劑,其中,該至少一種金屬腐蝕抑制劑包含選自由下列所組成之組群中之一者:5-甲基-苯并三唑、苯并三唑羧酸、伸戊基四唑、5-苯基-1H-四唑、5-苯甲基-1H-四唑、油基咪唑啉、2-苯甲基吡啶、丁二醯亞胺、腺苷、咔唑、糖精、安息香肟、聚(乙二醇)、聚(丙二醇)、PEG-PPG共聚物、溴化肉豆蔻基三甲基銨、氫氧化十六烷基三甲基銨、及其組合,以及其中該氧化劑安定劑包含選自由下列所組成之組群中之一者:甘胺酸、絲胺酸、脯胺酸、白胺酸、丙胺酸、天冬醯胺、天冬酸、麩胺、纈胺酸、及離胺酸、氮基三乙酸、亞胺基二乙酸、伸乙基二胺四乙酸(EDTA)、(1,2-伸環己基二氮基)四乙酸(CDTA)、尿酸、四乙二醇二甲醚、二伸乙基三胺五乙酸、伸丙基二胺四乙酸、伸乙基二胺二丁二酸、對-胺基苯磺醯胺、及其組合。
  2. 如申請專利範圍第1項之組成物,其中,該蝕刻劑包括選自由下列所組成之組群中之一者:H2ZrF6、H2TiF6、HPF6、HF、氟化銨、四氟硼酸、六氟矽酸、四氟硼酸四丁基銨 (TBA-BF4)、六氟矽酸銨、六氟鈦酸銨、氫氧化四甲基銨(TMAH)、氫氧化四乙基銨(TEAH)、氫氧化四丙基銨(TPAH)、氫氧化四丁基銨(TBAH)、氫氧化苯甲基三甲基銨(BTMAH)、氫氧化鉀、氫氧化銨、氫氧化苯甲基三乙基銨(BTEAH)、氫氧化四丁基鏻(TBPH)、氫氧化(2-羥基乙基)三甲基銨、氫氧化(2-羥基乙基)三乙基銨、氫氧化(2-羥基乙基)三丙基銨、氫氧化(1-羥基丙基)三甲基銨、氫氧化乙基三甲基銨、氫氧化二乙基二甲基銨(DEDMAH)、1,1,3,3-四甲基胍(TMG)、碳酸胍、精胺酸、氫氧化銨、一乙醇胺(MEA)、二乙醇胺(DEA)、三乙醇胺(TEA)、伸乙基二胺、半胱胺酸、氟化四烷基銨(NR1R2R3R4F),其中R1、R2、R3、R4可彼此相同或相異且係選自由直鏈C1-C6烷基、分支鏈C1-C6烷基、及其組合所組成的組群。
  3. 如申請專利範圍第1項之組成物,其中,該蝕刻劑包括TMAH。
  4. 如申請專利範圍第1項之組成物,其中,該氧化劑包括選自由下列所組成之組群中之一者:過氧化氫、FeCl3、FeF3、Fe(NO3)3、Sr(NO3)2、CoF3、MnF3、奧松(oxone)(2KHSO5˙KHSO4˙K2SO4)、過碘酸、碘酸、氧化釩(V)、氧化釩(IV、V)、釩酸銨、過氧基一硫酸銨、亞氯酸銨(NH4ClO2)、氯酸銨(NH4ClO3)、碘酸銨(NH4IO3)、硝酸銨(NH4NO3)、過硼酸銨(NH4BO3)、過氯酸銨(NH4ClO4)、過碘 酸銨(NH4IO4)、過硫酸銨((NH4)2S2O8)、次氯酸銨(NH4ClO)、鎢酸銨((NH4)10H2(W2O7))、過硫酸鈉(Na2S2O8)、次氯酸鈉(NaClO)、過硼酸鈉、碘酸鉀(KIO3)、過錳酸鉀(KMnO4)、過硫酸鉀、硝酸(HNO3)、過硫酸鉀(K2S2O8)、次氯酸鉀(KClO)、亞氯酸四甲基銨((N(CH3)4)ClO2)、氯酸四甲基銨((N(CH3)4)ClO3)、碘酸四甲基銨((N(CH3)4)IO3)、過硼酸四甲基銨((N(CH3)4)BO3)、過氯酸四甲基銨((N(CH3)4)ClO4)、過碘酸四甲基銨((N(CH3)4)IO4)、過硫酸四甲基銨((N(CH3)4)S2O8)、過氧基一硫酸四丁基銨、過氧基一硫酸、硝酸鐵(Fe(NO3)3)、尿素過氧化氫((CO(NH2)2)H2O2)、過乙酸(CH3(CO)OOH)、1,4-苯醌、甲苯醌、二甲基-1,4-苯醌、四氯醌、四氧嘧啶、N-甲基啉N-氧化物、三甲基胺N-氧化物、及其組合。
  5. 如申請專利範圍第1項之組成物,其中,該氧化劑包括過氧化氫。
  6. 如申請專利範圍第1項之組成物,其中,該至少一種溶劑包括水。
  7. 如申請專利範圍第1項之組成物,其進一步包括至少一種羧酸鹽。
  8. 如申請專利範圍第7項之組成物,其中,該羧酸鹽包括銨陽離子及選自由下列所組成之組群中之陰離子:乙酸根、苯甲酸根、檸檬酸根、甲酸根、草酸根、酒石酸根、丁二酸 根、乳酸根、順丁烯二甲酸根、丙二酸根、反丁烯二甲酸根、蘋果酸根、抗壞血酸根、扁桃酸根、及鄰苯二甲酸根。
  9. 如申請專利範圍第7項之組成物,其中,該羧酸鹽包括乙酸銨、苯甲酸銨、或其組合。
  10. 如申請專利範圍第1項之組成物,其中,該金屬腐蝕抑制劑包括5-甲基-1H-苯并三唑。
  11. 如申請專利範圍第10項之組成物,其中,該氧化劑安定劑包括CDTA、對-胺基苯磺醯胺、或其組合。
  12. 如申請專利範圍第1項之組成物,其進一步包括選自由至少一種界面活性劑,至少一種低k鈍化劑、及其組合所組成之組群中之至少一種額外成分。
  13. 如申請專利範圍第1項之組成物,其中,該組成物係為實質上不含矽酸鹽類、磨蝕材料、氯陰離子源、金屬鹵化物、及其組合。
  14. 如申請專利範圍第1項之組成物,其中,該組成物之pH係於5至10之範圍。
  15. 一種從其上具有氮化鈦及/或光阻蝕刻殘餘材料的微電子裝置表面上去除該等材料之方法,該方法包括讓該表面與一種相對於金屬及絕緣材料而從該表面選擇性地去除該氮化鈦材料之組成物接觸,該組成物包括(a)包含至少一種蝕刻劑、至少一種金屬腐蝕抑制劑、至少一種氧化劑安定劑及至少一種溶劑之濃縮劑,以及(b)至少一種氧化劑,其中, 該至少一種金屬腐蝕抑制劑包含選自由下列所組成之組群中之一者:5-甲基-苯并三唑、苯并三唑羧酸、伸戊基四唑、5-苯基-1H-四唑、5-苯甲基-1H-四唑、油基咪唑啉、2-苯甲基吡啶、丁二醯亞胺、腺苷、咔唑、糖精、安息香肟、聚(乙二醇)、聚(丙二醇)、PEG-PPG共聚物、溴化肉豆蔻基三甲基銨、氫氧化十六烷基三甲基銨、及其組合,以及其中該氧化劑安定劑包含選自由下列所組成之組群中之一者:甘胺酸、絲胺酸、脯胺酸、白胺酸、丙胺酸、天冬醯胺、天冬酸、麩胺、纈胺酸、及離胺酸、氮基三乙酸、亞胺基二乙酸、伸乙基二胺四乙酸(EDTA)、(1,2-伸環己基二氮基)四乙酸(CDTA)、尿酸、四乙二醇二甲醚、二伸乙基三胺五乙酸、伸丙基二胺四乙酸、伸乙基二胺二丁二酸、對-胺基苯磺醯胺、及其組合。
  16. 如申請專利範圍第15項之方法,其中,該接觸包括於20℃至100℃範圍之溫度歷時約0.3分鐘至約30分鐘範圍之時間。
  17. 如申請專利範圍第15項之方法,其中,該組成物係在該期望的蝕刻動作之後從該表面清洗去除。
  18. 如申請專利範圍第15項之方法,其中,該絕緣材料包含選自由下列所組成之組群中之低k電介質材料:含矽有機聚合物、含矽有機/無機混成材料、有機矽酸鹽玻璃(OSG)、四乙氧基矽烷(TEOS)、氟化矽酸鹽玻璃(FSG)、二氧化矽、 及摻碳氧化物(CDO)玻璃。
  19. 如申請專利範圍第15項之方法,其中,該蝕刻劑包括選自由下列所組成之組群中之一者:H2ZrF6、H2TiF6、HPF6、HF、氟化銨、四氟硼酸、六氟矽酸、四氟硼酸四丁基銨(TBA-BF4)、六氟矽酸銨、六氟鈦酸銨、氫氧化四甲基銨(TMAH)、氫氧化四乙基銨(TEAH)、氫氧化四丙基銨(TPAH)、氫氧化四丁基銨(TBAH)、氫氧化苯甲基三甲基銨(BTMAH)、氫氧化鉀、氫氧化銨、氫氧化苯甲基三乙基銨(BTEAH)、氫氧化四丁基鏻(TBPH)、氫氧化(2-羥基乙基)三甲基銨、氫氧化(2-羥基乙基)三乙基銨、氫氧化(2-羥基乙基)三丙基銨、氫氧化(1-羥基丙基)三甲基銨、氫氧化乙基三甲基銨、氫氧化二乙基二甲基銨(DEDMAH)、1,1,3,3-四甲基胍(TMG)、碳酸胍、精胺酸、氫氧化銨、一乙醇胺(MEA)、二乙醇胺(DEA)、三乙醇胺(TEA)、伸乙基二胺、半胱胺酸、氟化四烷基銨(NR1R2R3R4F),其中R1、R2、R3、R4可彼此相同或相異且係選自由直鏈C1-C6烷基、分支鏈C1-C6烷基、及其組合所組成的組群。
  20. 如申請專利範圍第15項之方法,其中,該氧化劑包括選自由下列所組成之組群中之一者:過氧化氫、FeCl3、FeF3、Fe(NO3)3、Sr(NO3)2、CoF3、MnF3、奧松(oxone)(2KHSO5˙KHSO4˙K2SO4)、過碘酸、碘酸、氧化釩(V)、氧化釩(IV、V)、釩酸銨、過氧基一硫酸銨、亞氯酸銨 (NH4ClO2)、氯酸銨(NH4ClO3)、碘酸銨(NH4IO3)、硝酸銨(NH4NO3)、過硼酸銨(NH4BO3)、過氯酸銨(NH4ClO4)、過碘酸銨(NH4IO4)、過硫酸銨((NH4)2S2O8)、次氯酸銨(NH4ClO)、鎢酸銨((NH4)10H2(W2O7))、過硫酸鈉(Na2S2O8)、次氯酸鈉(NaClO)、過硼酸鈉、碘酸鉀(KIO3)、過錳酸鉀(KMnO4)、過硫酸鉀、硝酸(HNO3)、過硫酸鉀(K2S2O8)、次氯酸鉀(KClO)、亞氯酸四甲基銨((N(CH3)4)ClO2)、氯酸四甲基銨((N(CH3)4)ClO3)、碘酸四甲基銨((N(CH3)4)IO3)、過硼酸四甲基銨((N(CH3)4)BO3)、過氯酸四甲基銨((N(CH3)4)ClO4)、過碘酸四甲基銨((N(CH3)4)IO4)、過硫酸四甲基銨((N(CH3)4)S2O8)、過氧基一硫酸四丁基銨、過氧基一硫酸、硝酸鐵(Fe(NO3)3)、尿素過氧化氫((CO(NH2)2)H2O2)、過乙酸(CH3(CO)OOH)、1,4-苯醌、甲苯醌、二甲基-1,4-苯醌、四氯醌、四氧嘧啶、N-甲基啉N-氧化物、三甲基胺N-氧化物、及其組合。
  21. 如申請專利範圍第1項之組成物,其中,該組成物包含0.001wt%至50wt%之至少一種氧化劑、0.01wt%至10wt%之至少一種蝕刻劑、0.01wt%至1wt%之至少一種金屬腐蝕抑制劑、0.0001wt%至0.5wt%之至少一種氧化劑安定劑及39wt%至99wt%之至少一種溶劑。
TW101150897A 2011-12-28 2012-12-28 選擇性蝕刻氮化鈦之組成物及方法 TWI588239B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161580942P 2011-12-28 2011-12-28
US201261675640P 2012-07-25 2012-07-25
US201261726782P 2012-11-15 2012-11-15

Publications (2)

Publication Number Publication Date
TW201333171A TW201333171A (zh) 2013-08-16
TWI588239B true TWI588239B (zh) 2017-06-21

Family

ID=48698606

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101150897A TWI588239B (zh) 2011-12-28 2012-12-28 選擇性蝕刻氮化鈦之組成物及方法

Country Status (8)

Country Link
US (2) US9546321B2 (zh)
EP (1) EP2798669B1 (zh)
JP (1) JP6329909B2 (zh)
KR (1) KR102102792B1 (zh)
CN (1) CN104145324B (zh)
SG (2) SG11201403556WA (zh)
TW (1) TWI588239B (zh)
WO (1) WO2013101907A1 (zh)

Families Citing this family (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013101907A1 (en) 2011-12-28 2013-07-04 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
EP2814895A4 (en) 2012-02-15 2015-10-07 Entegris Inc POST-CMP DISPOSAL USING COMPOSITIONS AND USE PROCESSES
JP2015517691A (ja) 2012-05-18 2015-06-22 インテグリス,インコーポレイテッド 窒化チタンを含む表面からフォトレジストを剥離するための組成物およびプロセス
KR102118964B1 (ko) 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Iii-v 반도체 물질을 세척하기 위한 조성물 및 이를 사용하는 방법
US10472567B2 (en) * 2013-03-04 2019-11-12 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
CN111394100A (zh) * 2013-06-06 2020-07-10 恩特格里斯公司 用于选择性蚀刻氮化钛的组合物和方法
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
SG10201801575YA (en) * 2013-08-30 2018-03-28 Entegris Inc Compositions and methods for selectively etching titanium nitride
US9291910B2 (en) * 2013-09-27 2016-03-22 Dynaloy, Llc Aqueous solution and process for removing substances from substrates
US20150104952A1 (en) * 2013-10-11 2015-04-16 Ekc Technology, Inc. Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper
WO2015054460A1 (en) * 2013-10-11 2015-04-16 E. I. Du Pont De Nemours And Company Removal composition for selectively removing hard mask
US9834746B2 (en) 2013-10-21 2017-12-05 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulations for removing residues on surfaces
KR102573354B1 (ko) * 2013-12-06 2023-08-30 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 표면 잔류물 제거용 세정 제형
WO2015089023A1 (en) * 2013-12-11 2015-06-18 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
WO2015095175A1 (en) 2013-12-16 2015-06-25 Advanced Technology Materials, Inc. Ni:nige:ge selective etch formulations and method of using same
US9472420B2 (en) 2013-12-20 2016-10-18 Air Products And Chemicals, Inc. Composition for titanium nitride hard mask and etch residue removal
TWI662379B (zh) 2013-12-20 2019-06-11 美商恩特葛瑞斯股份有限公司 移除離子植入抗蝕劑之非氧化強酸類之用途
WO2015103146A1 (en) 2013-12-31 2015-07-09 Advanced Technology Materials, Inc. Formulations to selectively etch silicon and germanium
KR101842033B1 (ko) * 2014-01-06 2018-03-26 한화테크윈 주식회사 그래핀 제조용 조성물 및 이를 이용한 그래핀의 제조 방법
TWI642763B (zh) * 2014-01-27 2018-12-01 三菱瓦斯化學股份有限公司 氮化鈦除去用液體組成物、利用該液體組成物之半導體元件之洗滌方法、及半導體元件之製造方法
WO2015116818A1 (en) * 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
SG10201907142VA (en) 2014-03-18 2019-09-27 Fujifilm Electronic Materials Usa Inc Etching composition
WO2015166826A1 (ja) * 2014-05-02 2015-11-05 三菱瓦斯化学株式会社 半導体素子の洗浄液及び洗浄方法
WO2015173730A1 (en) * 2014-05-13 2015-11-19 Basf Se Tin pull-back and cleaning composition
US10460954B2 (en) * 2014-06-04 2019-10-29 Entegris, Inc. Anti-reflective coating cleaning and post-etch residue removal composition having metal, dielectric and nitride compatibility
US9222018B1 (en) * 2014-07-24 2015-12-29 Air Products And Chemicals, Inc. Titanium nitride hard mask and etch residue removal
US11476158B2 (en) 2014-09-14 2022-10-18 Entegris, Inc. Cobalt deposition selectivity on copper and dielectrics
WO2016042408A2 (en) * 2014-09-17 2016-03-24 Atmi Taiwan Co., Ltd. Compositions for etching titanium nitride having compatability with silicon germanide and tungsten
US9593297B2 (en) 2014-10-15 2017-03-14 Micron Technology, Inc. Compositions for removing residues and related methods
JP6501492B2 (ja) 2014-10-31 2019-04-17 関東化學株式会社 フォトレジスト残渣および/またはポリマー残渣を除去するための組成物
TWI546371B (zh) * 2014-11-10 2016-08-21 盟智科技股份有限公司 研磨組成物
EP3220409B1 (en) * 2014-11-13 2020-08-05 Mitsubishi Gas Chemical Company, Inc. Semiconductor element cleaning solution that suppresses damage to cobalt, and method for cleaning semiconductor element using same
JP6425342B2 (ja) * 2014-12-26 2018-11-21 富士フイルム株式会社 エッチング液、これを用いたエッチング方法および半導体基板製品の製造方法
US10301580B2 (en) 2014-12-30 2019-05-28 Versum Materials Us, Llc Stripping compositions having high WN/W etching selectivity
CN105755472B (zh) * 2015-01-05 2019-12-17 东友精细化工有限公司 银蚀刻液组合物和利用它的显示基板
JP6348994B2 (ja) 2015-02-12 2018-06-27 富士フイルム株式会社 Iii−v族元素の酸化物の除去液および除去方法、iii−v族元素の化合物の処理液、iii−v族元素の酸化防止液、ならびに、半導体基板の処理液および半導体基板製品の製造方法
TW201703130A (zh) * 2015-02-25 2017-01-16 應用材料股份有限公司 使用烷基胺於金屬氮化物之選擇性移除的方法及設備
KR101587758B1 (ko) * 2015-03-05 2016-01-21 동우 화인켐 주식회사 질화 티탄(TiN) 막의 식각액 조성물 및 그를 이용한 금속배선의 형성 방법
CN106148961A (zh) * 2015-03-27 2016-11-23 东友精细化工有限公司 蚀刻剂组合物、形成金属线图案方法和制造阵列基板方法
US10332784B2 (en) * 2015-03-31 2019-06-25 Versum Materials Us, Llc Selectively removing titanium nitride hard mask and etch residue removal
US10538718B2 (en) * 2015-04-13 2020-01-21 Mitsubishi Gas Chemical Company, Inc. Cleaning solution and cleaning method for material comprising carbon-incorporated silicon oxide for use in recycling wafer
US9976111B2 (en) * 2015-05-01 2018-05-22 Versum Materials Us, Llc TiN hard mask and etch residual removal
TWI782893B (zh) * 2015-07-09 2022-11-11 美商恩特葛瑞斯股份有限公司 選擇性地移除鍺化矽材料之方法、套組及組成物
TWI819694B (zh) 2015-07-14 2023-10-21 美商富士軟片電子材料美國股份有限公司 清潔組成物及其使用方法
US10294422B2 (en) * 2015-07-16 2019-05-21 Hailiang Wang Etching compositions for transparent conductive layers comprising silver nanowires
TWI816635B (zh) * 2015-10-15 2023-10-01 日商三菱瓦斯化學股份有限公司 半導體元件之洗淨用液體組成物、半導體元件之洗淨方法及半導體元件之製造方法
CN105369251A (zh) * 2015-12-16 2016-03-02 无锡吉进环保科技有限公司 一种基于纳米二氧化硫的电路板用蚀刻液
US10865484B2 (en) 2016-03-29 2020-12-15 Technic France Solution and method for etching titanium based materials
KR20180060489A (ko) * 2016-11-29 2018-06-07 삼성전자주식회사 식각용 조성물 및 이를 이용한 반도체 장치 제조 방법
WO2018123166A1 (ja) * 2016-12-26 2018-07-05 三菱瓦斯化学株式会社 SiN層およびSi層を有する基板用ウェットエッチング組成物およびこれを用いたウェットエッチング方法
KR102372109B1 (ko) * 2017-01-17 2022-03-08 엔테그리스, 아이엔씨. 첨단 노드 beol 공정을 위한 에칭-후 잔류물 제거
US11035044B2 (en) * 2017-01-23 2021-06-15 Versum Materials Us, Llc Etching solution for tungsten and GST films
KR102373108B1 (ko) * 2017-03-16 2022-03-10 동우 화인켐 주식회사 도전막 식각액 조성물 및 이를 이용한 반도체 소자의 제조 방법
SG11201908791SA (en) * 2017-03-31 2019-10-30 Kanto Kagaku Etchant composition for etching titanium layer or titanium-containing layer, and etching method
WO2019026677A1 (ja) * 2017-07-31 2019-02-07 三菱瓦斯化学株式会社 コバルト、アルミナ、層間絶縁膜、窒化シリコンのダメージを抑制した組成液及びこれを用いた洗浄方法
JP7090625B2 (ja) * 2017-08-31 2022-06-24 富士フイルム株式会社 処理液、キット、基板の洗浄方法
CN111512239B (zh) * 2018-01-25 2024-05-03 默克专利股份有限公司 光致抗蚀剂去除剂组合物
KR102653096B1 (ko) * 2018-02-13 2024-04-01 동우 화인켐 주식회사 절연막 식각액 조성물 및 이를 이용한 패턴 형성 방법
US10934484B2 (en) 2018-03-09 2021-03-02 Versum Materials Us, Llc Etching solution for selectively removing silicon-germanium alloy from a silicon-germanium/ germanium stack during manufacture of a semiconductor device
US11499236B2 (en) * 2018-03-16 2022-11-15 Versum Materials Us, Llc Etching solution for tungsten word line recess
KR102487940B1 (ko) 2018-03-19 2023-01-16 삼성디스플레이 주식회사 식각액 조성물 및 이를 이용한 금속 패턴과 어레이 기판의 제조 방법
CN111902379B (zh) 2018-03-28 2023-02-17 富士胶片电子材料美国有限公司 清洗组合物
CN108414662B (zh) * 2018-04-09 2020-08-25 镇江出入境检验检疫局检验检疫综合技术中心 液相色谱串接质谱联用仪检测茶叶中的三甲基锍的检测方法
CN110484919A (zh) * 2018-05-14 2019-11-22 深圳市裕展精密科技有限公司 退镀液及其退除含钛膜层的方法、及表面形成有含钛膜层的基材的退镀方法
CN112384597A (zh) * 2018-07-06 2021-02-19 恩特格里斯公司 选择性蚀刻材料的改进
CN110713868A (zh) * 2018-07-13 2020-01-21 巴斯夫欧洲公司 可移除氮化钛的蚀刻后残渣清理溶液
GB201813368D0 (en) * 2018-08-16 2018-10-03 Lam Res Ag Etchant composition
KR102665340B1 (ko) 2018-09-18 2024-05-14 삼성전자주식회사 식각 조성물 및 이를 이용한 반도체 소자의 제조 방법
JP2022519267A (ja) * 2019-01-31 2022-03-22 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド エッチング組成物
US10916431B2 (en) 2019-04-16 2021-02-09 International Business Machines Corporation Robust gate cap for protecting a gate from downstream metallization etch operations
US20220220421A1 (en) 2019-05-23 2022-07-14 Basf Se Composition and process for electively etching a hard mask and/or an etch-stop layer in the presence of layers of low-k materials, copper, cobalt and/or tungsten
CN113950520B (zh) * 2019-06-13 2024-03-01 弗萨姆材料美国有限责任公司 在半导体器件制造期间相对于p-掺杂硅和硅-锗选择性去除多晶硅的液体组合物
KR20220046561A (ko) * 2019-08-08 2022-04-14 바스프 에스이 텅스텐 에칭 억제용 조성물
KR102354378B1 (ko) * 2019-08-27 2022-01-21 엘티씨에이엠 주식회사 과산화수소를 이용한 질화티탄막 식각용 고선택비 식각액 조성물
JP6977750B2 (ja) * 2019-09-13 2021-12-08 栗田工業株式会社 過硫酸成分を含む硫酸溶液中の酸化剤濃度の低下抑制方法
EP4045978A4 (en) * 2019-10-17 2023-11-15 Versum Materials US, LLC ETCHING COMPOSITION AND METHOD FOR AN EUV MASK PROTECTION STRUCTURE
CN113122267A (zh) * 2019-12-31 2021-07-16 安集微电子科技(上海)股份有限公司 一种促进剂组合物在去除铜大马士革工艺中氮化钛的应用
CN113130292A (zh) * 2019-12-31 2021-07-16 安集微电子科技(上海)股份有限公司 一种等离子体刻蚀残留物清洗液
US11309190B2 (en) * 2020-01-17 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
TW202134477A (zh) * 2020-03-04 2021-09-16 美商慧盛材料美國責任有限公司 用於氮化鈦及鉬導電金屬線的蝕刻溶液
IL296231A (en) * 2020-03-11 2022-11-01 Advansix Resins & Chemicals Llc Surfactants for electronic products
WO2021202229A1 (en) 2020-03-31 2021-10-07 Mattson Technology, Inc. Processing of workpieces using fluorocarbon plasma
US11492709B2 (en) * 2020-04-14 2022-11-08 Entegris, Inc. Method and composition for etching molybdenum
CN113528255A (zh) * 2020-04-15 2021-10-22 安集微电子科技(上海)股份有限公司 一种化学清洗液及其使用方法
CN111809182A (zh) * 2020-07-08 2020-10-23 江苏和达电子科技有限公司 一种用于铜/钼(铌)/igzo膜层的刻蚀液及其制备方法和应用
CN116096837A (zh) * 2020-08-13 2023-05-09 恩特格里斯公司 氮化物蚀刻剂组合物和方法
KR20220033141A (ko) * 2020-09-09 2022-03-16 동우 화인켐 주식회사 실리콘 식각액 조성물, 이를 이용한 패턴 형성 방법 및 어레이 기판의 제조 방법, 및 이에 따라 제조된 어레이 기판
KR20230075433A (ko) 2020-09-29 2023-05-31 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 반도체기판 세정용 조성물 및 세정방법
US20240117277A1 (en) 2021-02-12 2024-04-11 Mitsubishi Gas Chemical Company, Inc. Composition for cleaning semiconductor substrate, method for cleaning semiconductor substrate, and method for producing semiconductor substrate
CN113355023B (zh) * 2021-05-31 2022-08-09 中南大学 一种4D打印NiTi合金EBSD样品抛光液的制备方法和产品及应用
JP2023036214A (ja) 2021-09-02 2023-03-14 富士フイルム株式会社 処理液
CN114989825B (zh) * 2022-06-30 2023-07-11 湖北兴福电子材料股份有限公司 一种掺钪氮化铝和钨的选择性蚀刻液
CN115044376B (zh) * 2022-06-30 2023-12-29 湖北兴福电子材料股份有限公司 一种掺钪氮化铝蚀刻液及其应用
CN115011347B (zh) * 2022-06-30 2023-12-29 湖北兴福电子材料股份有限公司 一种氮化铝和钨的选择性蚀刻液
WO2024129228A1 (en) * 2022-12-13 2024-06-20 Versum Materials Us, Llc Compositions for selective removal of tin layer over tungsten

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070082497A1 (en) * 2005-08-08 2007-04-12 Lee Chun-Deuk Composition for removing an insulation material and related methods
CN101366107A (zh) * 2005-10-05 2009-02-11 高级技术材料公司 用于除去蚀刻后残余物的含水氧化清洗剂

Family Cites Families (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3544271A (en) * 1968-05-14 1970-12-01 Westinghouse Electric Corp Phenol detection process
US4263343A (en) 1979-08-13 1981-04-21 Eastman Kodak Company Reference elements for ion-selective membrane electrodes
US4659440A (en) * 1985-10-24 1987-04-21 Rudolf Hradcovsky Method of coating articles of aluminum and an electrolytic bath therefor
JPH01308690A (ja) * 1988-02-25 1989-12-13 Mitsubishi Paper Mills Ltd 平版印刷の製版方法
US5279771A (en) 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
JP3160344B2 (ja) 1991-01-25 2001-04-25 アシュランド インコーポレーテッド 有機ストリッピング組成物
TW263531B (zh) 1992-03-11 1995-11-21 Mitsubishi Gas Chemical Co
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
US5421906A (en) 1993-04-05 1995-06-06 Enclean Environmental Services Group, Inc. Methods for removal of contaminants from surfaces
US6326130B1 (en) 1993-10-07 2001-12-04 Mallinckrodt Baker, Inc. Photoresist strippers containing reducing agents to reduce metal corrosion
US5571447A (en) 1995-03-20 1996-11-05 Ashland Inc. Stripping and cleaning composition
US7534752B2 (en) 1996-07-03 2009-05-19 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US5698503A (en) 1996-11-08 1997-12-16 Ashland Inc. Stripping and cleaning composition
US6224785B1 (en) 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6755989B2 (en) 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
EP1125168A1 (en) 1998-05-18 2001-08-22 Advanced Technology Materials, Inc. Stripping compositions for semiconductor substrates
US6875733B1 (en) 1998-10-14 2005-04-05 Advanced Technology Materials, Inc. Ammonium borate containing compositions for stripping residues from semiconductor substrates
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
KR100400030B1 (ko) 2000-06-05 2003-09-29 삼성전자주식회사 금속막의 화학 및 기계적 연마용 슬러리 및 그 제조방법과상기 슬러리를 이용한 반도체 소자의 금속 배선 형성 방법
US6489281B1 (en) * 2000-09-12 2002-12-03 Ecolab Inc. Cleaning composition comprising inorganic acids, an oxidant, and a cationic surfactant
US6599370B2 (en) 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
MY143399A (en) 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
US20030139047A1 (en) * 2002-01-24 2003-07-24 Thomas Terence M. Metal polishing slurry having a static etch inhibitor and method of formulation
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US7188644B2 (en) 2002-05-03 2007-03-13 Advanced Technology Materials, Inc. Apparatus and method for minimizing the generation of particles in ultrapure liquids
US6698619B2 (en) 2002-05-03 2004-03-02 Advanced Technology Materials, Inc. Returnable and reusable, bag-in-drum fluid storage and dispensing container system
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
ITMI20022090A1 (it) * 2002-10-03 2004-04-04 Nuovo Pignone Spa Composizione acquosa per la rimozione chimica di riporti metallici presenti sulle pale di turbine, e suo uso.
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
TW200505975A (en) 2003-04-18 2005-02-16 Ekc Technology Inc Aqueous fluoride compositions for cleaning semiconductor devices
KR100960687B1 (ko) * 2003-06-24 2010-06-01 엘지디스플레이 주식회사 구리(또는 구리합금층)를 포함하는 이중금속층을 일괄식각하기위한 식각액
EP1664935B1 (en) 2003-08-19 2007-10-17 Mallinckrodt Baker, Inc. Stripping and cleaning compositions for microelectronics
JP2005097715A (ja) * 2003-08-19 2005-04-14 Mitsubishi Chemicals Corp チタン含有層用エッチング液及びチタン含有層のエッチング方法
US7888301B2 (en) 2003-12-02 2011-02-15 Advanced Technology Materials, Inc. Resist, barc and gap fill material stripping chemical and method
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
KR20050110470A (ko) * 2004-05-19 2005-11-23 테크노세미켐 주식회사 반도체 기판용 세정액 조성물, 이를 이용한 반도체 기판세정방법 및 반도체 장치 제조 방법
JP4530146B2 (ja) * 2004-08-18 2010-08-25 三菱瓦斯化学株式会社 洗浄液および洗浄法。
US7329365B2 (en) 2004-08-25 2008-02-12 Samsung Electronics Co., Ltd. Etchant composition for indium oxide layer and etching method using the same
US20060063687A1 (en) 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060148666A1 (en) 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
CN101228481B (zh) 2005-02-25 2012-12-05 Ekc技术公司 从包括铜和低k电介体的基片上除去抗蚀剂、蚀刻残余物和氧化铜的方法
CA2603990A1 (en) 2005-04-08 2006-10-19 Sachem, Inc. Selective wet etching of metal nitrides
WO2006110645A2 (en) 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
US20070251551A1 (en) 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
EP1877530A4 (en) 2005-04-15 2010-06-09 Advanced Tech Materials REMOVAL OF HIGH-TECH PHOTO LACK WITH SELF-ORGANIZED MONOSLAYS IN SOLVENT SYSTEMS
TWI622639B (zh) 2005-06-07 2018-05-01 恩特葛瑞斯股份有限公司 金屬及介電相容犠牲抗反射塗層清洗及移除組成物
JP2008547202A (ja) 2005-06-13 2008-12-25 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 金属ケイ化物の形成後の金属または金属合金の選択的な除去のための組成物および方法
WO2007027522A2 (en) 2005-08-29 2007-03-08 Advanced Technology Materials, Inc. Composition and method for removing thick film photoresist
JP2009512195A (ja) 2005-10-05 2009-03-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド ゲートスペーサ酸化物材料を選択的にエッチするための組成物および方法
EP1945748A4 (en) 2005-10-13 2009-01-07 Advanced Tech Materials PHOTORESIN REMOVAL AND / OR SACRIFICIAL ANTIREFLECTION COATING COMPOSITION COMPATIBLE WITH METALS
KR100706822B1 (ko) * 2005-10-17 2007-04-12 삼성전자주식회사 절연 물질 제거용 조성물, 이를 이용한 절연막의 제거 방법및 기판의 재생 방법
US20070099810A1 (en) * 2005-10-27 2007-05-03 Hiroshi Matsunaga Cleaning liquid and cleaning method
US20090301996A1 (en) 2005-11-08 2009-12-10 Advanced Technology Materials, Inc. Formulations for removing cooper-containing post-etch residue from microelectronic devices
EP1946358A4 (en) 2005-11-09 2009-03-04 Advanced Tech Materials COMPOSITION AND METHOD FOR RECYCLING SEMICONDUCTOR WAFERS WITH LOW DIELECTRICITY CONSTANT MATERIALS
US20070117497A1 (en) 2005-11-22 2007-05-24 Cabot Microelectronics Corporation Friction reducing aid for CMP
TW200734448A (en) 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US8025811B2 (en) 2006-03-29 2011-09-27 Intel Corporation Composition for etching a metal hard mask material in semiconductor processing
US20080076688A1 (en) 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
JP4642001B2 (ja) * 2006-10-24 2011-03-02 関東化学株式会社 フォトレジスト残渣及びポリマー残渣除去液組成物
US20080125342A1 (en) * 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
TWI611047B (zh) 2006-12-21 2018-01-11 恩特葛瑞斯股份有限公司 用以移除蝕刻後殘餘物之液體清洗劑
TWI509690B (zh) 2006-12-21 2015-11-21 Entegris Inc 選擇性移除氮化矽之組合物及方法
TWI516573B (zh) * 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
US20100112728A1 (en) 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
KR20100017695A (ko) 2007-05-09 2010-02-16 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 재료 혼합과 분배를 위한 시스템 및 방법
JP5347237B2 (ja) * 2007-05-15 2013-11-20 三菱瓦斯化学株式会社 洗浄用組成物
TW200918664A (en) 2007-06-13 2009-05-01 Advanced Tech Materials Wafer reclamation compositions and methods
JP5047712B2 (ja) * 2007-07-13 2012-10-10 東京応化工業株式会社 窒化チタン剥離液、及び窒化チタン被膜の剥離方法
US8623236B2 (en) 2007-07-13 2014-01-07 Tokyo Ohka Kogyo Co., Ltd. Titanium nitride-stripping liquid, and method for stripping titanium nitride coating film
JP5364250B2 (ja) * 2007-07-13 2013-12-11 東京応化工業株式会社 窒化チタン剥離液、及び窒化チタン被膜の剥離方法
JP2010535422A (ja) 2007-08-02 2010-11-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド マイクロ電子デバイスから残渣を除去するための非フッ化物含有組成物
KR20100056537A (ko) 2007-08-20 2010-05-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 이온-주입된 포토레지스트를 제거하기 위한 조성물 및 방법
DE102007039626A1 (de) * 2007-08-22 2009-02-26 Wacker Chemie Ag Verfahren zum Reinigen von polykristallinem Silicium
JP2009075285A (ja) 2007-09-20 2009-04-09 Fujifilm Corp 半導体デバイスの剥離液、及び、剥離方法
US20090120457A1 (en) 2007-11-09 2009-05-14 Surface Chemistry Discoveries, Inc. Compositions and method for removing coatings and preparation of surfaces for use in metal finishing, and manufacturing of electronic and microelectronic devices
US8110508B2 (en) * 2007-11-22 2012-02-07 Samsung Electronics Co., Ltd. Method of forming a bump structure using an etching composition for an under bump metallurgy layer
TW200934865A (en) 2007-11-30 2009-08-16 Advanced Tech Materials Formulations for cleaning memory device structures
WO2009081884A1 (ja) 2007-12-21 2009-07-02 Wako Pure Chemical Industries, Ltd. エッチング剤、エッチング方法及びエッチング剤調製液
SG188848A1 (en) 2008-03-07 2013-04-30 Advanced Tech Materials Non-selective oxide etch wet clean composition and method of use
JP2009231354A (ja) * 2008-03-19 2009-10-08 Fujifilm Corp 半導体デバイス用洗浄液、および洗浄方法
US8026200B2 (en) 2008-05-01 2011-09-27 Advanced Technology Materials, Inc. Low pH mixtures for the removal of high density implanted resist
KR20100007461A (ko) 2008-07-14 2010-01-22 삼성전자주식회사 석영 부품용 세정액 및 이를 이용한 석영 부품 세정방법
WO2010017160A2 (en) 2008-08-04 2010-02-11 Advanced Technology Materials, Inc. Environmentally friendly polymer stripping compositions
KR101282177B1 (ko) 2008-09-09 2013-07-04 쇼와 덴코 가부시키가이샤 티탄계 금속, 텅스텐계 금속, 티탄-텅스텐계 금속 또는 그것들의 질화물의 에칭액
US8080475B2 (en) * 2009-01-23 2011-12-20 Intel Corporation Removal chemistry for selectively etching metal hard mask
KR20110110841A (ko) 2009-01-28 2011-10-07 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 리소그래픽 도구 현장 세척 제형
WO2010086745A1 (en) 2009-02-02 2010-08-05 Atmi Taiwan Co., Ltd. Method of etching lanthanum-containing oxide layers
WO2010091045A2 (en) 2009-02-05 2010-08-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of polymers and other organic material from a surface
US20120256122A1 (en) 2009-12-17 2012-10-11 Showa Denko K. K. Composition for etching of ruthenium-based metal, and process for preparation of the same
KR20110085254A (ko) * 2010-01-19 2011-07-27 삼성전자주식회사 금속 배선용 식각액조성물 및 상기 식각액을 사용한 박막트랜지스터 표시판의 제조 방법
JP5858597B2 (ja) 2010-01-29 2016-02-10 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド タングステン配線半導体用洗浄剤
JP5702075B2 (ja) 2010-03-26 2015-04-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅配線半導体用洗浄剤
WO2011094568A2 (en) 2010-01-29 2011-08-04 Advanced Technology Materials, Inc. Cleaning agent for semiconductor provided with metal wiring
JP2012036750A (ja) 2010-08-04 2012-02-23 Panasonic Corp 圧縮機
WO2012027667A2 (en) 2010-08-27 2012-03-01 Advanced Technology Materials, Inc. Method for preventing the collapse of high aspect ratio structures during drying
WO2012048079A2 (en) 2010-10-06 2012-04-12 Advanced Technology Materials, Inc. Composition and process for selectively etching metal nitrides
US20140318584A1 (en) 2011-01-13 2014-10-30 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium-containing solutions
JP2012251026A (ja) 2011-05-31 2012-12-20 Sanyo Chem Ind Ltd 半導体用洗浄剤
TW201311869A (zh) 2011-06-16 2013-03-16 Advanced Tech Materials 選擇性蝕刻氮化矽之組成物及方法
US20130045908A1 (en) 2011-08-15 2013-02-21 Hua Cui Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
US8618036B2 (en) 2011-11-14 2013-12-31 International Business Machines Corporation Aqueous cerium-containing solution having an extended bath lifetime for removing mask material
WO2013101907A1 (en) 2011-12-28 2013-07-04 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
WO2013138276A1 (en) 2012-03-12 2013-09-19 Advanced Technology Materials, Inc. Methods for the selective removal of ashed spin-on glass
WO2013138278A1 (en) 2012-03-12 2013-09-19 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
US9472420B2 (en) 2013-12-20 2016-10-18 Air Products And Chemicals, Inc. Composition for titanium nitride hard mask and etch residue removal

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070082497A1 (en) * 2005-08-08 2007-04-12 Lee Chun-Deuk Composition for removing an insulation material and related methods
CN101366107A (zh) * 2005-10-05 2009-02-11 高级技术材料公司 用于除去蚀刻后残余物的含水氧化清洗剂

Also Published As

Publication number Publication date
CN104145324A (zh) 2014-11-12
EP2798669A4 (en) 2015-08-19
CN104145324B (zh) 2017-12-22
US20170260449A1 (en) 2017-09-14
TW201333171A (zh) 2013-08-16
US20150027978A1 (en) 2015-01-29
SG11201403556WA (en) 2014-07-30
US10392560B2 (en) 2019-08-27
WO2013101907A1 (en) 2013-07-04
JP2015506583A (ja) 2015-03-02
JP6329909B2 (ja) 2018-05-23
KR20140132708A (ko) 2014-11-18
EP2798669A1 (en) 2014-11-05
KR102102792B1 (ko) 2020-05-29
SG10201605172RA (en) 2016-08-30
EP2798669B1 (en) 2021-03-31
US9546321B2 (en) 2017-01-17

Similar Documents

Publication Publication Date Title
TWI588239B (zh) 選擇性蝕刻氮化鈦之組成物及方法
TWI651396B (zh) 選擇性蝕刻氮化鈦之組成物及方法
JP6363116B2 (ja) 窒化チタンを選択的にエッチングするための組成物および方法
KR102338526B1 (ko) Cu/W 호환성을 갖는, 금속 하드 마스크 및 에칭-후 잔여물을 제거하기 위한 수성 제형
KR102405063B1 (ko) 텅스텐 및 코발트 상용성을 갖는 에치후 잔류물을 제거하기 위한 수성 및 반-수성 세정제
TW201619354A (zh) 具有鍺化矽及鎢相容性之用於蝕刻氮化鈦之組成物
CN116325086A (zh) 蚀刻剂组合物