KR20070121845A - 용매계 내 자기 조립 단층을 이용한 고용량 이온 주입포토레지스트의 제거 - Google Patents

용매계 내 자기 조립 단층을 이용한 고용량 이온 주입포토레지스트의 제거 Download PDF

Info

Publication number
KR20070121845A
KR20070121845A KR1020077026503A KR20077026503A KR20070121845A KR 20070121845 A KR20070121845 A KR 20070121845A KR 1020077026503 A KR1020077026503 A KR 1020077026503A KR 20077026503 A KR20077026503 A KR 20077026503A KR 20070121845 A KR20070121845 A KR 20070121845A
Authority
KR
South Korea
Prior art keywords
sam
containing composition
microelectronic device
photoresist material
silicon
Prior art date
Application number
KR1020077026503A
Other languages
English (en)
Inventor
마이클 비 코르젠스키
파멜라 엠 비신틴
토머스 에이치 바움
Original Assignee
어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 filed Critical 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드
Publication of KR20070121845A publication Critical patent/KR20070121845A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/228Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a liquid phase, e.g. alloy diffusion processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/162Organic compounds containing Si
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/28Heterocyclic compounds containing nitrogen in the ring
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Nanotechnology (AREA)
  • Computer Hardware Design (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Composite Materials (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

미세 전자 소자로부터 벌크 및 경화 포토레지스트 재료를 제거하기 위한 방법 및 자기 조립 단층(SAM) 함유 조성물이 개발되었다. SAM 함유 조성물은 적어도 하나의 용매, 적어도 하나의 촉매, 적어도 하나 SAM 성분 및 임의로 계면활성제를 포함한다. SAM 함유 조성물은 단일 단계 공정에서 하지의 규소 함유층(들)을 동시에 부동태화하는 동안에 경화 포토레지스트 재료를 효과적으로 제거한다.

Description

용매계 내 자기 조립 단층을 이용한 고용량 이온 주입 포토레지스트의 제거{REMOVAL OF HIGH-DOSE ION-IMPLANTED PHOTORESIST USING SELF-ASSEMBLED MONOLAYERS IN SOLVENT SYSTEMS}
미세 전자 소자(microelectronic device)의 표면으로부터 벌크 및 경화 포토레지스트를 제거하는 데 유용한 자기 조립 단층(SAM: self-assembled monolayer) 함유 조성물, 및 그와 같은 제거를 위해 상기 조성물을 사용하는 방법에 관한 것이다.
반도체 장치가 더욱 집적화 및 미소화됨에 따라, 미세 전자 소자 내의 불순물 분포를 정밀하게 제어하고 도펀트(dopant) 원자, 예컨대, As, B 및 P를 노출된 장치 층에 부가하기 위해, FEOL(front-end-of-line) 처리 동안에, 이온 주입이 광범위하게 사용되고 있다. 도펀트 불순물의 농도 및 깊이는 도펀트의 용량, 가속 에너지, 및 이온 전류를 변화함으로써 제어된다. 후속 처리 이전에, 이온 주입 포토레지스트층은 반드시 제거되어야 한다. 비한정적으로 예컨대, 황산과 과산화수소의 혼합 용액 내에서의 습식 화학적 에칭 공정과, 예컨대, 산소 플라스마 애싱(ashing) 공정 내에서의 건식 플라스마 에칭 공정을 포함하는 각종 공정이 경화 포토레지스트를 제거하기 위해 과거 사용되어 왔다.
불행히도, 저(低)(5 keV), 중간(10 keV) 및 고(高)(20 keV) 임플란트 에너지에서, 고용량의 이온(예컨대, 약 1 × 1015 원자 m-2보다 큰 용량)이 희망하는 층 내에 주입되는 경우, 이온은 또한 포토레지스트층, 특히 포토레지스트의 노출면을 통하여 주입되고, 상기 포토레지스트는 물리적 및 화학적으로 경성(rigid)이 된다. 경성 이온 주입 포토레지스트층은 탄화 영역 또는 "크러스트(crust)"라고도 칭하며, 제거가 어려운 것으로 밝혀졌다.
현재, 이온 주입 포토레지스트층 및 다른 오염물의 제거는 포토레지스트, 에칭 후 잔류물 및 다른 오염물을 제거하기 위해 수성계 에칭액 제제를 통상적으로 사용하여, 다중 단계 습식 박리 공정이 후속하는 플라스마 에칭 방법에 의해서 일반적으로 수행된다. 종래의 습식 박리 처리는 일반적으로 강한 산, 염기, 용매 및 산화제의 사용을 포함한다. 하지만, 불리하게도, 습식 박리 처리는 기판 및 게이트 산화물과 같은 하지 규소 함유층을 또한 에칭하고/하거나 게이트 산화물 두께를 증가시킨다.
피처 크기(feature size)가 계속 감소함에 따라, 전술한 제거 요건을 충족하는 것은 종래 기술의 수성계 에칭액 제제를 사용하는 것을 더욱 현저하게 시도하게 한다. 물은 큰 종횡비(aspect ratio)를 갖는 작은 이미지 노드(image node)에의 접근을 제한 또는 방지하는 높은 표면 장력을 갖고, 그리하여, 틈이나 홈 내의 잔류물의 제거가 더욱 어려워진다. 또한, 수성계 에칭액 조성물은 증발 건조시, 트랜치(trench) 또는 비어(via) 내에 미리 용해되지 않은 용질을 종종 남기게 되는데, 이는 전도를 억제하고 소자 수율을 감소시킨다. 또한, 하지의 다공성 저(低)-k 유전 재료는 물과 같은 고 표면 장력 액체의 모세관 응력을 견디는 데 충분한 기계적 강도를 갖지 않으며, 이는 구조의 패턴 붕괴를 유도한다. 수성 에칭액 제제는 유전 상수, 기계적 강도, 수분 흡수, 열팽창 계수, 상이한 기판에의 부착 등 저-k 재료의 중요한 재료 특성을 또한 강하게 변경시킬 수 있다.
따라서, 미세 전자 소자로부터 벌크 및 경화 포토레지스트를 제거하는 것과 관련한 종래 기술의 결함을 극복하는 개선된 조성물을 제공하는 것은 종래 기술에서 현저한 진보가 될 것이다. 개선된 조성물은 플라스마 에칭 단계에 대한 필요성이 없고, 하지 규소 함유층(들)을 실질적으로 오버 에칭하지 않고, 벌크 및 경화 포토레지스트를 단일 단계 또는 다중 단계 공정으로 효과적으로 제거할 것이다.
발명의 개요
본 발명은 벌크 및 경화 포토레지스트를 제거하는 데 유용한 자기 조립 단층(SAM) 함유 조성물, 상기 제거를 위해 상기 조성물의 제조 방법 및 사용 방법, 및 이 방법을 사용하여 제조된 개선된 미세 전자 소자에 관한 것이다.
한 측면에서, 본 발명은 적어도 하나의 용매, 적어도 하나의 촉매, 적어도 하나의 SAM 성분 및 임의로 적어도 하나의 계면활성제를 포함하는 자기 조립 단층(SAM) 함유 조성물에 관한 것이며, 상기 SAM 함유 조성물은 벌크 및 경화 포토레지스트 재료를 위에 갖는 미세 전자 소자로부터 상기 포토레지스트 재료를 제거하는 데 적합하다.
다른 측면에서, 본 발명은 1 이상의 용기에 SAM 함유 조성물 시약을 포함하 는 키트(kit)에 관한 것이며, SAM 함유 조성물은 적어도 하나의 용매, 적어도 하나의 촉매, 적어도 하나의 SAM 성분, 임의로 적어도 하나의 계면활성제를 포함하며, 키트는 위에 상기 포토레지스트 재료를 갖는 미세 전자 소자로부터 벌크 및 경화 포토레지스트 재료를 제거하는 데 적합한 SAM 함유 조성물을 형성하도록 채택된다.
다른 측면에서, 본 발명은 벌크 및 경화 포토레지스트 재료를 위에 갖는 미세 전자 소자로부터 상기 포토레지스트 재료를 제거하는 방법에 관한 것이며, 상기 방법은 미세 전자 소자로부터 상기 포토레지스트 재료를 적어도 부분적으로 제거하는 데 충분한 시간 동안 충분한 접촉 조건으로 미세 전자 소자와 SAM 함유 조성물을 접촉시키는 단계를 포함하며, SAM 함유 조성물은 적어도 하나의 용매, 적어도 하나의 촉매, 적어도 하나의 SAM 성분 및 임의로 적어도 하나의 계면활성제를 포함한다.
또다른 측면에서, 본 발명은 벌크 및 경화 포토레지스트 재료를 위에 갖는 미세 전자 소자로부터 상기 포토레지스트 재료를 제거하는 방법에 관한 것이며, 상기 방법은 포토레지스트 재료 하부의 규소 함유층을 적어도 부분적으로 부동태화하는 데 충분한 시간 동안 미세 전자 소자와 SAM 함유 조성물을 접촉시키는 단계와, 미세 전자 소자로부터 상기 포토레지스트 재료를 적어도 부분적으로 제거하기 위해 미세 전자 소자와 에칭액 함유 제거용 조성물을 접촉시키는 단계를 포함하며, 상기 SAM 함유 조성물은 SAM 성분을 함유하는 비할로겐화물을 포함한다.
다른 측면에서, 본 발명은 벌크 및 경화 포토레지스트 재료를 위에 갖는 미세 전자 소자로부터 상기 포토레지스트 재료를 제거하는 방법에 관한 것이며, 상기 방법은 상기 포토레지스트 재료를 미세 전자 소자로부터 적어도 부분적으로 제거하는 데 충분한 시간 동안 미세 전자 소자와 SAM 함유 조성물을 접촉시키는 단계를 포함하며, 상기 SAM 함유 조성물은 에칭액 성분이 결여되어 있다.
다른 측면에서, 본 발명은 미세 전자 소자를 제조하는 방법에 관한 것이며, 상기 방법은 위에 상기 포토레지스트 재료를 갖는 미세 전자 소자로부터 벌크 및 경화 포토레지스트 재료를 적어도 부분적으로 제거하는 데 충분한 시간 동안 미세 전자 소자와 SAM 함유 조성물을 접촉시키는 단계와, 임의로 상기 세정된 미세 전자 소자를 제품에 일체화시키는 단계를 포함하며, 상기 SAM 함유 조성물은 적어도 하나의 용매, 적어도 하나의 촉매, 적어도 하나의 SAM 성분 및 임의로 적어도 하나의 계면활성제를 포함한다.
본 발명의 다른 측면, 특징 및 실시예는 이하의 개시 내용 및 첨부된 청구의 범위로부터 충분히 자명해질 것이다.
도면의 간단한 설명
도 1A 내지 도 1D는 톨루엔 10 mL 중 Cl3SiMe 1 mmol 및 Et3N 2 mmol을 포함하는 SAM 함유 조성물을 70℃ 접촉 온도에서 소자 표면과 접촉한 후, 각각 1 분, 30 분, 1 시간 및 15 시간의 접촉 시간에서의 미세 전자 소자 표면의 원자 현미경 사진이다.
도 2는 벌크 블랭킹된(blanketed) 포토레지스트층(벌크 PR), 블랭킹된 이온 주입 포토레지스트층(크러스트), 벌크 패터닝된 포토레지스트층(패턴 PR) 및 패터 닝된 이온 주입 포토레지스트층(패턴 크러스트)을 포함하는 4개의 상이한 미세 전자 소자 층에 대하여 온도의 함수로서 본 발명의 SAM 함유 조성물의 세정 효율을 예시한다.
도 3A-3C는 톨루엔 10 mL 중 Et3N 2 mmol 중에 ClSiMe3(도 3A), Cl2SiMe2(도 3B), Cl3SiMe(도 3C)를 포함하는 SAM 함유 조성물을 70℃의 접촉 온도에서 30 분 동안 소자 표면과 접촉시킨 후의 미세 전자 소자 표면의 원자현미경 사진이다.
도 4A-4C는 미세 전자 소자 표면 상의 조밀하게 패터닝된 이온 주입 포토레지스트의 광학 현미경 이미지(도 4A) 및 주사 전자 현미경(SEM) 이미지(도 4B-4C)이다.
도 5A-5C는 ClSiMe3(도 5A), Cl2SiMe2(도 5C), Cl3SiMe(도 5C)를 포함하는 SAM 함유 조성물을 70℃에서 30 분 동안 접촉시킨 후의 미세 전자 소자 표면의 광학현미경 사진이다.
도 6은 벌크 블랭킹된 포토레지스트층(벌크 PR), 블랭킹된 이온 주입 포토레지스트층(크러스트), 벌크 패터닝된 포토레지스트층(패턴 PR) 및 패터닝된 이온 주입 포토레지스트층(패턴 크러스트)을 포함하는 4개의 상이한 미세 전자 소자 층에 대하여 SAM 작용도의 함수로서 본 발명의 SAM 함유 조성물의 제거 효율을 예시한다.
도 7A-7C는 제어 표면의 광학현미경 사진(도 7A), 본 발명의 SAM 함유 조성물을 사용하는 세정 및 부동태화 후 표면의 광학현미경 사진(도 7B), 및 본 발명에 따른 탈부동태화 후 표면의 광학현미경 사진(도 7C)이다.
도 8A-8E는 제어 표면의 주사 전자 현미경 사진(도 8A), 본 발명의 SAM 함유 조성물을 사용한 세정 및 부동태화 후 표면의 주사 전자 현미경 사진(도 8B), 90°각도에서의 탈부동태화 후 표면의 주사 전자 현미경 사진(도 8C), 60°각도에서의 탈부동태화 후 표면의 주사 전자 현미경 사진(도 8D), 및 탈부동태화 후 의도적으로 오버 에칭된 표면의 주사 전자 현미경 사진(도 8E)이다.
본 발명은 미세 전자 소자의 표면으로부터 벌크 및 경화 포토레지스트를 제거하는 데 효율이 높으면서, 하지 규소 함유층(들)의 집적도를 유지하는 자기 조립 단층(SAM) 함유 조성물의 발견에 기초한 것이다.
여기에 사용된 "벌크 포토레지스트"는 미세 전자 소자 표면 위의, 특히 경화 포토레지스트 크러스트의 근접 하부의 비탄화 포토레지스트에 해당한다.
여기에 사용된 "경화 포토레지스트"는 예컨대, 집적 회로의 BEOL(back-end-of-line) 듀얼-다마신 처리 동안 플라스마 에칭된 포토레지스트, 예컨대, 반도체 웨이퍼의 적절한 층 내에 도펀트 종을 주입하기 위한 FEOL(front-end-of-line) 처리 동안 및/또는 벌크 포토레지스트의 노출 표면에 탄화 또는 고(高) 가교 크러스트가 형성하는 임의의 다른 방법론에 의해 이온 주입된 포토레지스트를 포함하지만, 그것에 한정되는 것은 아니다.
여기에 사용하는 바와 같이, "하지 규소 함유" 층은 규소와, 게이트 산화물(예컨대, 열적으로나 화학적으로 성장된 SiO2) 및 TEOS를 포함하는 산화 규소와, 질화규소와, 저-k 규소 함유 재료를 포함하는, 벌크 및/또는 경화 포토레지스트 바로 아래의 층에 해당한다. 여기에서 규정하는 바와 같이, "저-k 규소 함유 재료"는 층으로 된 미세 전자 소자 내의 유전 재료로서 사용되며, 유전 상수가 약 3.5 이하인 임의의 재료에 해당한다. 바람직하게는, 저-k 유전 재료는 규소 함유 유기 중합체, 규소 함유 하이브리드 유기/무기 재료, 유기 규산염 유리(OSG), TEOS, 불화 규산염 유리(FSG), 이산화규소, 및 탄소 도핑 산화물(CDO) 유리와 같은 저극성 재료를 포함한다. 저-k 유전 재료는 다양한 밀도 및 다양한 공극율을 가질 수 있다는 것을 이해해야 한다.
"미세 전자 소자"는 미세 전자 소자, 집적 회로, 또는 컴퓨터 칩 애플리케이션에 사용하기 위해 제조된 반도체 기판, 평판 디스플레이, 및 미소 전기 기계 시스템(MEMS)에 해당한다. "미세 전자 소자"는 어떤 식으로든 한정적이도록 의도된 것은 아니며, 궁극적으로 미세 전자 소자 또는 미세 전자 소자 어셈블리가 되는 임의의 기판을 포함한다.
여기에 규정된 바와 같이, "실질적으로 오버 에칭"은 하지층(들)을 갖는 미세 전자 소자와 본 발명의 SAM 함유 조성물의 본 발명의 공정에 따른 접촉 후, 인접 하지 규소 함유층(들)의 약 10% 이상의 제거, 더욱 바람직하게는 약 5% 이상의 제거, 더욱더 바람직하게는 약 2% 이상의 제거에 해당한다. 다시 말해서, 가장 바람직하게는 소정 시간 동안 본 발명의 조성물을 사용하여 하지 규소 함유층(들)의 2% 이하가 에칭된다.
여기에 사용되는 바와 같이, "약"은 언급한 값의 ±5%에 해당하도록 의도된다.
여기에 사용되는 바와 같이, 벌크 및 경화 포토레지스트 재료를 위에 갖는 미세 전자 소자로부터 상기 포토레지스트 재료를 제거하기 위한 "적합성"은 미세 전자 소자로부터 상기 포토레지스트 재료의 적어도 부분적인 제거에 해당한다. 바람직하게는, 포토레지스트 재료의 약 90%가 본 발명의 조성물을 사용하여 미세 전자 소자로부터 제거되며, 더욱 바람직하게는 포토레지스트 재료의 적어도 95%, 가장 바람직하게는 적어도 99%가 제거된다.
여기에 사용되는 바와 같은 "조밀 유체(dense fluid)"는 초임계(supercritical) 유체 또는 아임계(subcritical) 유체에 해당한다. 용어 "초임계 유체"는 의도된 화합물의 압력-온도 다이어그램에서 임계 온도(Tc) 이상, 임계 압력(Pc) 이상의 조건에 있는 재료를 지시하기 위해 사용된다. 본 발명에 사용된 바람직한 초임계 유체는 CO2이며, CO2는 단독으로 사용되거나, Ar, NH3, N2, CH4, C2H4, CHF3, C2H6, n-C3H8, H2O, N2O 등과 같은 다른 첨가물과의 혼합물로 사용될 수 있다. 용어 "아임계 유체"는 아임계 상태, 즉, 특정 용매와 관련된 임계 온도 이하 및/또는 임계 압력 이하의 용매를 기술한다. 바람직하게는, 아임계 유체는 다양한 밀도의 고압 액체이다.
중요하게는, 본 발명의 SAM 함유 조성물은 양호한 금속 함유 재료 상용성, 예컨대, 금속 함유 재료에 대한 낮은 에칭률을 가져야만 한다. 관심 있는 금속 함유 재료는 구리, 텅스텐, 코발트, 알루미늄, 탄탈, 티탄 및 루테늄과, 그것의 규화물 및 질화물을 포함하지만, 그것에 한정되는 것은 아니다.
자기 조립 단층(SAM)은 금속(예컨대, 구리, 금 등)과, 티탄, 하프늄, 규소 및 알루미늄의 산화물을 비한정적으로 포함하는 각종 표면을 부동태화하는 것으로 알려진다. SAM은 예컨대, 할로겐화물과 같은 적어도 하나의 이탈기를 갖는 실란을 포함하며, 상기 실란은 규소 함유 표면 상의 산소기에서 공유 결합을 용이하게 형성한다(즉, 실릴화 반응을 통함). 실란 자체는 폴리에틸렌 글리콜(PEG)과 같은 공유 결합된 비활성 분자를 더 포함할 수 있어서, 규소 함유 표면에의 부착 후, PEG 실란은 다른 분자가 상기 표면과 결합하는 것을 차단할 수 있다. PEG 실란 SAM은 박형이고(즉, 벌크 상태가 아님) 친수성이며, 규소 함유 표면과 PEG 분자의 결합은 물과 같은 비점착성 층이 결과로서 생기기 때문에 인기가 있다. 반대로, 알킬클로로실란은 필요한 경우 소수성 표면을 형성하기 위해 사용될 수 있다.
본 발명의 조성물은 이하에 상세히 기술하는 바와 같이 다양한 특정 제제로 구현될 수 있다.
그러한 모든 조성물에서, 조성물의 특정 성분은 제로 하한을 포함하는 중량%로 기술하며, 그러한 성분은 조성물의 각종 특정 실시예에서 존재하거나 존재하지 않을 수 있고, 예컨대, 그러한 성분이 존재하는 경우, 그러한 성분이 적용되는 조성물의 전체 중량을 기준으로 0.01 중량%와 같이 낮은 농도로 존재할 수 있다.
한 측면에서, 본 발명은 미세 전자 소자로부터 벌크 및 경화 포토레지스트를 제거하는 데 유용한 액상 SAM 함유 조성물에 관한 것이다. 한 실시예에 따른 액상 조성물은 적어도 하나의 SAM 성분, 임의로 적어도 하나의 용매, 임의로 적어도 하나의 촉매 및 임의로 적어도 하나의 계면활성제를 포함한다. 또다른 실시예에 따른 액상 조성물은 적어도 하나의 SAM 성분, 적어도 하나의 촉매, 임의로 적어도 하나의 용매 및 임의의 적어도 하나의 계면활성제를 포함한다. 또다른 실시예에 따른 액상 조성물은 적어도 하나의 SAM 성분, 적어도 하나의 용매, 적어도 하나의 촉매 및 임의의 적어도 하나의 계면활성제를 포함한다. 중요하게는, 선택된 용매의 성질에 따라, 용매는 동시에 촉매로서 작용할 수 있다.
한 실시예에서, 본 발명은 미세 전자 소자로부터 벌크 및 경화 포토레지스트를 제거하는 데 유용한 액상의 SAM 함유 조성물에 관한 것이며, 촉매는 동시에 용매로서 작용한다. 본 실시예에 따른 액상 조성물은 조성물의 전체 중량을 기준으로 하기 범위에 존재하는 적어도 하나의 촉매, 적어도 하나의 SAM 성분 및 임의의 적어도 하나의 계면활성제를 포함한다:
성분 중량%
촉매(들) 약 85.0% 내지 약 99.99%
SAM(들) 약 0.01% 내지 약 10.0%
계면활성제(들) 0% 내지 약 10.0%
특히 바람직한 실시예에서, 본 발명은 미세 전자 소자로부터 벌크 및 경화 포토레지스트를 제거하는 데 유용한 액상의 SAM 함유 조성물에 관한 것이다. 본 실시예에 따른 액상 조성물은 조성물의 전체 중량을 기준으로 하기 범위에 존재하는 적어도 하나의 용매, 적어도 하나의 촉매, 적어도 하나의 SAM 성분 및 임의의 적어도 하나의 계면활성제를 포함한다.
성분 중량%
용매(들) 약 75.0% 내지 약 99.98%
SAM(들) 약 0.01% 내지 약 10.0%
촉매(들) 약 0.01% 내지 약 10.0%
계면활성제(들) 0% 내지 약 10.0%
한 측면에서, 액상 SAM 함유 조성물 내의 SAM(들) 대 촉매(들)의 몰비 범위는 약 1:10 내지 약 5:1, 더욱 바람직하게는 약 1:5 내지 약 1:1이고, SAM(들) 대 액상 용매(들)의 몰비 범위는 약 1:200 내지 약 1:50, 더욱 바람직하게는 약 1:125 내지 약 1:75이며, SAM(들) 대 계면활성제(들)(존재하는 경우)의 몰비는 약 1:10 내지 약 5:1이다.
본 발명의 폭넓은 실시예에서, 액상의 SAM 함유 조성물은 적어도 하나의 용매, 적어도 하나의 촉매, 적어도 하나의 SAM 성분 및 임의의 적어도 하나의 계면활성제를 포함하거나, 그것으로 이루어지거나 또는 그것으로 필수적으로 이루어질 수 있다. 일반적으로, 용매(들), 촉매(들), SAM 성분(들) 및 임의의 계면활성제(들)의 서로 관련적인 특정 비율 및 양은, 과도한 노력 없이 당업자에 의해 쉽게 결정될 수 있는 바와 같이, 벌크 및 경화 포토레지스트 및/또는 공정 장비에 대한 액상의 SAM 함유 조성물의 소정의 제거 작용을 제공하기 위해 적합하게 변경될 수 있다.
본 발명의 조성물에 유용한 용매 종은 특성이 극성이거나 비극성일 수 있다. 예시적인 비극성 종은 톨루엔, 데칸, 도데칸, 옥탄, 펜탄, 헥산, 테트라히드로푸란(THF) 및 이산화탄소(초임계 또는 아임계)를 비한정적으로 포함한다. 예시적인 극성 종은 메탄올, 에탄올, 이소프로판올, N-메틸피롤리디논, N-옥틸피롤리디논, N-페닐피롤리디논, 디메틸술폭시드(DMSO), 술포란, 에틸 락테이트, 에틸 아세테이트, 톨루엔, 아세톤, 메틸 카르비톨, 부틸 카르비톨, 헥실 카르비톨, 모노에탄올아민, 부티롤 락톤, 디글리콜 아민, 불화알킬암모늄, γ-부티로락톤, 부틸렌 카르보네이트, 에틸렌 카르보네이트 및 프로필렌 카르보네이트 및 이들의 조합을 포함한다. 용매는 비극성 종을 포함하는 것이 바람직하다. 톨루엔이 특히 바람직하다.
SAM 성분은 (RO)3SiX, (RO)2SiX2, (RO)SiX3를 포함하는 알콕시할로실란을 포함할 수 있고, X는 서로 동일하거나 상이할 수 있으며, F, Cl, Br 또는 I로 이루어진 군에서 선택되며, RO는 서로 동일하거나 상이할 수 있고, 메톡시, 에톡시, 프로폭시 등 또는 이들의 조합과 같은 직쇄형 또는 분지쇄형 C1-C20 알콕시 종으로 이루어진 군에서 선택된다. 바람직하게는, SAM 성분은 (R)3SiX, (R)2SiX2, (R)SiX3와 같은 알킬할로실란을 포함하며, X는 서로 동일하거나 상이할 수 있고, F, Cl, Br 또는 I로 이루어진 군에서 선택되며, R은 서로 동일하거나 상이할 수 있고, 메틸, 에틸, 프로필, 부틸, 옥틸, 데실, 도데실 등, 또는 이들의 조합과 같은 직쇄형, 분지쇄형 또는 환형 C1-C20 알킬 종으로 이루어진 군에서 선택된다. 불화 알킬 및 알콕시 유도체가 또한 사용될 수 있다. 바람직하게는, SAM 성분은 알킬할로실란을 포함하며, 여기서, X는 Cl이고, R은 메틸이다. 다른 대안에서, SAM 성분은 이에 부착된 PEG 분자를 갖는다.
이론에 구속되기를 바라지 않을지라도, 실릴화 반응을 개시하고, 하지 규소 함유층(들)의 부동태화를 가속하기 위해 촉매가 본 발명의 조성물에 포함된다. 바람직하게는, 촉매는 트리메틸아민, 트리에틸아민, 부틸아민, 피리딘과 같은 아민, 및 SAM 성분으로부터 할로겐 이탈기를 제거하는 데 도움이 되는 임의의 다른 친핵성 화합물을 포함한다. 아민 촉매는 시험관 내 실릴화 반응을 촉진하는 것으로 고려되며, 이에 의해, 양자가 가해진 이탈기 예컨대, HX의 동시적 생성과 함께, SAM 실란이 하지 규소 함유층(들) 상의 산소 원자에 공유 결합한다. 따라서, 하지 규소 함유층은 공유 결합 실란에 의해 부동태화되고, 양자가 가해진 생성된 이탈기는 경화 포토레지스트 재료의 제거에 이용가능하다. 중요하게는, 선택된 용매의 특성에 따라, 용매는 동시에 촉매로서 작용할 수 있다.
본 발명의 액상 SAM 함유 조성물은 미세 전자 소자의 표면으로부터의 레지스트 제거를 돕기 위해 계면활성제를 더 포함할 수 있다. 예시적인 계면활성제는 플루오로알킬 계면활성제, 폴리에틸렌 글리콜, 폴리프로필렌 글리콜, 폴리에틸렌 또는 폴리프로필렌 글리콜 에테르, 카르복실산 염, 도데실벤젠술폰산 또는 그 염, 폴리아크릴레이트 중합체, 디노닐페닐 폴리옥시에틸렌, 실리콘 또는 변성 실리콘 중합체, 아세틸렌계 디올 또는 변성 아세틸렌계 디올, 알킬암모늄 또는 변성 알킬암모늄 염뿐만 아니라, 전술한 계면활성제의 조합을 비한정적으로 포함한다.
바람직한 실시예에서, 액상 SAM 함유 조성물은 조성물의 전체 중량을 기준으로 물 약 1 중량% 미만, 더욱 바람직하게는 0.5 중량% 미만, 가장 바람직하게는 0.25 중량% 미만을 포함한다. 또한, 바람직하게는 적어도 하나의 SAM 성분은 미세 전자 소자 표면에서 실질적인 중합을 거치지 않는다. 예컨대, 바람직하게는 SAM 성분의 5 중량% 미만, 더욱 바람직하게는 2 중량% 미만, 더욱더 바람직하게는 1 중량% 미만, 가장 바람직하게는 0.1 중량% 미만이 미세 전자 소자 표면에서 중합한다.
일반적으로, 적어도 하나의 용매, 적어도 하나의 촉매, 적어도 하나의 SAM 성분 및 임의로 적어도 하나의 계면활성제의 서로 연관된 특정 비율 및 양은 미세 전자 소자로부터 제거될 벌크 및 경화 포토레지스트에 대한 액상 SAM 함유 조성물의 소정의 세정 및 부동태화 작용을 제공하기 위해 적합하게 변경될 수 있다. 그러한 특정 비율 및 양은 당업계에서 과도한 노력 없이 단순 실험에 의해 용이하게 결정할 수 있다. 더욱 바람직하게는, SAM 함유 성분(들) 및 촉매(들)는 벌크 및 경화 포토레지스트 재료를 위에 갖는 미세 전자 소자로부터 상기 포토레지스트 재료를 제거하는 데 유효한 양으로 존재한다.
문구 "미세 전자 소자로부터 벌크 및 경화 포토레지스트를 제거함"은 어떠한 방식으로든 한정적이 되도록 의도된 것은 아니며, 궁극적으로 미세 전자 소자가 될 임의의 기판으로부터의 벌크 및 경화 포토레지스트 재료의 제거를 포함한다.
본 발명의 액상의 SAM 함유 조성물은 경화 포토레지스트, 예컨대, BEOL 경화 포토레지스트, BARC(bottom anti-reflective coating) 재료, CMP 후 잔류물, BARC 잔류물 및/또는 애싱 후 포토레지스트를 제거는 동시에, 하지의 규소 함유층(들) 또는 부동태화가 필요한 히드록실-말단기를 갖는 임의의 다른 친수성 표면을 부동태화는 데 사용될 수 있는 것이 또한 고려된다. 또한, 본 발명의 액상의 SAM 함유 조성물은 포토마스크 재료를 재사용하기 위해 그 포토마스크 재료로부터 오염 물질을 제거하는 데 또한 사용될 수 있다.
본 발명의 액상 SAM 함유 조성물은 임의로 조성물의 부동태화 및 제거 능력을 더욱 개선하고, 또는 다르게는 조성물의 특성을 개선하기 위해, 즉, 금속 부동태화를 제공하기 위해 추가 성분과 함께 제형화될 수 있다. 따라서, 조성물은 안정화제, 착화제, 부동태화제, 예컨대 Cu 부동태화제 및/또는 부식 방지제와 함께 제형화될 수 있다.
본 발명의 액상의 SAM 함유 조성물은 부드럽게 교반하여 용매(들), 촉매(들), SAM 성분(들) 및 임의의 계면활성제(들)의 혼합물로 용이하게 제형화될 수 있다. 용매(들), 촉매(들), SAM 성분(들) 및 임의의 계면활성제(들)는 단일-패키지 제형 또는 사용 시점에서 혼합되는 다중-부분 제형(들)으로서 용이하게 제형화될 수 있다. 다중-부분 제형의 개별 부분은 툴(tool)에서 또는 툴의 저장 탱크 상향 스트림 내에서 혼합될 수 있다. 단일-패키지 제형 또는 다중-부분 제형의 개별 부분의 농도는 본 발명의 폭넓은 실시에서 특정 배수로 다양하게, 즉, 더 희석하거나 더 농축하도록 변경할 수 있으며, 본 발명의 액상 SAM 함유 조성물은 여기의 개시 내용과 일치하는 성분의 임의의 조합을 다양하게 그리고 대안적으로 포함하거나, 그 조합으로 이루어지거나, 그 조합으로 필수적으로 이루어질 수 있다.
따라서, 본 발명의 다른 측면은 1 이상의 용기, 본 발명의 조성물을 형성하기에 적합한 1 이상의 성분을 포함하는 키트에 관한 것이다. 바람직하게는, 키트는 1 이상의 용기 내에, 적어도 하나의 용매, 적어도 하나의 SAM 성분 및 팹(fab)에서 적어도 하나의 촉매와 배합하기 위한 임의의 적어도 하나의 계면활성제를 포함한다. 또다른 실시예에 따라, 키트는 1 이상의 용기에 적어도 하나의 SAM 성분, 및 팹에서 적어도 하나의 용매 및 적어도 하나의 촉매와 배합하기 위한 임의의 적어도 하나의 계면활성제를 포함한다. 또다른 실시예에서, 키트는 하나의 용기에 용매 내에 적어도 하나의 SAM를 포함하고, 또다른 용기에 팹에서 배합하기 위한 용매 내에 적어도 하나의 촉매를 포함한다. 예컨대, 키트의 용기는 NOWPak(등록상표) 용기(미국 코네티컷주 댄버리 소재의 어드벤스드 테크놀로지 머티리얼 인코포레이티드 제조)를 포함할 수 있다.
다른 실시예에서, 본 발명은 미세 전자 소자로부터 벌크 및 경화 포토레지스트를 제거하는 데 유용한 액상의 SAM 함유 조성물에 관한 것이며, 액상 SAM 함유 조성물은 적어도 하나의 용매, 적어도 하나의 촉매, 적어도 하나의 SAM 성분, 임의로 적어도 하나의 계면활성제, 및 포토레지스트 잔류물을 포함하며, 포토레지스트는 벌크 및/또는 경화 포토레지스트이다. 중요하게는, 잔류물은 본 발명의 액상의 SAM 함유 조성물에 용해되고/되거나 현탁될 수 있다. 또다른 실시예에서, 포토레지스트 잔류물은 붕소 이온, 비소 이온, 인 이온, 인듐 이온 및 안티몬 이온으로 이루어진 군에서 선택된 이온을 포함한다.
또다른 측면에서, 본 발명은 조밀 유체, 예컨대, 초임계 유체(SCF)를 주요 용매계로서 포함하는 조밀 SAM 함유 조성물에 관한 것이다. 용이하게 제조되는 특성, 독성의 결여 및 무시할 수 있는 환경 영향으로 인하여, 초임계 이산화탄소(SCCO2)가 바람직한 SCF이다. SCCO2는 액체 및 가스 양쪽의 특성을 갖기 때문에, 미세 전자 소자의 공정 오염물을 제거하는 데 있어서 매력적인 시약이다. 가스와 유사하게, SCCO2는 신속히 확산하고, 낮은 점도와 제로에 가까운 표면 장력을 갖고, 깊은 트렌치 및 비어에 용이하게 침투한다. 액체와 유사하게, SCCO2는 "세정" 매체로서의 벌크 흐름 능력을 갖는다. SCCO2는 유기 용매와 유사한 밀도를 갖고, 또한 재사용이 가능하다는 장점을 가져서, 폐기물 저장 및 처분 요건을 최소화시킨다.
한 실시예에 따른 조밀 SAM 함유 조성물은 SCCO2와 액상의 SAM 함유 조성물, 즉, SAM 함유 농축물을 조성물의 전체 중량을 기준으로 하기 범위에 포함한다:
성분 중량%
SCCO2 약 95.0% 내지 약 99.99%
액상의 SAM 함유 조성물 약 0.01% 내지 약 10.0%
여기서, 액상의 SAM 함유 조성물이 조용매 약 75.0% 내지 약 90.0%와, SAM 성분 약 0.01% 내지 약 10.0%, 촉매 약 0.01% 내지 약 10.0% 및 임의로 계면활성제 0% 내지 약 10.0%를 포함하며, 고려되는 조용매(들), SAM 성분(들), 촉매(들) 및 임의의 계면활성제(들)는 전술한 화학종을 포함한다.
한 측면에서, 액상의 SAM 함유 조성물 대 조밀 SAM 함유 조성물 내의 SCCO2의 몰비 범위는 약 1:200 내지 약 1:4이고, 더욱 바람직하게는 약 1:100 내지 약 1:6이다.
본 발명의 폭 넓은 실시에서, 조밀 SAM 함유 조성물은 SCCO2와 액상의 SAM 함유 조성물, 즉, 적어도 하나의 추가 용매, 적어도 하나의 촉매, 적어도 하나의 SAM 성분 및 임의의 적어도 하나의 계면활성제를 포함하거나, 그것으로 이루어지거나, 그것으로 필수적으로 이루어질 수 있다. 일반적으로, SCCO2와 액상 SAM 함유 조성물의 서로 연관된 특정 비율 및 양은 당업계에서 과도한 노력 없이 용이하게 결정할 수 있는 바와 같이, 벌크 및 경화 포토레지스트 및/또는 공정 장비에 대한 조밀 SAM 함유 조성물의 소정의 제거 작용을 제공하도록 적절하게 변경될 수 있다. 중요하게는, 액상 SAM 함유 조성물은 조밀 SAM 함유 조성물의 조밀 유체 내에서 적어도 부분적으로 용해 및/또는 현탁될 수 있다.
또다른 실시예에서, 본 발명은 미세 전자 소자로부터 벌크 및 경화 포토레지스트를 제거하는 데 유용한 조밀 SAM 함유 조성물에 관한 것이며, 조밀 SAM 함유 조성물은 SCCO2, 적어도 하나의 용매, 적어도 하나의 촉매, 적어도 하나의 SAM 성분, 임의의 적어도 하나의 계면활성제 및 포토레지스트 잔류물을 포함하며, 포토레지스트는 벌크 및/또는 경화 포토레지스트이다. 중요하게는, 잔류물은 본 발명의 조밀 SAM 함유 조성물 내에 용해 및/또는 현탁될 수 있다. 또다른 실시예에서, 포토레지스트 잔류물은 붕소 이온, 비소 이온, 인 이온, 인듐 이온 및 안티몬 이온으로 이루어진 군에서 선택된 이온을 포함한다.
본 발명의 조밀 SAM 함유 조성물은 경화 포토레지스트, 예컨대, BEOL 경화 포토레지스트, BARC(bottom anti-reflective coating) 재료, CMP 후 잔류물, BARC 잔류물 및/또는 애싱 후/에칭 후 포토레지스트를 제거하는 동시에, 부동태화 필요시 하지 규소 함유층(들) 또는 히드록실-말단기를 갖는 임의의 다른 친수성 표면을 부동태화하는 데 사용될 수 있다. 또한, 본 발명의 조밀 SAM 함유 조성물은 재사용을 위해 포토 마스크 재료로부터 오염 물질을 제거하는 데 사용될 수 있다.
또다른 측면에서, 본 발명은 여기에 기술한 SAM 함유 조성물을 사용하여 미세 전자 소자로부터 벌크 및 경화 포토레지스트를 제거하는 방법에 관한 것이다. 예컨대, 패터닝된 소자에서의 트렌치 및 비어 구조는 SAM 부동태화를 이용하여 하지 규소 함유층의 구조적 일체성을 유지하면서 세정될 수 있다. SAM 함유 조성물은 단일 단계 또는 다중 단계 제거 공정으로 사용될 수 있다는 것을 당업자는 이해해야 한다.
본 발명의 SAM 함유 조성물은 하지 규소 함유층(들)을 가역적으로 부동태화하는 동시에, 그 위에 퇴적된 벌크 및 경화 포토레지스트를 제거함으로써 종래 제거 기술의 단점을 극복한다.
본 발명의 액상의 SAM 함유 조성물은 예컨대, 부드러운 교반 하에 혼합 용기 또는 세정 용기 내에서 성분들의 단순한 혼합에 의해 용이하게 제형화된다. 조밀 SAM 함유 조성물은 적절한 온도 및 압력에서 정적 또는 동적 혼합에 의해서 용이하게 제형화된다.
부동태화 및 제거 적용에서, 액상의 SAM 함유 조성물은 포토레지스트를 위에 갖는 미세 전자 소자에 임의의 적합한 방식으로 예컨대, 소자의 표면에 조성물을 분무하거나, 포토레지스트 재료를 포함하는 소자를 (조성물의 체적 내에) 침지시키거나, 소자를 조성물로 포화되어 있는 또다른 재료, 예컨대, 패트, 섬유질 흡수성 도포기 부재와 접촉시키거나, 포토레지스트 재료를 포함하는 소자를 순환 조성물과 접촉시키거나, 또는 액상의 SAM 함유 조성물이 미세 전자 소자 위의 포토레지스트 재료와 접촉되게 하는 임의의 다른 적합한 수단, 방식 또는 기법으로 도포된다. 부동태화 및 제거 적용은 정적이거나 동적일 수 있으며, 당업자에 의해 용이하게 결정될 수 있다.
포토레지스트 재료를 위에 갖는 미세 전자 소자로부터 포토레지스트 재료를 제거하기 위해 본 발명의 조성물을 사용하는 데 있어서, 액상의 SAM 함유 조성물은 통상적으로 약 1분 내지 약 60분의 시간 동안 소자 표면과 접촉하며, 바람직한 시간은 이온 주입 동안에 적용된 도펀트 이온 용량과 주입 에너지에 따라 다르며, 도펀트 이온 용량 및/또는 주입 에너지가 높을수록, 더 긴 접촉 시간이 요구된다. 바람직하게는, 온도는 약 20℃ 내지 약 80℃의 범위이고, 더욱 바람직하게는 약 30℃ 내지 약 80℃이며, 가장 바람직하게는 약 70℃이다. 그러한 접촉 시간 및 온도는 예시적이며, 본 발명의 폭 넓은 실시 내에서, 소자 표면으로부터 포토레지스트 재료를 적어도 부분적으로 제거하는 데 효율적인 임의의 다른 적합한 시간 및 온도 조건이 적용될 수 있다. 본 발명에서 정의하는 바와 같이, "적어도 부분적인 제거"는 벌크 및 경화 포토레지스트의 적어도 90% 제거, 바람직하게는 적어도 95% 제거에 해당한다. 더욱 바람직하게는, 상기 벌크 및 경화 포토레지스트 재료의 적어도 99%가 본 발명의 조성물을 사용하여 제거된다.
소정의 부동태화 및 세정 작용의 달성 후, 미세 전자 소자는 임의의 잔여 화학 첨가제를 제거하기 위해 풍부한 양의 에탄올 및/또는 THF에 의해 철저히 세정될 수 있다.
본 발명의 SAM 함유 조성물은 30-70 nm 두께의 경화된 가교 탄화 크러스트를 갖는 많이 도핑된(2×1015 As 이온 cm-2) 포토레지스트(500-700 nm 두께)의 100%를 선택적으로 제거한다. 중요하게는 경화 크러스트는 하지 규소 함유층(들)이 실질적으로 오버 에칭되지 않고 제거된다.
조밀 SAM 함유 조성물을 이용한 부동태화 및 세정 적용을 위해, 포토레지스트를 위에 갖는 미세 전자 소자 표면은 적합한 고압에서, 예컨대, 미세 전자 소자 표면으로부터의 포토레지스트의 적어도 부분적인 제거를 위한 소정의 접촉 구동에 영향을 미치는 적합한 체적률(volumetric rate) 및 양으로 조밀 SAM 함유 조성물이 공급되는 가압된 접촉 챔버 내에서 조밀 SAM 함유 조성물과 접촉된다. 챔버는 연속적인 펄스 또는 정적 세정을 위한 배치(batch) 또는 단일 웨이퍼 챔버일 수 있다. 조밀 SAM 함유 조성물에 의한 경화 포토레지스트의 부동태화 및 제거는 포토레지스트와 조밀 SAM 함유 조성물의 접촉 동안에 고온 및/또는 고압 조건을 이용함으로써 향상될 수 있다.
본 발명의 폭 넓은 실시에서 더 길거나 짧은 접촉 기간 및 온도가 유리하게 적용될 수 있을지라도, 적절한 조밀 SAM 함유 조성물은 포토레지스트의 소정의 제거에 영향을 미치는 충분한 시간 동안, 예컨대, 약 5 분 내지 약 30 분 범위의 접촉 시간 동안, 약 1,500 psi 내지 약 4,500 psi 범위의 압력 및 약 40℃ 내지 약 75℃의 온도에서 포토레지스트를 위에 갖는 미세 전자 소자 표면과 접촉하도록 적용된다.
조밀 SAM 함유 조성물을 사용하는 제거 공정은 정적 침액(浸液), 동적 세정 모드, 또는 미세 전자 소자 표면 위로의 조밀 SAM 함유 조성물의 동적 유동과, 후속하는 조밀 SAM 함유 조성물 내에서의 소자의 정적 침액을 포함하는 순차적 공정 단계를 포함할 수 있으며, 각각의 동적 유동 및 정적 침액 단계는 교호적 단계의 주기로서 교호적으로 그리고 반복적으로 수행된다.
"동적" 접촉 모드는 물질 전단 구배(mass transfer gradient)를 최대화하고, 표면으로부터의 레지스트의 완전한 제거를 구현하기 위한 소자 표면 위로의 조성물의 연속 흐름을 포함한다. "정적 침액" 접촉 모드는 소자 표면을 조성물의 정적 체적에 접촉시키는 단계와, 연속된 (침액) 기간 동안 그 접촉을 유지하는 단계를 포함한다.
조밀 SAM 함유 조성물을 미세 전자 소자 표면에 접촉시킨 후, 바람직하게는 미세 전자 소자를 세정 용액, 예컨대, SCF/조용매 용액의 분액, 예컨대 SCCO2/메탄올(80%/20%) 용액 및 순수한 SCF로 세정하여, 레지스트 제거가 실행된 소자 표면의 영역으로부터 임의의 잔여 침전 화학 첨가제를 제거한다.
본 발명의 액상의 SAM 함유 조성물 및 조밀 SAM 함유 조성물에 대한 특정 접촉 조건은 본 발명의 개시 내용에 기초하여 당업자에 의해 용이하게 결정될 수 있으며, 본 발명의 조성물 내의 성분의 특정 비율 및 성분의 농도는 하지 규소 함유층(들)의 소정의 부동태화 및 미세 전자 소자 표면 위의 경화 포토레지스트 재료의 제거를 달성하는 동안 넓게 변경될 수 있다는 것을 이해해야 한다.
본 발명의 다른 측면은 미세 전자 소자로부터 벌크 및 경화 포토레지스트를 제거하는 방법에 관한 것이며, 상기 방법은 비할로겐화물 함유 SAM 성분, 예컨대, 헥사메틸디실라잔(HMDS)을 사용한 미세 전자 소자 표면 위의 하지 규소 함유층의 부동태화 단계와, 에칭액 함유 제거용 조성물을 사용하여 미세 전자 소자로부터 벌크 및 경화 포토레지스트를 제거하는 단계를 포함한다. 적합한 에칭액 함유 제거용 조성물은 불화수소(HF), 불화암모늄(NH4F), 불화알킬수소(NRH3F), 불화수소디알킬암모늄(NR2H2F), 불화수소트리알킬암모늄(NR3HF), 삼불화수소트리알킬암모늄(NR3(3HF)), 불화테트라알킬암모늄(NR4F), 피리딘-HF 착물, 피리딘/HCl 착물, 피리딘/HBr 착물, 트리에틸아민/HF 착물, 트리에틸아민/HCl 착물, 모노에탄올아민/HF 착물, 트리에탈올아민/HF 착물, 트리에틸아민/포름산 착물, 이불화크세논(XeF2)을 비한정적으로 포함하며, 전술한 R-치환종 내의 각각의 R은 C1-C8 알킬 및 C6-C10 알킬로부터 독립적으로 선택된다. 추가적인 화학종은 "Dense Fluid Formulations for Cleaning Ion-Implanted Photoresist Layers from Microelectronic Devices"란 제하로 계류중인 2005년 4월 15일 출원된 미국 가특허출원 제60/672,157호(Pamela M. Visintin 등)에 개시되며, 그 전체가 여기에 참고자료로 포함된다.
또다른 측면에서, 본 발명은 벌크 및 경화 포토레지스트 재료를 위에 갖는 미세 전자 소자로부터 상기 포토레지스트 재료를 제거하는 방법에 관한 것이며, 상기 방법은, SAM 함유 조성물이 불화수소, 불화암모늄, 이불화암모늄 및 다른 공지된 불화물 에칭액 종으로 이루어진 군에서 선택된 에칭액 성분이 결여된다는 가정 하에, 미세 전자 소자로부터 상기 포토레지스트 재료를 적어도 부분적으로 제거하는 데 충분한 시간 동안 미세 전자 소자를 SAM 함유 조성물과 접촉시키는 단계를 포함한다.
미세 전자 소자로부터 경화 포토레지스트를 제거하는 데 사용된 방법과 무관하게, 본 발명의 추가의 측면은 미세 전자 소자 표면으로부터의 포토레지스트 제거에 후속하여 그 미세 전자 소자의 표면으로부터의 SAM 부동태화층의 제거를 포함하며, 여기에서는 "탈부동태화"라 한다.
웨이퍼 표면 상의 부동태화 알킬기로 인한 탄소 오염이 불허되는 경우(Cl3SiMe가 사용된 SAM인 경우, 약 3 Å 내지 10 Å의 메틸기 단층), SAM은 H2SO4와 같은 강산을 사용하여 제거될 수 있지만, 이는 하지 규소 함유층(들)의 원하지 않는 산화를 야기할 수 있다. 그리하여, HCl 및 HF와 같은 할로겐화물 이온을 포함하는 희석 무기산이 최적 공정 조건 하에서 바람직하다. 할로겐화물 이온은 SAM-소자 표면 계면에서 부동태화 Si-O-Si 결합을 용이하게 공격하여 그 소자 표면을 "탈부동태화"한다. 하지만, 소자 표면 위의 규소 함유층(들)의 오버 에칭을 최소화하기 위한 특별한 주의가 취해져야 한다.
본 발명자들은 DMSO 내의 HF/피리딘(1:1 몰비)의 무수 용액이 < 0.1 Å분-1 미만의 속도로 열 산화물, TEOS, 질화규소 및 폴리실리콘을 에칭하는 것으로 알려짐을 이미 제시하였다. 그리하여, 하지 규소 함유층의 약한 불화 및 오버 에칭만으로 소자를 탈부동태화하기 위해, 탈부동태화 용액은 용매 내에 약 0.01 중량% 내지 약 2 중량% 희석 무기산/아민 착물을 포함할 수 있다. 본 발명에서 고려된 희석 무기산/아민 착물은 피리딘/HF 착물, 피리딘/HCl 착물, 피리딘/HGr 착물, 트리에틸아민/HF 착물, 트레에틸아민/HCl 착물 및 트리에틸아민/포름산 착물, 이들의 과산화물과의 조합, 진한 HCl, 수산화암모늄 및 이들의 혼합물을 포함한다. 탈부동태화 용액에 있어서 본 발명에서 고려되는 용매는 DMSO, 메탄올 및 에틸 아세테이트를 비한정적으로 포함한다.
본 발명의 다른 측면은 본 발명의 방법에 따라 제조된 개선된 미세 전자 소자 및 그러한 미세 전자 소자를 포함하는 제품에 관한 것이다.
본 발명의 추가의 다른 측면은 미세 전자 소자를 포함하는 물품을 제조하는 방법에 관한 것이며, 상기 방법은 벌크 및 경화 포토레지스트 재료를 위에 갖는 미세 전자 소자로부터 상기 포토레지스트 재료를 적어도 부분적으로 제거하는 데 충분한 시간 동안 미세 전자 소자를 SAM 함유 조성물과 접촉시키는 단계와, 상기 미세 전자 소자를 상기 품목 내로 일체화시키는 단계를 포함하며, SAM 함유 조성물은 적어도 하나의 용매, 적어도 하나의 촉매, 적어도 하나의 SAM 성분 및 임의의 적어도 하나의 계면활성제를 포함한다. 다르게는, SAM 함유 조성물은 조밀 유체를 더 포함할 수 있다.
본 발명의 특징 및 이점은 이하의 예시적인 예에 의해 더욱 자세히 나타내어질 것이다.
실시예 1
본 발명의 SAM 함유 조성물과 샘플 소자 표면의 접촉 전후에 원자 현미경(AFM) 및 표면 에너지 측정을 수행하여 경화 포토레지스트의 제거 정도뿐만 아니라, 상기 소자 표면 상의 단층 형성을 판정하였다. 샘플 소자 표면은 (위로부터 아래로) 이온 주입 포토레지스트층(2×1015 As 이온 cm-2; 10 keV 주입 에너지), 벌크 포토레지스트층, 규소 함유 게이트 산화물층, 및 규소 기판으로 이루어진 웨이퍼를 포함한다. 샘플은 다양한 작용도 및 측정된 접촉각을 적용하여 각종 시간 및 각종 온도에서 처리하였다. 결과는 이하의 표 1-3에 나타낸다.
툴루엔 10 mL 내에 Cl3SiMe 1 mmol 및 Et3N 2 mmol을 포함하는 SAM 함유 조성물과, 70℃의 접촉 온도를 이용한 시간의 함수로서의 처리
시간 접촉각(°)
0(비교예) 35±3
10 분 77±2
30 분 79±1
1 시간 80±1
15 시간 95±4
툴루엔 10 mL 내에 Cl3SiMe 1 mmol 및 Et3N 2 mmol을 포함하는 SAM 함유 조성물과, 30 분의 접촉 시간을 이용한 온도의 함수로서의 처리
온도/℃ 접촉각(°)
비교예 35±3
50℃ 75±2
60℃ 79±2
70℃ 79±1
툴루엔 10 mL 내에, 나열된 SAM 1 mmol 및 Et3N 2 mmol을 포함하는 SAM 함유 조성물을 70℃의 접촉 온도에서 30 분의 접촉 시간 동안 SAM 작용도 함수로서의 처리
SAM 접촉각(°)
Cl3SiMe 79±1
Cl2Si(Me)2 86±1
ClSi(Me)3 97±1
Cl3SiH 87±4
하지 규소 함유층의 부동태화는 SAM 함유 조성물을 소자 표면에 도포한 후 접촉각의 증가에 의해 명확히 나타난다. 35°의 접촉각을 갖는 히드록실-말단 친수성 소자 표면을 77°의 접촉각을 갖는 메틸-말단 소수성 표면으로 개질시키기 위해서는 10 분 미만의 공정 시간이 필요하다는 것을 표 1로부터 알 수 있다.
각각 10 분, 30 분, 1 시간 및 15 시간의 접촉 시간에서의 도 1A-1D에 도시된 상응하는 AFM 이미지는 시간이 증가할수록(모든 다른 공정 파라미터는 일정하게 유지하면서), 다중-치환 클로로실란의 중합(또는 가교)로 인하여 규소 함유 표면에 작은 아일랜드(island)가 형성됨을 명백히 보여준다. 공정 시간이 증가함에 따라, 아일랜드는 점차적으로 유착하거나 응집하고, 15 시간에서는 표면 상의 벌크 중합의 증거를 보여준다.
표면 부동태화 및 세정 효율에 가장 효과적인 온도를 결정하기 위해 사전 온도 연구를 수행하였다. 세정 효율과 관련하여, 4개의 상이한 미세 전자 소자층을 고려하였다: 벌크 블랭킹된 포토레지스트; 벌크 블랭킹된 포토레지스트 상의 30-45 nm 이온 주입된 크러스트; 벌크 패터닝된 포토레지스트; 및 벌크 패터닝된 포토레지스트 상의 이온 주입된 크러스트. 표 2에 보고된 결과(접촉각)와 도 2에 도시된 퍼센트 제거 효율을 비교하면, 60℃ 이상의 온도는 가장 많은 양의 부동태화뿐만 아니라, 거의 100%의 포토레지스트 제거를 제공함을 알 수 있다. 따라서, 시간 및 SAM 작용도의 함수로서의 모든 후속 실험은 70℃에서 수행하였다.
가교의 증거는 70℃의 온도 및 30 분의 시간에서의 SAM 작용도, 특히 염화물 이탈기의 수의 함수로서의 가교의 변화를 도시하는 도 3A-3C에 더 잘 나타난다. ClSiMe3(도 3A)에서, 가교하는 SAM의 능력은 존재하지 않았고, 평탄한 단층(rms = 0.415 nm; 비교 rms = 0.131 nm)가 표면에 형성된다. 하지만, Cl2SiMe2(도 3B) 및 Cl3SiMe(도 3C)에서는, 전술한 아일랜드 형성에 의해 입증된 바와 같이 가교가 발생하며, 이는 결과적으로 거친 막 표면을 유도한다(디클로로실란 및 트리클로로실란에 대하여 각각 rms = 0.465 nm 및 1.573 nm). 아일랜드의 형성은 더욱 집중적인 탈부동태화 기술(예컨대, 더욱 농축된 조성물, 더 큰 접촉 시간 등)에 대한 필요성을 나타낸다.
실시예 2
도 4A-4C는 평행한 라인 영역으로 구성되는, 조밀하게 패터닝된 많이 도핑된(2×1015 As 이온 cm-2; 10 keV 주입 에너지) 포토레지스트층을 포함하는 샘플 소자 표면의 광학 현미경(도 4A)과 주사 전자 현미경(SEM) 이미지이다. ∼30 nm 두께의 경화 크러스트는 90°각도 뷰 이미지(도 4C)에서 선명하게 보일 수 있다. SAM 성분에서의 염화물 치환의 작용으로서의 크러스트의 세정 효율이 도 5A(ClSiMe3), 도 5B(Cl2SiMe2) 및 도 5C(Cl3SiMe)에 도시된다. 도 5A-5C의 광학 현미경 이미지는 SAM 성분에서의 염화물 이탈기의 수가 증가할수록, 경화 포토레지스트의 제거량 또한 증가함을 보여준다. 실재, 4개의 상이한 미세 전자 소자 층의 90% 이상 제거는 Cl3SiMe-함유 조성물(도 6 참조)을 사용하여 달성될 수 있다. 크러스트 제거의 증가는 SAM 함유 조성물이 소자 표면에 도포될 때 생성된 HCl의 증가 결과인 것으로 생각된다.
추가 실험을 수행하여, 비할로겐화물 함유 SAM 함유 조성물을 조밀하게 패터닝된 많이 도핑된 포토레지스트 및 하지 규소 함유층(들)을 갖는 샘플 소자 표면에 접촉시켰다. 샘플을 분명히 63°의 접촉각로 부동태화하였을지라도 경화 포토레지스트는 제거되지 않았다. 따라서, 경화 포토레지스트의 제거를 위해서는 일정량의 이탈기, 예컨대 염화물이 필요하다는 결론에 이르렀다.
실시예 3
본 발명의 추가의 측면은 미세 전자 소자 표면으로부터의 부동태화 층의 제거 또는 "탈부동태화"을 포함한다. 도 7A는 36°의 접촉각을 갖고 rms = 0.15 nm인 조밀하게 패터닝된 소자 표면의 광학 현미경 사진이다. 도 7B는 Cl3SiMe를 포함하는 SAM 함유 조성물을 70℃에서 30 분 동안 인가한 후의 도 7A의 소자 표면의 광학 이미지이다. 부동태화된 표면의 접촉각은 규소 함유 표면의 부동태화를 분명히 하는 79°가 되도록 결정하였다(rms = 1.10nm). 경화 포토레지스트의 적어도 90%가 제거됨을 알 수 있다. 도 7C는 DMSO 조성에 NEt3:HF(1:3 몰비)를 사용하여 50℃에서 2분 동안 탈부동태화한 후 도 7B의 소자 표면의 광학 이미지이다. 탈부동태화된 표면의 접촉각은 35°가 되도록 결정하였다(rms = 0.25 nm). 일단 표면의 접촉각이 SAM 함유 조성물과의 접촉 이전의 표면의 접촉각와 일치하면, 탈부동태화 공정을 본질적으로 완료하였다.
하지 규소 함유층(들)의 불화 및/또는 오버 에칭을 제거하기 위해서는 탈부동태화 공정이 최적화되어야 함을 유념해야 한다. 예컨대, 탈부동태화는 열 산화물 함유 소자 구조로부터의 SAM 제거를 위해서는 30초 간격으로 TEOS 기반 소자 구조로부터의 SAM 제거를 위해서는 20초 간격으로 수행될 수 있다.
도 8A-8E는 부동태화 및 세정 결과뿐만 아니라, 경화 포토레지스트의 제거에 후속하는 탈부동태화의 또다른 예시를 제공한다. 도 8A는 처리 이전의 조밀하게 패터닝된 많이 도핑된(2×1015 As 이온 cm-2; 10 keV 주입 에너지) 포토레지스트층을 포함하는 소자 표면의 SEM이다. 도 8B는 Cl3SiMe를 포함하는 SAM 함유 조성물을 70℃에서 30 분 동안 도포한 후의 도 8A의 조밀하게 패터닝된 표면의 SEM이며, 경화 포토레지스트의 성공적이고 효율적인 제거(및 부동태화)를 나타낸다. 도 8C 및 8D는 DMSO 조성에 NEt3:HF(1:3 몰비)를 사용하여 50℃에서 2 분 동안 탈부동태화한 후 도 8B의 소자 표면의 SEM이다. 도 8C 및 8D의 SEM 이미지는 탈부동태화 공정 동안 하지 규소 함유층의 실질적인 오버 에칭의 어떠한 증거도 보이지 않는다(도 8E의 오버 에칭된 샘플과 비교함).
본 발명에서 교시된 개선된 SAM 함유 조성물은 플라스마 에칭 단계에 대한 필요성이 없이 그리고 하지 규소 함유층(들)을 실질적으로 오버 에칭하지 않고, 벌크 및 경화 포토레지스트를 단일 단계 또는 다중 단계 공정으로 효과적으로 제거한다.
따라서, 여기에서 본 발명을 본 발명의 특정 측면, 특징 및 예시적 실시예를 참조하여 기술하였지만, 본 발명의 효용은 그와 같이 한정되는 것이 아니라, 수많은 다른 측면, 특징 및 실시예를 포함하도록 확장한다는 것이 이해될 것이다. 따라서, 이하에 기술한 청구의 범위는 그러한 측면, 특징 및 실시예를 포함하여 그것의 정신 및 범주 내에서 대응하여 광범위하게 해석되도록 의도된 것이다.

Claims (43)

  1. 적어도 하나의 용매, 적어도 하나의 촉매, 적어도 하나의 자기 조립 단층(SAM) 성분 및 임의로 적어도 하나의 계면활성제를 포함하는 SAM 함유 조성물로서, 상기 SAM 함유 조성물은 벌크 및 경화 포토레지스트 재료를 위에 갖는 미세 전자 소자로부터 상기 포토레지스트 재료를 제거하는 데 적합한 것인 SAM 함유 조성물.
  2. 제1항에 있어서, 액상의 SAM 함유 조성물 내 SAM(들) 대 촉매(들)의 몰비가 약 1:10 내지 약 5:1 범위 내에 있는 것인 SAM 함유 조성물.
  3. 제1항에 있어서, SAM(들) 대 용매(들)의 몰비가 약 1:200 내지 약 1:50 범위 내에 있는 것인 SAM 함유 조성물.
  4. 제1항에 있어서, 용매는 톨루엔, 데칸, 도데칸, 옥탄, 펜탄, 헥산, 테트라히드로푸란(THF), 이산화탄소 및 이들의 혼합물로 이루어진 군에서 선택된 적어도 하나의 비극성 용매를 포함하는 것인 SAM 함유 조성물.
  5. 제4항에 있어서, 메탄올, 에탄올, 이소프로판올, N-메틸피롤리디논, N-옥틸피롤리디논, N-페닐피롤리디논, 디메틸술폭시드(DMSO), 술포란, 에틸 락테이트, 에 틸 아세테이트, 톨루엔, 아세톤, 부틸 카르비톨, 모노에탄올아민, 부티롤 락톤, 디글리콜 아민, 불화알킬암모늄, γ-부티로락톤, 부틸렌 카르보네이트, 에틸렌 카르보네이트, 프로필렌 카르보네이트 및 이들의 혼합물로 이루어진 군에서 선택된 추가 용매를 더 포함하는 것인 SAM 함유 조성물.
  6. 제1항에 있어서, 용매는 톨루엔을 포함하는 것인 SAM 함유 조성물.
  7. 제1항에 있어서, 용매는 조밀 이산화탄소를 포함하는 것인 SAM 함유 조성물.
  8. 제1항에 있어서, SAM 성분은 (RO)3SiX, (RO)2SiX2, (RO)SiX3, (R)3SiX, (R)2SiX2 및 (R)SiX3[여기서, X는 F, Cl, Br 및 I이고, R은 메틸, 에틸, 프로필, 부틸, 옥틸, 데실 및 도데실임]; 이들의 불화 유도체; 및 이들의 조합으로 이루어진 군에서 선택되는 실란을 포함하는 것인 SAM 함유 조성물.
  9. 제1항에 있어서, SAM 성분은 Cl3SiMe, Cl2SiMe2 및 ClSiMe3로 이루어진 군에서 선택되는 알킬클로로실란을 포함하는 것인 SAM 함유 조성물.
  10. 제1항에 있어서, 촉매는 트리메틸아민, 트리에틸아민, 부틸아민, 피리딘 및 이들의 조합으로 이루어진 군에서 선택되는 아민을 포함하는 것인 SAM 함유 조성 물.
  11. 제1항에 있어서, 적어도 하나의 계면활성제를 포함하는 것인 SAM 함유 조성물.
  12. 제11항에 있어서, 계면활성제는 플루오로알킬 계면활성제, 폴리에틸렌 글리콜, 폴리프로필렌 글리콜, 폴리에틸렌 글리콜 에테르, 폴리프로필렌 글리콜 에테르, 카르복실산염, 도데실벤젠술폰산, 도데실벤젠술폰산염, 폴리아크릴레이트 중합체, 디노닐페닐 폴리옥시에틸렌, 실리콘 중합체, 변성 실리콘 중합체, 아세틸렌계 디올, 변성 아세틸렌계 디올, 알킬암모늄염, 변성 알킬암모늄염 및 이들의 조합으로 이루어진 군에서 선택된 계면활성제 종을 포함하는 것인 SAM 함유 조성물.
  13. 제1항에 있어서, 조성물은 톨루엔, Cl3SiMe 및 트리에틸아민을 포함하는 것인 SAM 함유 조성물.
  14. 제1항에 있어서, 미세 전자 소자는 반도체 기판, 평판 디스플레이 및 미세 전자 기계 시스템(MEMS)으로 이루어진 군에서 선택된 물품을 포함하는 것인 SAM 함유 조성물.
  15. 제1항에 있어서, 벌크 및 경화 포토레지스트 재료는 비소 이온, 붕소 이온, 인 이온, 인듐 이온 및 안티몬 이온으로 이루어진 군에서 선택된 도펀트 이온을 포함하는 것인 SAM 함유 조성물.
  16. 제1항에 있어서, 적어도 하나의 SAM 성분과 적어도 하나의 촉매는 미세 전자 소자 상의 규소 함유층을 부동태화하는 동시에, 벌크 및 경화 포토레지스트 재료를 위에 갖는 미세 전자 소자로부터 상기 포토레지스트 재료를 제거하는 데 유효한 양으로 존재하는 것인 SAM 함유 조성물.
  17. 제16항에 있어서, 규소 함유층은 규소, 이산화규소, TEOS, 질화규소, 규소 함유 유기 중합체, 규소 함유 하이브리드 유기/무기 재료, 유기 규산염 유리(OSG), 불화 규산염 유리(FSG), 탄소 도핑 산화물(CDO) 유리 및 이들의 조합으로 이루어진 군에서 선택된 규소 함유 화합물을 포함하는 것인 SAM 함유 조성물.
  18. 제7항에 있어서, 이산화탄소가 초임계 이산화탄소인 것인 SAM 함유 조성물.
  19. 제1항에 있어서, 포토레지스트 잔류물을 더 포함하는 것인 SAM 함유 조성물.
  20. 제19항에 있어서, 포토레지스트는 벌크 포토레지스트, 경화 포토레지스트 또는 이들의 조합을 포함하는 것인 SAM 함유 조성물.
  21. 제20항에 있어서, 포토레지스트는 붕소 이온, 비소 이온, 인 이온, 인듐 이온 및 안티몬 이온으로 이루어진 군에서 선택된 이온을 포함하는 것인 SAM 함유 조성물.
  22. 1 이상의 용기 내에 SAM 함유 조성물 시약을 포함하는 키트로서, 상기 SAM 함유 조성물은 적어도 하나의 용매, 적어도 하나의 촉매, 적어도 하나의 SAM 성분 및 임의로 적어도 하나의 계면활성제를 포함하고, 상기 키트는 벌크 및 경화 포토레지스트 재료를 위에 갖는 미세 전자 소자로부터 상기 포토레지스트 재료를 제거하는 데 적합한 SAM 함유 조성물을 형성하기에 적합한 것인 키트.
  23. 벌크 및 경화 포토레지스트 재료를 위에 갖는 미세 전자 소자로부터 상기 포토레지스트 재료를 제거하는 방법으로서, 상기 미세 전자 소자로부터 상기 포토레지스트 재료를 적어도 부분적으로 제거하는 데 충분한 접촉 조건 하에 충분한 시간 동안 상기 미세 전자 소자와 SAM 함유 조성물을 접촉시키는 단계를 포함하며, 상기 SAM 함유 조성물은 적어도 하나의 용매, 적어도 하나의 촉매, 적어도 하나의 SAM 성분 및 임의로 적어도 하나의 계면활성제를 포함하는 것인 방법.
  24. 제23항에 있어서, 상기 접촉 단계는 약 1 분 내지 약 60 분의 시간 동안 수행하는 것인 방법.
  25. 제23항에 있어서, 상기 접촉 단계는 약 30℃ 내지 약 80℃ 범위의 온도에서 수행하는 것인 방법.
  26. 제23항에 있어서,
    용매는 톨루엔, 데칸, 옥탄, 도데칸, 펜탄, 헥산, 테트라히드로푸란(THF), 이산화탄소, 메탄올, 에탄올, 이소프로판올, N-메틸피롤리디논, N-옥틸피롤리디논, N-페닐피롤리디논, 디메틸술폭시드(DMSO), 술포란, 에틸 락테이트, 에틸 아세테이트, 톨루엔, 아세톤, 부틸 카르비톨, 모노에탄올아민, 부티롤 락톤, 디글리콜 아민, 불화알킬암모늄, γ-부티로락톤, 부틸렌 카르보네이트, 에틸렌 카르보네이트, 프로필렌 카르보네이트 및 이들의 혼합물로 이루어진 군에서 선택된 적어도 하나의 용매를 포함하고,
    촉매는 트리메틸아민, 트리에틸아민, 부틸아민, 피리딘 및 이들의 조합으로 이루어진 군에서 선택된 아민을 포함하며,
    SAM 성분은 (RO)3SiX, (RO)2SiX2, (RO)SiX3, (R)3SiX, (R)2SiX2 및 (R)SiX3[여기서, X는 F, Cl, Br 및 I이고, R은 메틸, 에틸, 프로필, 부틸, 옥틸, 데실 및 도데실임]; 이들의 불화 유도체; 및 이들의 조합으로 이루어진 군에서 선택되는 실란을 포함하는 것인 방법.
  27. 제23항에 있어서, 액상의 SAM 함유 조성물 내 SAM(들) 대 촉매(들)의 몰비는 약 1:10 내지 약 5:1 범위 내에 있고, SAM(들) 대 용매(들)의 몰비는 약 1:200 내지 약 1:50 범위 내에 있는 것인 방법.
  28. 제23항에 있어서, 미세 전자 소자는 반도체 기판, 평판 디스플레이 및 미세 전자 기계 시스템(MEMS)으로 이루어진 군에서 선택된 물품을 포함하는 것인 방법.
  29. 제23항에 있어서, 벌크 및 경화 포토레지스트 재료는 비소 이온, 붕소 이온, 인 이온, 인듐 이온 및 안티몬 이온으로 이루어진 군에서 선택된 도펀트 이온을 포함하는 것인 방법.
  30. 제23항에 있어서, 접촉 단계는 미세 전자 소자의 표면에 SAM 함유 조성물을 분무하는 공정; 상기 미세 전자 소자를 충분한 부피의 SAM 함유 조성물에 침지시키는 공정; 상기 미세 전자 소자의 표면을 SAM 함유 조성물로 포화된 또다른 재료와 접촉시키는 공정; 상기 미세 전자 소자를 순환하는 SAM 함유 조성물과 접촉시키는 공정; 상기 미세 전자 소자를 SAM 함유 조성물의 연속 흐름과 접촉시키는 공정; 및 상기 미세 전자 소자 표면을 정적(static) 부피의 SAM 함유 조성물과 연속 기간 동안 접촉시키는 공정으로 이루어진 군에서 선택된 공정을 포함하는 것인 방법.
  31. 제23항에 있어서, SAM 함유 조성물과의 접촉 후에 미세 전자 소자를 세척하 는 단계를 더 포함하는 것인 방법.
  32. 제23항에 있어서, 적어도 하나의 SAM 성분과 적어도 하나의 촉매는 미세 전자 소자 상의 규소 함유층을 부동태화하는 동시에, 벌크 및 경화 포토레지스트 재료를 위에 갖는 미세 전자 소자로부터 상기 포토레지스트 재료를 제거하는 데 유효한 양으로 존재하는 것인 방법.
  33. 제32항에 있어서, 규소 함유층은 규소, 이산화규소, TEOS, 질화규소, 규소 함유 유기 중합체, 규소 함유 하이브리드 유기/무기 재료, 유기 규산염 유리(OSG), 불화 규산염 유리(FSG), 탄소 도핑 산화물(CDO) 유리 및 이들의 조합으로 이루어진 군에서 선택된 규소 함유 화합물을 포함하는 것인 방법.
  34. 제32항에 있어서, 하지 규소 함유층은 SAM 부동태화층의 형성 후 약 60°내지 약 120°범위의 접촉각을 갖는 것인 방법.
  35. 제23항에 있어서, 미세 전자 소자로부터 상기 포토레지스트 재료를 적어도 부분적으로 제거한 후, 탈부동태화 조성물에 의해 상기 미세 전자 소자로부터 SAM 부동태화층을 제거하는 단계를 더 포함하는 것인 방법.
  36. 제35항에 있어서, 탈부동태화 조성물은 피리딘/HF 착물, 피리딘/HCl 착물, 피리딘/HBr 착물, 트리에틸아민/HF 착물, 트리에틸아민/HCl 착물, 트리에틸아민/포름산 착물, 이들의 과산화물 유도체, 진한 HCl, 수산화암모늄 및 이들의 조합으로 이루어진 군에서 선택된 화합물을 포함하는 것인 방법.
  37. 제23항에 있어서, 용매는 조밀 이산화탄소를 포함하는 것인 방법.
  38. 제37항에 있어서, 상기 접촉 조건은 고압을 포함하는 것인 방법.
  39. 제38항에 있어서, 상기 고압은 약 1500 psi 내지 약 4500 psi 범위의 압력을 포함하는 것인 방법.
  40. 제37항에 있어서, 상기 접촉 시간은 약 5 분 내지 약 30 분 범위인 것인 방법.
  41. 제37항에 있어서, 상기 접촉 조건은 약 40℃ 내지 약 75℃ 범위의 온도를 포함하는 것인 방법.
  42. 벌크 및 경화 포토레지스트 재료를 위에 갖는 미세 전자 소자로부터 상기 포토레지스트 재료를 제거하는 방법으로서, 상기 포토레지스트 재료 하부의 규소 함유층을 적어도 부분적으로 부동태화하는 데 충분한 시간 동안 상기 미세 전자 소자 를 SAM 함유 조성물과 접촉시키는 단계와, 상기 미세 전자 소자로부터 상기 포토레지스트 재료를 적어도 부분적으로 제거하기 위해서 상기 미세 전자 소자를 에칭액 함유 제거용 조성물과 접촉시키는 단계를 포함하며, 상기 SAM 함유 조성물은 비할로겐화물 함유 SAM 성분을 포함하는 것인 방법.
  43. 벌크 및 경화 포토레지스트 재료를 위에 갖는 미세 전자 소자로부터 상기 포토레지스트 재료를 제거하는 방법으로서, 상기 미세 전자 소자로부터 상기 포토레지스트 재료를 적어도 부분적으로 제거하는 데 충분한 시간 동안 상기 미세 전자 소자를 SAM 함유 조성물과 접촉시키는 단계를 포함하며, 상기 SAM 함유 조성물은 에칭액 성분이 결여된 것인 방법.
KR1020077026503A 2005-04-15 2006-04-10 용매계 내 자기 조립 단층을 이용한 고용량 이온 주입포토레지스트의 제거 KR20070121845A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US67185105P 2005-04-15 2005-04-15
US60/671,851 2005-04-15

Publications (1)

Publication Number Publication Date
KR20070121845A true KR20070121845A (ko) 2007-12-27

Family

ID=37115663

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077026503A KR20070121845A (ko) 2005-04-15 2006-04-10 용매계 내 자기 조립 단층을 이용한 고용량 이온 주입포토레지스트의 제거

Country Status (7)

Country Link
EP (1) EP1877530A4 (ko)
JP (1) JP2008538013A (ko)
KR (1) KR20070121845A (ko)
CN (1) CN101198683B (ko)
SG (1) SG161280A1 (ko)
TW (1) TW200700916A (ko)
WO (1) WO2006113222A2 (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI513799B (zh) 2005-11-09 2015-12-21 Entegris Inc 用於回收具有低k介電材料之半導體晶圓的組成物及方法
KR20100133507A (ko) * 2008-05-01 2010-12-21 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 고밀도 주입된 레지스트의 제거를 위한 저 ph 혼합물
GB0819274D0 (en) 2008-10-21 2008-11-26 Plastic Logic Ltd Method and apparatus for the formation of an electronic device
KR101579846B1 (ko) * 2008-12-24 2015-12-24 주식회사 이엔에프테크놀로지 포토레지스트 패턴 제거용 조성물 및 이를 이용한 금속 패턴의 형성 방법
KR101746879B1 (ko) * 2009-07-30 2017-06-14 바스프 에스이 고급 반도체 적용을 위한 이온 주입 후 스트리퍼
SG187551A1 (en) 2010-07-16 2013-03-28 Advanced Tech Materials Aqueous cleaner for the removal of post-etch residues
US9546321B2 (en) 2011-12-28 2017-01-17 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
DE102021101486A1 (de) * 2020-03-30 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresistschicht-oberflächenbehandlung, abdeckschichtund herstellungsverfahren einer photoresiststruktur

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6500605B1 (en) * 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
US6107166A (en) * 1997-08-29 2000-08-22 Fsi International, Inc. Vapor phase cleaning of alkali and alkaline earth metals
JP3410369B2 (ja) * 1998-04-28 2003-05-26 花王株式会社 剥離剤組成物
JP3474127B2 (ja) * 1998-11-13 2003-12-08 花王株式会社 剥離剤組成物
US6440856B1 (en) * 1999-09-14 2002-08-27 Jsr Corporation Cleaning agent for semiconductor parts and method for cleaning semiconductor parts
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US6613157B2 (en) * 2001-02-15 2003-09-02 Micell Technologies, Inc. Methods for removing particles from microelectronic structures
WO2003077032A1 (en) * 2002-03-04 2003-09-18 Supercritical Systems Inc. Method of passivating of low dielectric materials in wafer processing
JP4424998B2 (ja) * 2002-04-12 2010-03-03 東京エレクトロン株式会社 多孔質誘電体膜の洗浄中のダメージを低減する処理方法
US6699829B2 (en) * 2002-06-07 2004-03-02 Kyzen Corporation Cleaning compositions containing dichloroethylene and six carbon alkoxy substituted perfluoro compounds
KR20080023346A (ko) * 2005-06-16 2008-03-13 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 경화된 포토레지스트, 에칭 후 잔류물 및/또는 바닥 반사방지 코팅 층의 제거를 위한 고밀도 유체 조성물

Also Published As

Publication number Publication date
CN101198683A (zh) 2008-06-11
SG161280A1 (en) 2010-05-27
JP2008538013A (ja) 2008-10-02
CN101198683B (zh) 2011-09-14
WO2006113222A3 (en) 2007-11-08
EP1877530A4 (en) 2010-06-09
TW200700916A (en) 2007-01-01
WO2006113222A2 (en) 2006-10-26
EP1877530A2 (en) 2008-01-16

Similar Documents

Publication Publication Date Title
US20070251551A1 (en) Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
KR102031814B1 (ko) 기판의 표면을 처리하기 위한 조성물, 방법 및 장치
US7119052B2 (en) Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers
EP1572833B1 (en) Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US7553803B2 (en) Enhancement of silicon-containing particulate material removal using supercritical fluid-based compositions
KR20080050488A (ko) 농축 유체/화학 조성물을 이용하여 패턴화 실리콘/실리콘이산화물 상의 입자 오염물을 제거하는 방법
KR20070121845A (ko) 용매계 내 자기 조립 단층을 이용한 고용량 이온 주입포토레지스트의 제거
KR20080023346A (ko) 경화된 포토레지스트, 에칭 후 잔류물 및/또는 바닥 반사방지 코팅 층의 제거를 위한 고밀도 유체 조성물
TWI710629B (zh) 用於自表面移除氧化鈰粒子之組成物及方法
US20050118832A1 (en) Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
WO2004100245A1 (en) Removal of post-etch residues in semiconductor processing
US20100294306A1 (en) Method and solution for cleaning semiconductor device substrate
JP2008537343A (ja) マイクロエレクトロニクスデバイスからイオン注入フォトレジスト層をクリーニングするための配合物
KR20200030121A (ko) 애싱된 스핀-온 유리의 선택적 제거 방법
JP2011520142A (ja) 高密度注入レジストの除去のための低pH混合物
TW201842148A (zh) 表面處理方法及用於該方法的組成物
KR20060121168A (ko) 초임계 유체/화학적 제제를 이용한 mems 희생층의제거
CN112513192A (zh) 表面处理组合物及方法
KR102173490B1 (ko) 비-수성 텅스텐 상용성 금속 질화물 선택적 에칭제 및 세정제
JP7502388B2 (ja) 表面処理方法およびそのための組成物
TW202346541A (zh) 用於多晶矽挖掘的配製鹼性化學物質
TW202330894A (zh) 微電子裝置清潔組合物
KR20070090199A (ko) 반도체 적용을 위한 선택적 제거용 화학 물질 및 이를 생산및 사용하는 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application