CN101198683A - 使用溶剂体系内的自组装单层除去高剂量离子注入光致抗蚀剂 - Google Patents

使用溶剂体系内的自组装单层除去高剂量离子注入光致抗蚀剂 Download PDF

Info

Publication number
CN101198683A
CN101198683A CNA2006800216226A CN200680021622A CN101198683A CN 101198683 A CN101198683 A CN 101198683A CN A2006800216226 A CNA2006800216226 A CN A2006800216226A CN 200680021622 A CN200680021622 A CN 200680021622A CN 101198683 A CN101198683 A CN 101198683A
Authority
CN
China
Prior art keywords
sam
composition
microelectronic device
photo
corrosion agent
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006800216226A
Other languages
English (en)
Other versions
CN101198683B (zh
Inventor
迈克尔·B·克赞斯基
帕梅拉·M·维辛廷
托马斯·H·鲍姆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Advanced Technology Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials Inc filed Critical Advanced Technology Materials Inc
Publication of CN101198683A publication Critical patent/CN101198683A/zh
Application granted granted Critical
Publication of CN101198683B publication Critical patent/CN101198683B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/228Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a liquid phase, e.g. alloy diffusion processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/162Organic compounds containing Si
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/28Heterocyclic compounds containing nitrogen in the ring
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Nanotechnology (AREA)
  • General Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

本发明开发了一种方法和包含自组装单层(SAM)的组合物,用于从微电子器件上除去松散和硬化的光致抗蚀剂材料。包含SAM的组合物包括至少一种溶剂、至少一种催化剂、至少一种SAM组分和任选至少一种表面活性剂。包含SAM的组合物可在一步工艺中有效地除去硬化的光致抗蚀剂材料,同时钝化下面的含硅层。

Description

使用溶剂体系内的自组装单层除去高剂量离子注入光致抗蚀剂
发明领域
本发明涉及包含自组装单层(SAM)的组合物,其用于从微电子器件表面上除去松散和硬化的光致抗蚀剂,并且涉及使用所述组合物除去所述光致抗蚀剂的方法。
相关技术描述
随着半导体器件变得越来越集成化和小型化,在前段制程(FEOL)工艺过程中已经广泛使用离子注入,以精确控制微电子器件内的杂质分布和向暴露的器件层添加掺杂原子,如As、B和P。通过改变掺杂剂的剂量、加速能量和离子流来控制掺杂杂质的浓度和深度。在后续加工前,必须除去离子注入光致抗蚀剂层。在过去已经使用各种方法除去所述硬化的光致抗蚀剂,这些方法包括但不限于湿法化学蚀刻工艺,例如在硫酸和过氧化氢的混合物溶液中,和干法等离子蚀刻工艺,例如在氧等离子灰化工艺中。
不幸地是,当在低(5keV)、中(10keV)和高(20keV)注入能量下将高剂量的离子(例如剂量大于约1×1015原子cm-2)注入所需层时,它们还被注入到整个光致抗蚀剂层中,尤其是光致抗蚀剂的暴露表面,使该层变成物理和化学刚性的。刚性的离子注入光致抗蚀剂层也称为碳化区域或“硬壳”,已经证明它是难以除去的。
目前,通常通过等离子蚀刻法然后是多步湿刻工艺来除去离子注入光致抗蚀剂和其它污染物,典型地使用水基蚀刻剂配方来除去光致抗蚀剂、蚀刻后残渣和其它污染物。本领域的湿刻处理法通常涉及使用强酸、碱、溶剂和氧化剂。然而不利的是,湿刻处理法也会蚀刻下面的含硅层,例如基底和栅氧化物,和/或增加栅氧化物厚度。
随着特征尺寸继续减小,使用现有技术的水基蚀刻剂配方满足上述去除要求变成更大的挑战。水具有高表面张力,这限制或防止到达具有高纵横比的较小图像节点,因此除去裂缝或凹槽内的残渣变得更加困难。此外,在蒸发干燥时,水基蚀刻剂配方通常会将以前溶解的溶质留在沟槽或通孔里,这抑制了传导并减少了器件收率。而且,下面的多孔低k介电材料不具有足够的机械强度来经受高表面张力液体如水的毛细管压力,从而导致结构的图案塌陷。含水蚀刻剂配方还会强烈改变低k材料的重要材料性质,包括介电常数、机械强度、水分摄取、热膨胀系数和对不同基底的粘附性。
因此,提供克服本领域中从微电子器件上除去松散和硬化的光致抗蚀剂的现有技术相关缺陷的改进组合物,这将是本领域中的重要进步。所述改进组合物将在一步或多步工艺中有效地除去松散和硬化的光致抗蚀剂,而不需要等离子蚀刻步骤,而且基本上不会过蚀刻下面的含硅层。
发明概述
本发明涉及包含自组装单层(SAM)的组合物,其用于从微电子器件表面上除去松散和硬化的光致抗蚀剂,还涉及制备所述组合物的方法和使用它除去所述光致抗蚀剂的方法,以及使用所述组合物制备的改进微电子器件。
在一方面,本发明涉及包含自组装单层(SAM)的组合物,其包括至少一种溶剂、至少一种催化剂、至少一种SAM组分和任选至少一种表面活性剂,其中所述包含SAM的组合物适合从其上具有松散和硬化光致抗蚀剂材料的微电子器件上除去所述光致抗蚀剂材料。
在另一方面,本发明涉及试剂盒,其在一个或多个容器内包括含有SAM的组合物试剂,其中所述包含SAM的组合物包括至少一种溶剂、至少一种催化剂、至少一种SAM组分和任选至少一种表面活性剂,其中所述试剂盒适于形成如下包含SAM的组合物,该组合物适合从其上具有松散和硬化光致抗蚀剂材料的微电子器件上除去所述光致抗蚀剂材料。
在还一方面,本发明涉及从其上具有松散和硬化光致抗蚀剂材料的微电子器件上除去所述光致抗蚀剂材料的方法,所述方法包括使微电子器件与包含SAM的组合物在足够的接触条件下接触足够的时间,以从微电子器件上至少部分地除去所述光致抗蚀剂材料,其中所述包含SAM的组合物包括至少一种溶剂、至少一种催化剂、至少一种SAM组分和任选至少一种表面活性剂。
在又一方面,本发明涉及从其上具有松散和硬化光致抗蚀剂材料的微电子器件上除去所述光致抗蚀剂材料的方法,所述方法包括使微电子器件与包含SAM的组合物接触足够的时间,以至少部分地钝化光致抗蚀剂材料下面的含硅层,并使微电子器件与包含蚀刻剂的去除组合物接触,以从微电子器件上至少部分地除去所述光致抗蚀剂材料,其中所述包含SAM的组合物包括不含卤化物的SAM组分。
在另一方面,本发明涉及从其上具有松散和硬化光致抗蚀剂材料的微电子器件上除去所述光致抗蚀剂材料的方法,所述方法包括使微电子器件与包含SAM的组合物接触足够的时间,以从微电子器件上至少部分地除去所述光致抗蚀剂材料,其中所述包含SAM的组合物不含蚀刻剂组分。
在还一方面,本发明涉及制造微电子器件的方法,所述方法包括使微电子器件与包含SAM的组合物接触足够的时间,以从其上具有松散和硬化光致抗蚀剂材料的微电子器件上至少部分地除去所述光致抗蚀剂材料,其中所述包含SAM的组合物包括至少一种溶剂、至少一种催化剂、至少一种SAM组分和任选的至少一种表面活性剂,和任选地将所述清洁的微电子器件结合到产品内。
本发明的其它方面、特征和实施方式将从下面的公开和所附权利要求中变得更充分明显。
附图说明
图1A-1D是使包含SAM的组合物与器件表面在70℃的接触温度下接触后,接触时间分别为1min、30min、1小时和15小时的微电子器件表面的原子力显微照片,该组合物在10mL甲苯中包含1mmolCl3SiMe和2mmol Et3N。
图2示意本发明包含SAM的组合物对于四种不同微电子器件层的清洁效率作为温度的函数,所述四种不同的微电子器件层包括松散空白光致抗蚀剂层(松散PR)、空白离子注入光致抗蚀剂层(硬壳)、松散图案化光致抗蚀剂层(图案化PR)和图案化离子注入光致抗蚀剂层(图案化硬壳)。
图3A-3C是使包含SAM的组合物与器件表面在70℃的接触温度下接触30min后,微电子器件表面的原子力显微图,该组合物在2mmolEt3N的10mL甲苯溶液中包含ClSiMe3(图3A)、Cl2SiMe2(图3B)和Cl3SiMe(图3C)。
图4A-4C是微电子器件表面上密集图案化的离子注入光致抗蚀剂的光学显微图像(图4A)和扫描电子显微(SEM)图像(图4B-4C)。
图5A-5C是微电子器件表面与包含SAM的组合物在70℃接触30min后的光学显微图像,该组合物包括ClSiMe3(图5A)、Cl2SiMe2(图5B)和Cl3SiMe(图5C)。
图6示意本发明包含SAM的组合物对于四种不同微电子器件层的清洁效率作为SAM官能度的函数,所述四种不同的微电子器件层包括松散空白光致抗蚀剂层(松散PR)、空白离子注入光致抗蚀剂层(硬壳)、松散图案化光致抗蚀剂层(图案化PR)和图案化离子注入光致抗蚀剂层(图案化硬壳)。
图7A-7C是对照表面(图7A)、使用本发明包含SAM的组合物清洁和钝化后的表面(图7B)、和根据本发明去钝化后的表面(图7C)的光学显微图像。
图8A-8E是对照表面(图8A)、使用本发明包含SAM的组合物清洁和钝化后的表面(图8B)、在去钝化后处于90°观察角(图8C)和60°观察角(图8D)的表面、以及在去钝化后故意过蚀刻的表面(图8E)的扫描电子显微图。
发明详述及其优选实施方式
本发明基于发现了包含自组装单层(SAM)的组合物,其可高度有效地从微电子器件表面上除去松散和硬化的光致抗蚀剂,同时保留下面含硅层的完整性。
用于本文时,“松散光致抗蚀剂”对应微电子器件表面上未碳化的光致抗蚀剂,具体位于硬化光致抗蚀剂硬壳的附近和下面。
用于本文时,“硬化的光致抗蚀剂”包括但不限于如下光致抗蚀剂,其例如在后段制程(BEOL)双镶嵌加工集成电路的过程中经过等离子蚀刻,例如在前段制程(FEOL)加工以将掺杂物注入半导体晶片的合适层的过程中经过离子注入,和/或通过任何其它方法,从而在松散光致抗蚀剂的暴露表面上形成碳化或高度交联的硬壳。
用于本文时,“下面的含硅”层对应于在松散和/或硬化的光致抗蚀剂下面的层,包括:硅;氧化硅,包括栅氧化物(例如热或化学生长的SiO2)和TEOS;氮化硅;和低k含硅材料。在本文中定义时,“低k含硅材料”对应于用作分层微电子器件内的介电材料的任何材料,其中所述材料的介电常数小于约3.5。优选地,低k介电材料包括低极性材料,如含硅有机聚合物、含硅杂化有机/无机材料、有机硅酸盐玻璃(OSG)、TEOS、氟化的硅酸盐玻璃(FSG)、二氧化硅、和掺碳的氧化物(CDO)玻璃。将理解低k介电材料可以具有变化的密度和变化的孔隙率。
“微电子器件”对应于被制造用于微电子、集成电路或计算机芯片应用的半导体基底、平板显示器和微电子机械系统(MEMS)。将理解术语“微电子器件”绝非意味着以任何方式的限制,而且包括最终将变成微电子器件或微电子组件的任何基底。
在本文中定义时,“基本上过蚀刻”对应于在根据本发明的方法,使本发明包含SAM的组合物接触具有所述下面层的微电子器件后,相邻的下面含硅层除去大于约10%、更优选除去大于约5%、最优选除去大于约2%。换句话说,最优选使用本发明的组合物在指定次数内蚀刻不大于2%的下面含硅层。
用于本文时,“约”用于对应所示值的±5%。
用于本文时,“适合”从其上具有松散和硬化光致抗蚀剂材料的微电子器件上除去所述光致抗蚀剂材料对应于从微电子器件上至少部分地除去所述光致抗蚀剂材料。优选地,使用本发明的组合物从微电子器件上除去至少90%光致抗蚀剂材料,更优选至少95%、最优选至少99%光致抗蚀剂材料被除去。
用于本文时,“稠密流体”对应于超临界流体或次临界流体。用于本文时,术语“超临界流体”指在指定化合物的压力-温度图中,处于不低于临界温度Tc和不小于临界压力Pc的条件下的材料。用于本发明的优选超临界流体是CO2,它可以单独使用或与另一种添加剂如Ar、NH3、N2、CH4、C2H4、CHF3、C2H6、n-C3H8、H2O、N2O等混合。术语“次临界流体”描述处于次临界状态的溶剂,即低于与该具体溶剂有关的临界温度和/或低于与该具体溶剂有关的临界压力。优选地,次临界流体是具有可变密度的高压液体。
重要地,本发明包含SAM的组合物必须具有良好的含金属材料相容性,例如在含金属材料上的低蚀刻速率。相关包含金属的材料包括但不限于铜、钨、钴、铝、钽、钛和钌及其硅化物和氮化物。
已知自组装单层(SAMs)可以钝化各种表面,这些表面包括但不限于金属(例如铜、金等)以及钛、铪、硅和铝的氧化物。SAMs包括具有至少一个离去基团如卤化物基的硅烷,所述硅烷易于在含硅表面上的氧基团处形成共价键(即通过甲硅烷基化反应)。硅烷本身可以进一步包括共价键合的惰性分子,例如聚乙二醇(PEG),从而在附着至含硅表面后,PEG-硅烷能够阻止其它分子与所述表面结合。PEG-硅烷SAM是常用的,因为它们薄(即不松散)而且亲水,PEG分子与含硅表面的联接导致无粘性的水样层。相反,如果必要,可以使用烷基氯硅烷形成疏水表面。
可以在各种具体配方中体现本发明的组合物,如下文更充分的描述。
在所有这些组合物中,其中根据包括零下限的重量百分比范围讨论组合物的具体组分,将理解这些组分可以存在或不存在于组合物的各种具体实施方式中,当存在所述组分时,按使用该组分的组合物总重量计,它们的含量可以低至0.01重量百分比。
在一方面,本发明涉及包含SAM的液体组合物,其用于从微电子器件上除去松散和硬化的光致抗蚀剂。根据一个实施方式的液体组合物包括至少一种SAM组分、任选至少一种溶剂、任选至少一种催化剂和任选至少一种表面活性剂。根据另一个实施方式的液体组合物包括至少一种SAM组分、至少一种催化剂、任选至少一种溶剂和任选至少一种表面活性剂。根据还一个实施方式的液体组合物包括至少一种SAM组分、至少一种溶剂、至少一种催化剂和任选至少一种表面活性剂。重要地,根据所选溶剂的性质,所述溶剂可以同时作为催化剂。
在一个实施方式中,本发明涉及包含SAM的液体组合物,其用于从微电子器件上除去松散和硬化的光致抗蚀剂,其中所述催化剂可同时作为溶剂。根据该实施方式的液体组合物包括至少一种催化剂、至少一种SAM组分和任选至少一种表面活性剂,按组合物的总重计,其含量范围如下:
    组分     wt%
    催化剂     约85.0%至约99.99%
    SAM     约0.01%至约10.0%
    表面活性剂     0%至约10.0%
在特别优选的实施方式中,本发明涉及包含SAM的液体组合物,其用于从微电子器件上除去松散和硬化的光致抗蚀剂。根据该实施方式的液体组合物包括至少一种溶剂、至少一种催化剂、至少一种SAM组分和任选至少一种表面活性剂,按组合物的总重计,其含量范围如下:
组分     wt%
溶剂     约75.0%至约99.98%
SAM     约0.01%至约10.0%
催化剂     约0.01%至约10.0%
表面活性剂     0%至约10.0%
在一方面,包含SAM的液体组合物中SAM相对于催化剂的摩尔比范围为约1∶10至约5∶1,更优选约1∶5至约1∶1;SAM相对于液体溶剂的摩尔比范围为约1∶200至约1∶50,更优选约1∶125至约1∶75;SAM相对于表面活性剂(当存在时)的摩尔比范围为约1∶10至约5∶1。
在本发明的广泛实践中,包含SAM的液体组合物包括如下,或由如下组成,或基本由如下组成:至少一种溶剂、至少一种催化剂、至少一种SAM组分和任选至少一种表面活性剂。一般而言,溶剂、催化剂、SAM组分和任选的表面活性剂彼此相对的具体比例和量可以合适地变化,以提供包含SAM的液体组合物对松散和硬化光致抗蚀剂和/或处理设备的所需去除作用,这在现有技术的范围内不需要过多的努力就可容易地确定。
用于本发明组合物的溶剂物质可以是本质上非极性的或极性的。例示性的非极性物质包括但不限于:甲苯、癸烷、十二烷、辛烷、戊烷、己烷、四氢呋喃(THF)和二氧化碳(次临界或超临界)。例示性极性物质包括:甲醇、乙醇、异丙醇、N-甲基-吡咯烷酮、N-辛基-吡咯烷酮、N-苯基-吡咯烷酮、二甲亚砜(DMSO)、环丁砜、乳酸乙酯、乙酸乙酯、甲苯、丙酮、甲基卡必醇、丁基卡必醇、己基卡必醇、单乙醇胺、丁内酯、二甘醇胺、烷基氟化铵、γ-丁内酯、碳酸亚丁酯、碳酸亚乙酯、碳酸亚丙酯及其混合物。优选地,所述溶剂包括非极性物质。甲苯是尤其优选的。
SAM组分可以包括烷氧基卤代硅烷,包括(RO)3SiX、(RO)2SiX2、(RO)SiX3,其中X可以彼此相同或不同,选自F、Cl、Br或I,并且RO可以彼此相同或不同,选自直链或支链C1-C20烷氧基,例如甲氧基、乙氧基、丙氧基等或其组合。优选地,SAM组分包括具有(R)3SiX、(R)2SiX2、(R)SiX3性质的烷基卤代硅烷,其中X可以彼此相同或不同,选自F、Cl、Br或I,并且R可以彼此相同或不同,选自直链、支链或环状C1-C20烷基,例如甲基、乙基、丙基、丁基、辛基、癸基、十二烷基等或其组合。还可以使用氟化的烷基和烷氧基衍生物。优选地,SAM组分包括如下烷基卤代硅烷,其中X=Cl,R=甲基。在另一个替代方案中,SAM组分具有与其连接的PEG分子。
尽管不希望受理论的约束,本发明组合物中所包括的催化剂是用来引发甲硅烷基化反应并加速下面含硅层的钝化。优选地,催化剂包括胺,如三甲胺、三乙胺、丁胺、吡啶和辅助从SAM组分上除去卤素离去基团的任何其它亲核化合物。认为胺催化剂可促进原位甲硅烷基化反应,从而使SAM硅烷与下面含硅层上的氧原子共价连接,同时产生质子化离去基团如HX。因此,通过共价键合的硅烷钝化下面的含硅层,而所产生的质子化离去基团可用于除去硬化的光致抗蚀剂材料。重要地,根据所选溶剂的性质,所述溶剂可同时作为催化剂。
本发明包含SAM的液体组合物还可以包括表面活性剂,以辅助从微电子器件表面上除去抗蚀剂。例示性表面活性剂包括但不限于:氟烷基表面活性剂、聚乙二醇、聚丙二醇、聚乙二醇醚或聚丙二醇醚、羧酸盐、十二烷基苯磺酸或其盐、聚丙烯酸酯聚合物、二壬基苯基聚氧乙烯、硅氧烷聚合物或改性的硅氧烷聚合物、炔二醇或改性的炔二醇、烷基铵盐或改性的烷基铵盐、以及前述表面活性剂的组合。
在优选实施方式中,按组合物的总重计,包含SAM的液体组合物包括小于约1wt.%水,更优选小于约0.5wt.%水,最优选小于约0.25wt.%水。此外,优选所述至少一种SAM组分基本上不会在微电子器件表面发生聚合。例如,优选小于5wt.%的SAM组分在微电子器件表面上聚合,更优选小于2wt.%、甚至更优选小于1wt.%、最优选小于0.1wt.%的SAM组分在微电子器件表面上聚合。
一般而言,至少一种溶剂、至少一种催化剂、至少一种SAM组分和任选至少一种表面活性剂彼此相对的具体比例和量可以合适地变化,以提供包含SAM的液体组合物对将要从微电子器件上除去的松散和硬化光致抗蚀剂的所需清洁和钝化作用。在现有技术的范围内,不需过分努力,通过简单的实验就可容易地确定所述具体比例和量。最优选地,包含SAM的组分和催化剂以有效地从其上具有松散和硬化光致抗蚀剂材料的微电子器件上除去所述材料。
将理解短语“从微电子器件上除去松散和硬化光致抗蚀剂材料”绝非意味着以任何方式的限制,并且包括从最终将变成微电子器件的任何基底上除去松散和硬化光致抗蚀剂材料。
本文还预期本发明包含SAM的液体组合物可以用于除去硬化的光致抗蚀剂,例如BEOL硬化的光致抗蚀剂、底部抗反射涂层(BARC)材料、CMP后残渣、BARC残渣和/或灰化后/蚀刻后光致抗蚀剂,同时钝化下面的含硅层或具有需要钝化的羟基封端基团的任何其它亲水表面。此外,本发明包含SAM的液体组合物可以用于从光掩模材料上除去污染材料以再利用它。
可以任选用另外的组分配制本发明包含SAM的液体组合物,以进一步提高组合物的钝化和去除能力,或者以其它方式改进组合物的性质,即提供金属钝化。因此,可以用稳定剂、络合剂、钝化剂如Cu钝化剂、和/或腐蚀抑制剂来配制组合物。
通过在轻微的搅拌下混合溶剂、催化剂、SAM组分和任选表面活性剂,可以方便地配制本发明包含SAM的液体组合物。溶剂、催化剂、SAM组分和任选表面活性剂可以被方便地配制成单包装配方或者在使用时混合的多部分配方。可以在工具内或工具上游的贮存罐内混合多部分配方的个体部分。在本发明的广泛实践中,单包装配方或多部分配方个体部分的浓度可以以具体倍数广泛变化,即更稀或更浓,将理解本发明包含SAM的液体组合物可以不同或替换地包括符合本发明的组分的任何组合,或由它们组成,或基本由它们组成。
因此,本发明的另一方面涉及试剂盒,其在一个或多个容器内包括一种或多种适于形成本发明组合物的组分。优选地,试剂盒在一个或多个容器内包括用于与所述至少一种催化剂即时组合的至少一种溶剂、至少一种SAM组分和任选至少一种表面活性剂。根据另一个实施方式,试剂盒在一个或多个容器内包括与所述至少一种溶剂和所述至少一种催化剂即时组合的至少一种SAM组分和任选至少一种表面活性剂。在还一个实施方式中,试剂盒在一个容器内包括在溶剂中的至少一种SAM组分,在另一个容器内包括在溶剂中的至少一种催化剂,用于即时组合。例如,试剂盒的容器可以为NOWPak容器(AdvancedTechnology Materials,Inc.,Danbury,Conn.,USA)。
在还一个实施方式中,本发明涉及包含SAM的液体组合物,其用于从微电子器件上除去松散和硬化的光致抗蚀剂,其中所述包含SAM的液体组合物包括至少一种溶剂、至少一种催化剂、至少一种SAM组分、任选至少一种表面活性剂和光致抗蚀剂残渣材料,其中所述光致抗蚀剂是松散和/或硬化的光致抗蚀剂。重要地,残渣材料可以溶于和/或悬浮于本发明包含SAM的液体组合物中。在又一个实施方式中,光致抗蚀剂残渣材料包括选自硼离子、砷离子、磷离子、铟离子和锑离子的离子。
在还一方面,本发明涉及包含SAM的稠密组合物,其包括稠密流体如超临界流体(SCF)作为主要溶剂体系。由于易于制造的性质和缺少毒性及可忽略的环境影响,超临界二氧化碳(SCCO2)是优选的SCF。SCCO2是用于除去微电子器件工艺污染物的有吸引力的试剂,因为SCCO2具有同为液体和气体的性质。像气体一样,它扩散快、粘度低、表面张力接近零、并能容易地渗入深的沟槽和通孔。像液体一样,它作为“洗涤”介质具有总体流动能力。SCCO2的密度相当于有机溶剂,而且还有可回收的优点,因此可使废物贮存和处理要求最小化。
根据一个实施方式的包含SAM的稠密组合物包括SCCO2和包含SAM的液体组合物,即包含SAM的浓缩物,按组合物的总重计,其含量范围如下:
组分     wt%
SCCO2     约95.0%至约99.99%
包含SAM的液体组合物     约0.01%至约10.0%
其中包含SAM的液体组合物包括约75.0%至约90.0%共溶剂、约0.01%至约10.0%SAM组分、约0.01%至约10.0%催化剂和任选0至约10.0%表面活性剂,其中所述的共溶剂、SAM组分、催化剂和任选表面活性剂包括前述物质。
在一方面,包含SAM的稠密组合物中包含SAM的液体组合物相对SCCO2的摩尔比范围为约1∶200至约1∶4,更优选约1∶100至约1∶6。
在本发明的广泛实践中,包含SAM的稠密组合物包括如下,或由如下组成,或基本由如下组成:SCCO2和包含SAM的液体组合物,即至少一种另外的溶剂、至少一种催化剂、至少一种SAM组分和任选至少一种表面活性剂。一般而言,SCCO2和包含SAM的液体组合物彼此相对的具体比例和量可以合适地变化,以提供包含SAM的稠密组合物对松散和硬化光致抗蚀剂和/或处理设备的所需去除作用,这在现有技术的范围内不需要过多的努力就可容易地确定。重要地,包含SAM的液体组合物可以至少部分地溶于和/或悬浮于包含SAM的稠密组合物的稠密流体内。
在还一个实施方式中,本发明涉及包含SAM的稠密组合物,其可用于从微电子器件上除去松散和硬化的光致抗蚀剂,其中所述包含SAM的稠密组合物包括SCCO2、至少一种溶剂、至少一种催化剂、至少一种SAM组分、任选至少一种表面活性剂和光致抗蚀剂残渣材料,其中所述光致抗蚀剂是松散和/或硬化的光致抗蚀剂。重要地,残渣材料可以溶于和/或悬浮于本发明包含SAM的稠密组合物中。在又一个实施方式中,光致抗蚀剂残渣材料包括选自硼离子、砷离子、磷离子、铟离子和锑离子的离子。
本文还预期本发明包含SAM的稠密组合物可以用于除去硬化的光致抗蚀剂,例如BEOL硬化的光致抗蚀剂、底部抗反射涂层(BARC)材料、CMP后残渣、BARC残渣和/或灰化后/蚀刻后光致抗蚀剂,同时钝化下面的含硅层或具有需要钝化的羟基封端基团的任何其它亲水表面。此外,本发明包含SAM的稠密组合物可以用于从光掩模材料上除去污染材料以再利用它。
在还一个方面,本发明涉及使用本文所述包含SAM的组合物,从微电子器件上除去松散和硬化光致抗蚀剂的方法。例如,使用SAM钝化可以清洁图案化器件上的沟槽和通孔结构,同时保持下面含硅层的结构完整性。本领域技术人员将理解可以在一步或多步除去过程中使用包含SAM的组合物。
通过可逆性地钝化下面的含硅层,同时除去其上沉积的松散和硬化光致抗蚀剂,本发明包含SAM的组合物克服了现有去除技术的缺点。
通过例如在混合容器或清洁容器中,在轻微的搅拌下简单地混合各成分,可以方便地配制本发明包含SAM的液体组合物。通过在适当的温度和压力下静态或动态混合,可以容易地配制包含SAM的稠密组合物。
在钝化和去除应用中,可以以任何合适的方式将包含SAM的液体组合物施用至其上具有光致抗蚀剂材料的微电子器件上,例如通过将组合物喷雾到器件表面上,通过(在一定体积的组合物内)浸渍包含光致抗蚀剂材料的器件,通过使器件与用组合物饱和的另一种材料如垫、或纤维吸附剂敷料器元件接触,通过使包括光致抗蚀剂材料的器件与循环的组合物接触,或通过任何其它合适的方式、手段或技术,从而使包含SAM的液体组合物与微电子器件上的光致抗蚀剂材料接触。钝化和去除应用可以是静态或动态的,这可由本领域技术人员容易地确定。
在将本发明的组合物用于从其上具有光致抗蚀剂材料的微电子器件表面上除去所述材料时,典型地使包含SAM的液体组合物与器件表面接触约1分钟至约60分钟,优选的时间取决于离子注入过程中使用的掺杂离子剂量和注入能量,其中掺杂离子剂量和/或注入能量越高,所需的接触时间越长。优选地,温度范围为约20℃至约80℃、优选约30℃至约80℃,最优选约70℃。这种接触时间和温度是示例性的,在本发明的广泛实践中,可以使用能有效地从器件表面上至少部分地除去光致抗蚀剂材料的任何其它合适的时间和温度条件。在本文中定义时,“至少部分的去除”对应于去除至少90%的松散和硬化光致抗蚀剂,优选去除至少95%。最优选地,使用本发明的组合物除去至少99%的所述松散和硬化光致抗蚀剂材料。
在获得所需的钝化和清洁作用后,可以用大量乙醇和/或THF仔细漂洗微电子器件,以除去任何残余的化学添加剂。
本发明包含SAM的组合物可选择性地去除100%高度掺杂(2×1015As离子cm-2)的光致抗蚀剂(500-700nm厚度),该光致抗蚀剂具有厚度范围为30-70nm的硬化、交联的碳化硬壳。重要地,除去了硬化的硬壳,而基本上不会过蚀刻下面的含硅层。
对于使用包含SAM的稠密组合物进行钝化和清洁,在适当的升高压力下,例如在以合适的体积流速和流量向其中供应包含SAM的稠密组合物以实现所需接触操作的加压接触室内,使其上具有光致抗蚀剂的微电子器件表面与包含SAM的稠密组合物接触,以从微电子器件表面上至少部分地除去光致抗蚀剂。该室可以是用于连续、脉冲或静态清洁的批量或单晶片室。在使光致抗蚀剂与包含SAM的稠密组合物接触的过程中,通过升高的温度和/或压力条件,可以增强包含SAM的稠密组合物对硬化光致抗蚀剂的钝化和去除。
可以在约1,500至约4,500psi的压力范围内,使用包含SAM的适当稠密组合物与其上具有光致抗蚀剂的微电子器件表面接触足够的时间,以实现光致抗蚀剂的所需去除,例如接触时间范围为约5分钟至约30分钟,并且温度为约40℃至约75℃,尽管在本发明的广泛实践中,可以有益地使用更大或更小的接触持续时间和温度。
使用包含SAM的稠密组合物的去除工艺可以包括静态浸泡、动态清洁模式、或连续加工步骤,该步骤包括使包含SAM的稠密组合物动态流过微电子器件表面,然后将器件静态浸泡在包含SAM的稠密组合物中,其中在这种交替步骤的周期中,交替和重复地进行各动态流动和静态浸泡步骤。
“动态”接触模式包括使组合物连续流过器件表面,以使传质梯度最大化并实现从表面上完全去除抗蚀剂。“静态浸泡”接触模式包括使器件表面与静态体积的组合物接触,并将其间的接触保持连续的(浸泡)时间段。
在使包含SAM的稠密组合物与微电子器件表面接触后,然后优选用漂洗溶液洗涤器件,例如等份的SCF/共溶剂溶液,例如SCCO2/甲醇(80%/20%)溶液、和纯SCF,以从被实施抗蚀剂去除的器件表面区域上除去任何残余的沉淀化学添加剂。
将理解,用于本发明包含SAM的液体组合物和包含SAM的稠密组合物的具体接触条件可以在现有技术的范围内根据本文的描述而很容易地确定,而且在实现下面含硅层的所需钝化和微电子器件表面上硬化光致抗蚀剂材料的所需去除的同时,本发明组合物组分的具体比例和浓度可以宽泛地变化。
本发明的另一方面涉及从微电子器件上除去松散和硬化光致抗蚀剂的方法,所述方法包括:使用不含卤化物的含SAM组分如六甲基二硅氮烷(HMDS)钝化微电子器件表面上的下面含硅层,和使用包含蚀刻剂的去除组合物从微电子器件上除去松散和硬化的光致抗蚀剂。包含蚀刻剂的合适去除组合物包括但不限于:氟化氢(HF)、氟化铵(NH4F)、烷基氟化氢(NRH3F)、二烷基铵氟化氢(NR2H2F)、三烷基铵氟化氢(NR3HF)、三烷基铵三氟化氢(NR3(3HF))、四烷基氟化铵(NR4F)、吡啶-HF络合物、吡啶/HCl络合物、吡啶/HBr络合物、三乙胺/HF络合物、三乙胺/HCl络合物、单乙醇胺/HF络合物、三乙醇胺/HF络合物、三乙胺/甲酸络合物和二氟化氙(XeF2),其中前述R取代物中每个R独立地选自C1-C8烷基和C6-C10芳基。另外的物质公开于2005年4月15日以Pamela M.Visintin等人的名字提交的、发明名称为“Dense FluidFormulations for Cleaning Ion-Implanted Photoresist Layers fromMicroelectronic Devices”)的共同未决美国临时专利申请No.60/672,157,该文献被全文纳入本文以供参考。
在又一方面,本发明涉及从其上具有松散和硬化光致抗蚀剂材料的微电子器件上除去所述光致抗蚀剂材料的方法,所述方法包括使微电子器件与包含SAM的组合物接触足够的时间,以从微电子器件上至少部分地除去所述光致抗蚀剂材料,条件是所述包含SAM的组合物不含选自下列的蚀刻剂组分:氟化氢、氟化铵、氟化氢铵和其它熟知的氟化物蚀刻剂物质。
无论用于从微电子器件上除去硬化光致抗蚀剂的方法如何,本发明的还一方面包括在从微电子器件表面上除去光致抗蚀剂材料后,再从其上除去SAM钝化层,这在本文中称为“去钝化”。
当由于钝化晶片表面上的烷基所致的碳污染不可接受时(当所用的SAM为Cl3SiMe时,为约3至10埃甲基单层),可以使用强酸如H2SO4除去SAM,然而,这可能引起不希望地氧化下面的含硅层。因此,在优化的加工条件下,包括卤素离子的稀无机酸如HCl和HF是优选的。卤化物离子将容易地攻击处于SAM-器件表面界面处的钝化Si-O-Si键,从而使器件表面“去钝化”。然而,要特别注意使对器件表面下的含硅层的过蚀刻最小化。
本发明人前面已提出,已知HF/吡啶(1∶1摩尔比)的无水DMSO溶液可以以小于<0.1埃min-1的速率蚀刻热氧化物、TEOS、氮化硅和多晶硅。因此,去钝化溶液可以在溶剂中包括约0.01wt%至约2wt.%稀无机酸/胺络合物,以使器件表面去钝化,同时只对下面的含硅层产生轻微的氟化和过蚀刻。本文预期的稀无机酸/胺络合物包括:吡啶/HF络合物、吡啶/HCl络合物、吡啶/HBr络合物、三乙胺/HF络合物、三乙胺/HCl络合物、和三乙胺/甲酸络合物,以及其与过氧化物、浓HCl、氢氧化铵的组合,及其混合物。本文预期用于去钝化溶液的溶剂包括但不限于DMSO、甲醇和乙酸乙酯。
本发明的另一方面涉及根据本发明的方法制备的微电子器件和包含这种微电子器件的产品。
本发明的还另一方面涉及制造包括微电子器件的制品的方法,所述方法包括使微电子器件与包含SAM的组合物接触足够的时间,以从其上具有松散和硬化光致抗蚀剂材料的微电子器件上至少部分地除去所述光致抗蚀剂材料,并将所述微电子器件结合到所述制品中,其中包含SAM的组合物包括至少一种溶剂、至少一种催化剂、至少一种SAM组分和任选至少一种表面活性剂。或者,包含SAM的组合物还可以包括稠密流体。
通过下文描述的例示性实施例更全面地展示本发明的特征和优点。
实施例1
在使样品器件表面与本发明包含SAM的组合物接触之前和之后,进行原子力显微镜(AFM)和表面能测定,以确定硬化光致抗蚀剂的去除程度以及所述器件表面上的单层形成。样品器件表面包括由下列组分组成的晶片(从顶部到底部):离子注入光致抗蚀剂层(2×1015As离子cm-2;10keV注入能量)、松散的光致抗蚀剂层、含硅栅氧化物层、和硅基底。使用不同的SAM官能度,将样品在不同的温度下处理不同的时间,并测量接触角。将结果列成下文的表格1-3。
表1:使用包含SAM的组合物和70℃接触温度的加工作为时间的函数,该组合物在10mL甲苯中包含1mmol Cl3SiMe和2mmol Et3N
    时间     接触角(°)
    0(对照)     35±3
    10min     77±2
    30min     79±1
    1小时     80±1
    15小时     95±4
表2:使用包含SAM的组合物和30min接触时间的加工作为温度的函数,该组合物在10mL甲苯中包含1mmol Cl3SiMe和2mmol Et3N
    温度/℃     接触(°)
    对照     35±3
    50℃     75±2
    60℃     79±2
    70℃     79±1
表3:在70℃的接触温度和30min接触时间下,使用包含SAM的组合物的加工作为SAM官能度的函数,该组合物在10mL甲苯中包含1mmol所列SAM和2mmol Et3N
    SAM     接触角(°)
    Cl3SiMe     79±1
    Cl2Si(Me)2     86±1
    ClSi(Me)3     97±1
    Cl3SiH     87±4
通过在施用包含SAM的组合物到器件表面后接触角的增加,证明钝化了下面的含硅层。在表1中能够看到,需要小于10分钟的加工时间将接触角为35度的羟基封端的亲水器件表面转变为接触角为77度的甲基封端的疏水表面。
图1A-1D示意接触时间分别等于10min、30min、1小时和15小时的相应AFM图像,其清楚地显示随着时间增加(同时保持所有其它加工参数恒定),由于多取代氯代硅烷的聚合(或交联)而在含硅表面上形成了小岛。随着加工时间增加,小岛逐步接合或附聚,在15小时在表面上显示本体聚合的证据。
进行初步的温度研究,以确定用于表面钝化和清洁效率的最有效温度。关于清洁效率,考虑四种不同的微电子器件层:松散空白的光致抗蚀剂;松散空白光致抗蚀剂上具有30-45nm离子注入硬壳;松散图案化的光致抗蚀剂;和松散图案化光致抗蚀剂上具有离子注入硬壳。将表2报告的结果(接触角)与图2所示的去除效率百分比进行比较,能够看到大于60℃的温度提供了最大量的钝化以及几乎100%的光致抗蚀剂去除。因此,作为时间和SAM官能度的函数的所有后续实验均在70℃进行。
图3A-3C更好地显示了交联的证据,其中示意在70℃的温度和30min的时间下,交联变化作为SAM官能度、尤其是氯化物离去基团数目的函数。能够看到在使用ClSiMe3时(图3A),SAM不存在交联能力,在表面上形成了平滑的单层(rms=0.415nm;对照rms=0.131nm)。然而,在使用Cl2SiMe2(图3B)和Cl3SiMe(图3C)时,通过形成上述岛证明发生了交联,其结果是导致较粗糙的膜表面(对于二氯硅烷和三氯硅烷分别为rms=0.465和1.573nm)。岛的形成表示需要更具侵入性的去钝化技术(例如更浓的组合物、更长的接触时间等)。
实施例2
图4A-4C显示样品器件表面的光学图像(图4A)和扫描电子显微(SEM)图像,该表面包括由一定区域的平行线条组成的一层密集图案化、高度掺杂(2×1015As离子cm-2;10keV注入能量)的光致抗蚀剂。在90度观察角图像中(图4C),能够清楚地看到厚度为~30nm的硬化硬壳。图5A(ClSiMe3)、图5B(Cl2SiMe2)和图5C(Cl3SiMe)显示硬壳的清洁效率作为SAM组分上氯化物取代基的函数。图5A-5C的光学显微图像示意随着SAM组分上氯化物离去基团的数目增加,被除去的硬化光致抗蚀剂也增加。事实上,使用包含Cl3SiMe的组合物可获得对四种不同微电子器件层的大于90%的去除(参见图6)。认为硬壳去除的增加是由于将包含SAM的组合物应用到器件表面上时产生的HCl增加。
开展另外外的实验,从而使不含卤化物的包含SAM的组合物与包括密集图案化、高度掺杂光致抗蚀剂和下面含硅层的样品器件表面接触。除去了未硬化的光致抗蚀剂,尽管由63°的接触角证明样品被钝化了。因此,我们的结果显示一些量的离去基团如氯化物对于硬化光致抗蚀剂去除是必需的。
实施例3
本发明的另一方面包括从微电子器件表面上除去钝化层,或“去钝化”。图7A是接触角为36°和rms=0.15nm的密集图案化器件表面的光学显微图像。图7B是在70℃施用包含SAM的组合物30min后,图7A器件表面的光学图像,该组合物包括Cl3SiMe。确定钝化表面的接触角为79°(具有rms=1.10nm),证明钝化了含硅表面。能够看到除去了至少90%硬化光致抗蚀剂。图7C是在50℃用NEt3∶HF(1∶3摩尔比)的DMSO组合物去钝化2min后,图7B器件表面的光学图像。确定去钝化表面的接触角为35°(具有rms=0.25nm)。一旦表面的接触角匹配该表面在与包含SAM的组合物接触前的接触角,则基本上完成了去钝化过程。
要注意为了消除下面含硅层的氟化和/或过蚀刻,应优化去钝化过程。例如,可以以30秒间隔进行去钝化,以从包含热氧化物的器件结构上除去SAM,以20秒的间隔进行去钝化,以从TEOS基器件结构上除去SAM。
图8A-8E提供了钝化和清洁结果、以及在去除硬化光致抗蚀剂后去钝化的另一个说明。图8A是包括密集图案化、高度掺杂(2×1015As离子cm-2;10keV注入能量)光致抗蚀剂层的器件表面在加工前的SEM。图8B是在70℃施用包含SAM的组合物30min后,图8A密集图案化表面的SEM,该组合物包括Cl3SiMe,示意成功和有效地去除(和钝化)了硬化光致抗蚀剂。图8C和8D是在50℃用NEt3∶HF(1∶3摩尔比)的DMSO组合物去钝化2min后,图8B器件表面的SEM。图8C和8D的SEM图像显示在去钝化过程中,不存在充分过蚀刻下面含硅层的任何证据(与图8E的过蚀刻样品相比)。
本文教导的包含SAM的改进组合物可在一步或多步过程中有效地除去松散和硬化的光致抗蚀剂,而无需等离子蚀刻步骤,而且基本上不会过蚀刻下面的含硅层。
因此,尽管本文已经参考本发明的具体方面、特征和例示性实施方式描述了本发明,但将理解本发明的用途不限于此,但应延伸至并包括许多其它方面、特征和实施方式。因此,希望将下列权利要求书相对广泛地理解为包括在其精神和范围内的所有这些方面、特征和实施方式。

Claims (43)

1.一种包含自组装单层(SAM)的组合物,其包括至少一种溶剂、至少一种催化剂、至少一种SAM组分和任选至少一种表面活性剂,其中所述包含SAM的组合物适合从其上具有松散和硬化光致抗蚀剂材料的微电子器件上除去所述光致抗蚀剂材料。
2.权利要求1的包含SAM的组合物,其中包含SAM的液体组合物中SAM相对于催化剂的摩尔比范围为约1∶10至约5∶1。
3.权利要求1的包含SAM的组合物,其中SAM相对于溶剂的摩尔比范围为约1∶200至约1∶50。
4.权利要求1的包含SAM的组合物,其中所述溶剂包括选自下列的至少一种非极性溶剂:甲苯、癸烷、十二烷、辛烷、戊烷、己烷、四氢呋喃(THF)、二氧化碳及其混合物。
5.权利要求4的包含SAM的组合物,还包括选自下列的另外的溶剂:甲醇、乙醇、异丙醇、N-甲基-吡咯烷酮、N-辛基-吡咯烷酮、N-苯基-吡咯烷酮、二甲亚砜(DMSO)、环丁砜、乳酸乙酯、乙酸乙酯、甲苯、丙酮、丁基卡必醇、单乙醇胺、丁内酯、二甘醇胺、烷基氟化铵、γ-丁内酯、碳酸亚丁酯、碳酸亚乙酯、碳酸亚丙酯及其混合物。
6.权利要求1的包含SAM的组合物,其中所述溶剂包括甲苯。
7.权利要求1的包含SAM的组合物,其中所述溶剂包括稠密二氧化碳。
8.权利要求1的包含SAM的组合物,其中所述SAM组分包括选自下列的硅烷:(RO)3SiX、(RO)2SiX2、(RO)SiX3、(R)3SiX、(R)2SiX2和(R)SiX3,其中X=F、Cl、Br和I,并且R=甲基、乙基、丙基、丁基、辛基、癸基和十二烷基;其氟化衍生物;及其组合。
9.权利要求1的包含SAM的组合物,其中所述SAM组分包括选自Cl3SiMe、Cl2SiMe2和ClSiMe3的烷基氯硅烷。
10.权利要求1的包含SAM的组合物,其中所述催化剂包括选自下列的胺:三甲胺、三乙胺、丁胺、吡啶及其组合。
11.权利要求1的包含SAM的组合物,包括至少一种表面活性剂。
12.权利要求11的包含SAM的组合物,其中所述表面活性剂包括选自下列的表面活性剂物质:氟烷基表面活性剂、聚乙二醇、聚丙二醇、聚乙二醇醚、聚丙二醇醚、羧酸盐、十二烷基苯磺酸、十二烷基苯磺酸盐、聚丙烯酸酯聚合物、二壬基苯基聚氧乙烯、硅氧烷聚合物、改性的硅氧烷聚合物、炔二醇、改性的炔二醇、烷基铵盐、改性的烷基铵盐及其组合。
13.权利要求1的包含SAM的组合物,其中所述组合物包括甲苯、Cl3SiMe和三乙胺。
14.权利要求1的包含SAM的组合物,其中所述微电子器件包括选自下列的制品:半导体基底、平板显示器和微电子机械系统(MEMS)。
15.权利要求1的包含SAM的组合物,其中所述松散和硬化光致抗蚀剂材料包括选自下列的掺杂剂离子:砷离子、硼离子、磷离子、铟离子和锑离子。
16.权利要求1的包含SAM的组合物,其中所述至少一种SAM组分和所述至少一种催化剂以有效地同时钝化所述微电子器件上的含硅层并从所述其上具有松散和硬化光致抗蚀剂材料的微电子器件除去所述材料的含量存在。
17.权利要求16的包含SAM的组合物,其中所述含硅层包括选自下列的含硅化合物:硅;二氧化硅;TEOS;氮化硅;含硅有机聚合物;含硅杂化有机/无机材料;有机硅酸盐玻璃(OSG);氟化的硅酸盐玻璃(FSG);掺碳的氧化物(CDO)玻璃;及其组合。
18.权利要求7的包含SAM的组合物,其中所述二氧化碳是超临界的。
19.权利要求1的包含SAM的组合物,还包括光致抗蚀剂残渣材料。
20.权利要求19的包含SAM的组合物,其中所述光致抗蚀剂包括松散的光致抗蚀剂、硬化的光致抗蚀剂或其组合。
21.权利要求20的包含SAM的组合物,其中所述光致抗蚀剂包括选自下列的离子:硼离子、砷离子、磷离子、铟离子和锑离子。
22.一种试剂盒,其在一个或多个容器内包括含有SAM的组合物试剂,其中所述包含SAM的组合物包括至少一种溶剂、至少一种催化剂、至少一种SAM组分和任选至少一种表面活性剂,并且其中所述试剂盒适于形成如下包含SAM的组合物,所述包含SAM的组合物适合从其上具有松散和硬化光致抗蚀剂材料的微电子器件上除去所述光致抗蚀剂材料。
23.一种从其上具有松散和硬化光致抗蚀剂材料的微电子器件上除去所述光致抗蚀剂材料的方法,所述方法包括使所述微电子器件与包含SAM的组合物在足够的接触条件下接触足够的时间,以从所述微电子器件至少部分除去所述光致抗蚀剂材料,其中所述包含SAM的组合物包括至少一种溶剂、至少一种催化剂、至少一种SAM组分和任选至少一种表面活性剂。
24.权利要求23的方法,其中所述接触的执行时间为约1分钟至约60分钟。
25.权利要求23的方法,其中所述接触的执行温度为约30℃至约80℃范围。
26.权利要求23的方法,其中所述溶剂包括选自下列的至少一种溶剂:甲苯、癸烷、辛烷、十二烷、戊烷、己烷、四氢呋喃(THF)、二氧化碳、甲醇、乙醇、异丙醇、N-甲基-吡咯烷酮、N-辛基-吡咯烷酮、N-苯基-吡咯烷酮、二甲亚砜(DMSO)、环丁砜、乳酸乙酯、乙酸乙酯、甲苯、丙酮、丁基卡必醇、单乙醇胺、丁内酯、二甘醇胺、烷基氟化铵、γ-丁内酯、碳酸亚丁酯、碳酸亚乙酯、碳酸亚丙酯及其混合物;
其中所述催化剂包括选自下列的胺:三甲胺、三乙胺、丁胺、吡啶及其组合;并且
其中所述SAM组分包括选自下列的硅烷:(RO)3SiX、(RO)2SiX2、(RO)SiX3、(R)3SiX、(R)2SiX2和(R)SiX3,其中X=F、Cl、Br和I,并且R=甲基、乙基、丙基、丁基、辛基、癸基和十二烷基;其氟化衍生物;及其组合。
27.权利要求23的方法,其中包含SAM的液体组合物中SAM相对于催化剂的摩尔比范围为约1∶10至约5∶1,并且SAM相对于溶剂的摩尔比范围为约1∶200至约1∶50。
28.权利要求23的方法,其中所述微电子器件包括选自下列的制品:半导体基底、平板显示器和微电子机械系统(MEMS)。
29.权利要求23的方法,其中所述松散和硬化光致抗蚀剂材料包括选自下列的掺杂剂离子:砷离子、硼离子、磷离子、铟离子和锑离子。
30.权利要求23的方法,其中所述接触包括选自下列的过程:将所述包含SAM的组合物喷雾到所述微电子器件表面上;在足够体积的包含SAM的组合物中浸渍所述微电子器件;使所述微电子器件表面与用所述包含SAM的组合物饱和的另一种材料接触;使所述微电子器件与循环的包含SAM的组合物接触;使所述微电子器件与所述包含SAM的组合物的连续流接触;和使所述微电子器件表面与静态体积的所述包含SAM的组合物接触连续的时间段。
31.权利要求23的方法,还包括在与所述包含SAM的组合物接触后,漂洗所述微电子器件。
32.权利要求23的方法,其中所述至少一种SAM组分和所述至少一种催化剂以有效地同时钝化所述微电子器件上的含硅层并从所述其上具有松散和硬化光致抗蚀剂材料的微电子器件上除去所述材料的含量存在。
33.权利要求32的方法,其中所述含硅层包括选自下列的含硅化合物:硅;二氧化硅;TEOS;氮化硅;含硅有机聚合物;含硅杂化有机/无机材料;有机硅酸盐玻璃(OSG);氟化的硅酸盐玻璃(FSG);掺碳的氧化物(CDO)玻璃;及其组合。
34.权利要求32的方法,其中在形成SAM钝化层后,所述下面含硅层具有的接触角范围为约60度至约120度。
35.权利要求23的方法,还包括在从所述微电子器件至少部分除去所述光致抗蚀剂材料后,用去钝化组合物从所述微电子器件上去除所述SAM钝化层。
36.权利要求35的方法,其中所述去钝化组合物包括选自下列的化合物:吡啶/HF络合物、吡啶/HCl络合物、吡啶/HBr络合物、三乙胺/HF络合物、三乙胺/HCl络合物、三乙胺/甲酸络合物、其过氧化物衍生物、浓HCl、氢氧化铵及其组合。
37.权利要求23的方法,其中所述溶剂包括稠密二氧化碳。
38.权利要求37的方法,其中所述接触条件包括升高的压力。
39.权利要求38的方法,其中所述升高的压力包括约1500psi至约4500psi的压力范围。
40.权利要求37的方法,其中所述接触时间范围为约5分钟至约30分钟。
41.权利要求37的方法,其中所述接触条件包括约40℃至约75℃范围的温度。
42.一种从其上具有松散和硬化光致抗蚀剂材料的微电子器件上除去所述光致抗蚀剂材料的方法,所述方法包括:使所述微电子器件与包含SAM的组合物接触足够的时间,以至少部分钝化所述光致抗蚀剂材料下面的含硅层,并使所述微电子器件与包含蚀刻剂的去除组合物接触,以从所述微电子器件至少部分除去所述光致抗蚀剂材料,其中所述包含SAM的组合物包括不含卤化物的SAM组分。
43.一种从其上具有松散和硬化光致抗蚀剂材料的微电子器件上除去所述光致抗蚀剂材料的方法,所述方法包括:使所述微电子器件与包含SAM的组合物接触足够的时间,以从所述微电子器件至少部分除去所述光致抗蚀剂材料,其中所述包含SAM的组合物不含蚀刻剂组分。
CN2006800216226A 2005-04-15 2006-04-10 使用溶剂体系内的自组装单层除去高剂量离子注入光致抗蚀剂 Expired - Fee Related CN101198683B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US67185105P 2005-04-15 2005-04-15
US60/671,851 2005-04-15
PCT/US2006/013430 WO2006113222A2 (en) 2005-04-15 2006-04-10 Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems

Publications (2)

Publication Number Publication Date
CN101198683A true CN101198683A (zh) 2008-06-11
CN101198683B CN101198683B (zh) 2011-09-14

Family

ID=37115663

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006800216226A Expired - Fee Related CN101198683B (zh) 2005-04-15 2006-04-10 使用溶剂体系内的自组装单层除去高剂量离子注入光致抗蚀剂

Country Status (7)

Country Link
EP (1) EP1877530A4 (zh)
JP (1) JP2008538013A (zh)
KR (1) KR20070121845A (zh)
CN (1) CN101198683B (zh)
SG (1) SG161280A1 (zh)
TW (1) TW200700916A (zh)
WO (1) WO2006113222A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102473638A (zh) * 2009-07-30 2012-05-23 巴斯夫欧洲公司 用于高级半导体应用的离子植入后剥离剂

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2006340825A1 (en) 2005-11-09 2007-10-04 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
US8026200B2 (en) * 2008-05-01 2011-09-27 Advanced Technology Materials, Inc. Low pH mixtures for the removal of high density implanted resist
GB0819274D0 (en) * 2008-10-21 2008-11-26 Plastic Logic Ltd Method and apparatus for the formation of an electronic device
KR101579846B1 (ko) * 2008-12-24 2015-12-24 주식회사 이엔에프테크놀로지 포토레지스트 패턴 제거용 조성물 및 이를 이용한 금속 패턴의 형성 방법
JP2013533631A (ja) 2010-07-16 2013-08-22 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド エッチング後残渣を除去するための水性洗浄剤
JP6329909B2 (ja) 2011-12-28 2018-05-23 インテグリス・インコーポレーテッド 窒化チタンを選択的にエッチングするための組成物および方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6500605B1 (en) * 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
US6107166A (en) * 1997-08-29 2000-08-22 Fsi International, Inc. Vapor phase cleaning of alkali and alkaline earth metals
JP3410369B2 (ja) * 1998-04-28 2003-05-26 花王株式会社 剥離剤組成物
JP3474127B2 (ja) * 1998-11-13 2003-12-08 花王株式会社 剥離剤組成物
TW593674B (en) * 1999-09-14 2004-06-21 Jsr Corp Cleaning agent for semiconductor parts and method for cleaning semiconductor parts
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US6613157B2 (en) * 2001-02-15 2003-09-02 Micell Technologies, Inc. Methods for removing particles from microelectronic structures
AU2003220039A1 (en) * 2002-03-04 2003-09-22 Supercritical Systems Inc. Method of passivating of low dielectric materials in wafer processing
WO2003087936A1 (en) * 2002-04-12 2003-10-23 Supercritical Systems Inc. Method of treatment of porous dielectric films to reduce damage during cleaning
US6699829B2 (en) * 2002-06-07 2004-03-02 Kyzen Corporation Cleaning compositions containing dichloroethylene and six carbon alkoxy substituted perfluoro compounds
KR20080023346A (ko) * 2005-06-16 2008-03-13 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 경화된 포토레지스트, 에칭 후 잔류물 및/또는 바닥 반사방지 코팅 층의 제거를 위한 고밀도 유체 조성물

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102473638A (zh) * 2009-07-30 2012-05-23 巴斯夫欧洲公司 用于高级半导体应用的离子植入后剥离剂
CN102473638B (zh) * 2009-07-30 2015-02-18 巴斯夫欧洲公司 用于高级半导体应用的离子植入后剥离剂

Also Published As

Publication number Publication date
JP2008538013A (ja) 2008-10-02
CN101198683B (zh) 2011-09-14
KR20070121845A (ko) 2007-12-27
EP1877530A2 (en) 2008-01-16
WO2006113222A3 (en) 2007-11-08
EP1877530A4 (en) 2010-06-09
TW200700916A (en) 2007-01-01
SG161280A1 (en) 2010-05-27
WO2006113222A2 (en) 2006-10-26

Similar Documents

Publication Publication Date Title
US20070251551A1 (en) Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
CN101198683B (zh) 使用溶剂体系内的自组装单层除去高剂量离子注入光致抗蚀剂
US7479474B2 (en) Reducing oxide loss when using fluoride chemistries to remove post-etch residues in semiconductor processing
US7160815B2 (en) Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
KR102519448B1 (ko) 표면 처리 방법 및 이를 위한 조성물
US20230295502A1 (en) Silicon nitride etching composition and method
CN111108176A (zh) 用于蚀刻含氮化硅衬底的组合物及方法
CN107810261A (zh) 用于处理衬底表面的组合物、方法和装置
US20100294306A1 (en) Method and solution for cleaning semiconductor device substrate
CN111565859A (zh) 表面处理组合物及方法
WO2009073596A2 (en) Formulations for cleaning memory device structures
JP7398550B2 (ja) 高度に選択的な窒化ケイ素エッチングのための改良された配合物
CN112771144A (zh) 用于铈粒子的化学机械研磨后(post cmp)清洁组合物
EP1824945A1 (en) Selective removal chemistries for semiconductor applications, methods of production and uses thereof
CN114667332A (zh) 湿式蚀刻组合物及方法
TW202037761A (zh) 於製造一半導體裝置時用於選擇性移除矽氮化物的蝕刻溶液及方法
CN112513192A (zh) 表面处理组合物及方法
Zhang et al. Supercritical CO2-based solvents in next generation microelectronics processing
TWI835725B (zh) 表面處理方法及用於該方法的組成物
JP2023152834A (ja) シリコンエッチング液、及びシリコンエッチング方法
TW202330894A (zh) 微電子裝置清潔組合物
KR20070090199A (ko) 반도체 적용을 위한 선택적 제거용 화학 물질 및 이를 생산및 사용하는 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: ANGES INC.

Free format text: FORMER OWNER: ADVANCED TECHNOLOGY MATERIALS, INC.

Effective date: 20150409

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20150409

Address after: Massachusetts, USA

Patentee after: MYKROLIS Corp.

Address before: American Connecticut

Patentee before: Advanced Technology Materials, Inc.

C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: Massachusetts, USA

Patentee after: Entergris Co.

Address before: Massachusetts, USA

Patentee before: MYKROLIS Corp.

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110914

Termination date: 20170410

CF01 Termination of patent right due to non-payment of annual fee