KR20130100297A - 건조 동안의 높은 종횡비 구조물의 붕괴 방지 방법 - Google Patents

건조 동안의 높은 종횡비 구조물의 붕괴 방지 방법 Download PDF

Info

Publication number
KR20130100297A
KR20130100297A KR1020137007177A KR20137007177A KR20130100297A KR 20130100297 A KR20130100297 A KR 20130100297A KR 1020137007177 A KR1020137007177 A KR 1020137007177A KR 20137007177 A KR20137007177 A KR 20137007177A KR 20130100297 A KR20130100297 A KR 20130100297A
Authority
KR
South Korea
Prior art keywords
ether
glycol
acid
ruthenium
aspect ratio
Prior art date
Application number
KR1020137007177A
Other languages
English (en)
Inventor
티아니우 첸
스티븐 빌로듀
치민 쉬유
무츠미 나카니시
마사히로 마츠오카
후미오 나카야마
펭 장
마이클 비 코젠스키
엠마뉴엘 아이 쿠퍼
케이트 베카렐리
마코넨 페인
Original Assignee
어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 filed Critical 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드
Publication of KR20130100297A publication Critical patent/KR20130100297A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09CTREATMENT OF INORGANIC MATERIALS, OTHER THAN FIBROUS FILLERS, TO ENHANCE THEIR PIGMENTING OR FILLING PROPERTIES ; PREPARATION OF CARBON BLACK  ; PREPARATION OF INORGANIC MATERIALS WHICH ARE NO SINGLE CHEMICAL COMPOUNDS AND WHICH ARE MAINLY USED AS PIGMENTS OR FILLERS
    • C09C3/00Treatment in general of inorganic materials, other than fibrous fillers, to enhance their pigmenting or filling properties
    • C09C3/08Treatment with low-molecular-weight non-polymer organic compounds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00555Achieving a desired geometry, i.e. controlling etch rates, anisotropy or selectivity
    • B81C1/00619Forming high aspect ratio structures having deep steep walls
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00912Treatments or methods for avoiding stiction of flexible or moving parts of MEMS
    • B81C1/0092For avoiding stiction during the manufacturing process of the device, e.g. during wet etching
    • B81C1/00928Eliminating or avoiding remaining moisture after the wet etch release of the movable structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Geometry (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Solid Materials (AREA)
  • Weting (AREA)
  • Detergent Compositions (AREA)

Abstract

건조 동안 부서지기 쉬운 높은 종횡비 구조물에 의해 경험되는 모세관력을 감소시켜, 건조 동안 높은 종횡비 구조물에 대한 손상을 실질적으로 방지하는 방법에 관한 것이다. 이는, 높은 종횡비 구조물의 표면을 개질시켜, 상기 힘이 충분히 최소화되도록 하며 그 위에 높은 종횡비의 특징부를 갖는 구조물의 건조 동안 높은 종횡비의 특징부의 10% 미만이 휘거나 붕괴될 것이다.

Description

건조 동안의 높은 종횡비 구조물의 붕괴 방지 방법{METHOD FOR PREVENTING THE COLLAPSE OF HIGH ASPECT RATIO STRUCTURES DURING DRYING}
본 발명은, 건조 동안 높은 종횡비 구조물의 붕괴를 실질적으로 방지하는, 높은 종횡비 구조물의 세척/건조 방법에 관한 것이다.
관련 출원에 대한 상호 참고
본원은, 각각 본원에서 그 전체를 참고로 인용하는 것으로, 스티븐 빌로듀(Steven Bilodeau) 등의 이름으로 "건조 동안 높은 종횡비 구조물의 붕괴 방지 방법"을 제목으로 하고 2010년 8월 27일자로 출원된 미국 가특허출원 제 61/377,689 호, 스티븐 빌로듀의 이름으로 "건조 동안 높은 종횡비 구조물의 붕괴 방지 방법"을 제목으로 하는 2011년 1월 28일자로 출원된 미국 가특허출원 제 61/437,352 호, 티아뉴 첸(Tianniu Chen) 등의 이름으로 "건조 동안 높은 종횡비 구조물의 붕괴를 방지하기 위한 방법"을 제목으로 하고 2010년 8월 31일자로 출원된 미국 가특허출원 제 61/378,548 호, 티아뉴 첸의 이름으로 "건조 동안 높은 종횡비 구조물의 붕괴 방지 방법"을 제목으로 하고 2011년 1월 28일자로 출원된 미국 가특허출원 제 61/437,340 호, 티아뉴 첸의 이름으로 "건조 동안 높은 종횡비 구조물의 붕괴 방지 방법"을 제목으로 하고 2011년 4월 15일자로 출원된 미국 가특허출원 제 61/476,029 호, 및 티아뉴 첸의 이름으로 "건조 동안 높은 종횡비 구조물의 붕괴 방지 방법"을 제목으로 하는 2011년 6월 3일자로 출원된 미국 가특허출원 제 61/492,880 호를 우선권으로 주장한다.
반도체 장치 디자인 측면에서 좁은 특징부를 갖는 높은 종횡비 구조물의 밀집한 어레이를 사용하는 경향이 계속되고 있다. 이러한 유형의 구조물과 함께 습식 공정이 사용되는 경우, 건조 동안 존재하는 모세관력이 종종 상기 특징부들의 뒤틀림 및 심지어 붕괴를 유발한다. 이러한 뒤틀림은 장치 작동을 방해할 수 있다. 특히, 이것은 DRAM 또는 플래쉬 메모리 저장 노드의 습식 에칭 동안 심각한 문제이며, 25nm 미만과 같은 보다 공격적인(aggresive) 구조의 비례축척(scaling)을 제한한다. 또한, STI(얕은 트렌치 단리)특징부, 게이트 트랜지스터, 접촉부, 제 1 금속 층, MEMS(마이트로전기기계 시스템) 구조물 및 일부 광전지 구조물(예를 들어, 은 태양 전지)의 세척에는 쟁점이 될 것으로 예상된다.
높은 종횡비 구조물 내 모세관력은 영-라플라스식(Young-Laplace equation)에 의해 기술되며, 여기서 상기 힘은 구조물 내 액체의 공기/액체 표면 장력 및 액체와 특징부 표면 사이의 접촉각의 코사인 둘다에 비례한다. 다른 계면 현상은 긴 범위의 전기 이중층 힘 및 진동 용매화 힘(oscillatory solvation force)을 포함한다. 모세관 손상을 피하기 위한 가장 최근의 접근법은, 물에 비해 모세관력을 상당히 줄일 수 있는 낮은 표면장력 액체를 사용하는 것이다. 종래 분야의 조성물 및 방법을 사용하여 건조하면 상기 뒤틀림 및 붕괴가 여전히 발생한다.
본 발명은 일반적으로 건조 동안 높은 종횡비 구조물에 대한 손상을 방지하는 방법에 관한 것이다. 보다 구체적으로, 본 발명은, 개질된 표면에서의 조성물의 접촉각이 약 90도가 되도록 상기 특징부의 표면의 개질 방법에 관한 것이다.
하나의 양태는 높은 종횡비 특징부의 표면의 개질 방법에 관한 것으로, 상기 방법은, 높은 종횡비 특징부의 표면을 첨가제 조성물과 접촉시켜 개질된 표면을 생성함을 포함하되, 여기서 세척액이 개질된 표면과 접촉하는 경우, 적어도 높은 종횡비 특징부의 건조 동안 또는 적어도 세척액의 제거 동안, 높은 종횡비 특징부에 작용하는 힘이 충분히 최소화되어 높은 종횡비 특징부의 붕괴 또는 휨을 방지한다.
또다른 양태는, 제품으로서, 상기 제품은 첨가제 조성물 및 개질된 표면을 포함하며, 상기 첨가제 조성물은 하나 이상의 계면활성제, 하나 이상의 유기 용매, 선택적으로 하나 이상의 공-계면활성제, 선택적으로 하나 이상의 소포제, 선택적으로 하나 이상의 완충제, 및 하나 이상의 안정화제를 포함하는 제품이 개시되어 있다.
또다른 양태에서, 개질된 높은 종횡비 표면을 포함하는 제품으로서, 상기 개질된 표면이 흡착된 계면활성제 화합물 및 세척액을 포함하며, 상기 개질된 표면과 접촉하는 상기 조성물의 접촉각의 범위가 약 70도 내지 약 110도이고 상기 개질된 높은 종횡비 표면이 도핑된 단결정 실리콘, 도핑된 다결정 실리콘, 도핑되지 않은 단결정 실리콘, 도핑되지 않은 다결정 실리콘, 실리콘 옥사이드, 실리콘 니트라이드, 무정형 탄소, 갈륨 니트라이드, 티탄 니트라이드, 탄탈 니트라이드, 텅스텐 니트라이드, 코발트 실리사이드, 니켈 실리사이드, 루테늄, 루테늄 옥사이드, 기타 루테늄-함유 화합물, 또는 이들의 조합을 포함하는 제품이 개시되어 있다.
또다른 양태에서, 개질된 높은 종횡비 표면을 포함하는 제품으로서, 상기 개질된 표면이 흡착된 계면활성제 화합물 및 세척액을 포함하고, 상기 개질된 표면과 접촉하는 상기 조성물의 접촉각의 범위가 약 70도 내지 약 110도이고, 상기 개질된 높은 종횡비 표면이 티탄 니트라이드, 루테늄, 루테늄 옥사이드, 기타 루테늄-함유 화합물, 또는 이들의 조합을 포함하는 제품이 개시되어 있다.
본 발명의 다른 양태, 특징부 및 장점은 뒤따르는 명세서 및 첨부된 특허청구범위로부터 보다 충분히 명백해질 것이다.
도 1은 건조 동안 높은 종횡비 구조물에 대한 손상을 방지하는 방법의 개략도이다.
도 2a 및 도 2b는 상이한 배합물로 처리된 블랭킷 TiNx(ALD)에 대한 탈이온수의 접촉각을 도시한다.
도 3은 개질된 Ru 표면의 접촉각을 평가하기 위한 일반적인 공정도를 도시한다.
도 4는 상이한 배합물로 처리된 블랭킷 Ru(ALD)에 대한 탈이온수의 접촉각을 도시한다.
도 5는 개질된 폴리실리콘 표면의 접촉각을 평가하기 위한 일반적인 공정도를 도시한다.
도 6a 및 도 6b는 상이한 배합물로 처리된 블랭킷 폴리실리콘에 대한 탈이온수의 접촉각을 도시한다.
본 발명은, 일반적으로 건조 동안 부서지기 쉬운 높은 종횡비 구조물에 의해 경험되는 모세관력을 감소시켜, 건조 동안 높은 종횡비 구조물에 대해 손상을 실질적으로 방지하는 방법에 관한 것이다. 보다 구체적으로, 본 발명은, 개질된 표면에서의 조성물의 접촉각이 약 90도가 되도록 하는 상기 특징부의 표면의 개질 방법에 관한 것이다.
영-라플라스식인 Δp = 2(γ) (cos θ)/r에 따르면, 표면의 접촉각(θ)이 90°에 접근하고 상기 표면과 접촉하는 조성물의 표면 장력(γ)이 최소화될 때(예를 들어, 그 내부의 계면활성제를 포함함에 의해), 곡률반경(r)을 갖는 높은 종횡비 특징부의 측 각각에서의 압력차(Δp)는 0에 접근할 것이고, 따라서 특징부 붕괴는 최소 또는 방지될 것이다. 이러한 목적을 향해, 본 발명은, 높은 종횡비 특징부의 개질된 표면과 접촉하는 세척액의 접촉각이 약 90도이도록 하는, 높은 종횡비 특징부의 표면의 개질 방법에 관한 것이다. 이러한 조건하에서, 모세관력은 0에 접근할 것으로 예상된다.
용이하게 참고하도록, "마이크로 전자장치"는, 마이크로전자장치, 집적 회로, 에너지 수집 또는 컴퓨터 칩 적용례에 사용하기 위해 제조된, 반도체 기판, 평면 디스플레이, 상 변화 메모리 장치, 태양광 패널과 태양 전지 장치를 포함하는 기타 제품, 광전지, 및 마이크로 전자기계 시스템(MEMS)에 상응한다. "마이크로 전자장치", "마이크로전자 기판" 및 "마이크로 전자장치 구조물"이라는 용어는 어떠한 방식으로도 제한하고자 하는 것이 아니며 결국 마이크로 전자장치 또는 마이크로 전자 조립체가 형성될 임의의 기판 또는 구조물을 포함하는 것으로 이해되어야 한다. 마이크로 전자장치는 패턴화되고/되거나 블랭킷화되고/되거나 제어 및/또는 테스트 장치일 수 있다.
본원에 사용되는 경우, "약"은 언급된 값의 ± 5%에 상응한다.
"충분히 최소화된 힘"은 본원에서 높은 종횡비 특징부의 휨 또는 붕괴를 최소화하는데 상응하는 것이다. 보다 구체적으로, 높은 종횡비 특징부를 갖는 구조물 위의 상기 특징부들의 전체 면적을 기준으로, 높은 종횡비 특징부의 10% 미만은 그 위에 상기 특징부를 갖는 구조물이 건조되는 동안 휘거나 붕괴될 것이고, 보다 바람직하게는, 높은 종횡비 특징부의 5% 미만은 건조 동안 휘거나 붕괴될 것이고, 더욱 보다 구체적으로, 높은 종횡비 특징부의 2% 미만은 건조 동안 휘거나 붕괴될 것이고, 가장 바람직하게는 높은 종횡비 특징부의 1% 미만은 그 위에 상기 특징부를 갖는 구조물이 건조 동안 휘거나 붕괴될 것이다. "굽힘"은 세척 전 특징부의 공간적 위치에 대한 특징부의 임의의 편차에 상응하고, 상기 특징부는 다른 특징부에 닿거나 붙지 않은 채로 휠 수 있음이 인정되어야 하지만, 특징부들의 접촉부 또는 점착부를 포함한다. "붕괴"는 특징부가 도미노 효과(즉, 하나의 특징부가 제 2 특징부를 향해 붕괴되면, 상기 제 2 특징부가 붕괴되는 것 등)를 경험하는 것으로, 세척 전에 공간적 위치에 대한 특징부의 보다 실질적인 편차에 상응한다. 붕괴는 구조물로부터의 특징부의 완전한 제거를 포함할 수 있거나 각각에 대한 부분적인 특징부의 붕괴를 포함할 수 있다.
본원에서 정의된 바와 같이, "높은 종횡비 특징부"는 종횡비(특징부의 폭에 대한 특징부의 높이의 비)가 2:1 초과, 보다 바람직하게는 5:1 초과 및 더욱 보다 바람직하게는 10:1 초과인 마이크로 전자장치 상의 특징부에 상응한다. 높은 종횡비를 갖는 특징부는, 이로서 한정하는 것은 아니지만, 전공정(front end of the line, FEOL) 특징부, 예를 들어 얕은 트렌치 단리(STI) 특징부, 게이트 트랜지스터, 접촉부, 플래쉬 메모리, 및 DRAM 커패시터, 후공정(BEOL) 특징부 뿐만 아니라 관련 분야, 예를 들어 MEMS 및 광전지 적용례(예를 들어, 은 태양 전지)에서 발견되는 기타 특징부를 포함한다.
본원에서 정의된 바와 같이, "세척액"은 물(72.8 dynes/cm) 이하, 바람직하게는 약 72.8 dynes/cm 내지 약 65 dynes/cm의 범위, 보다 바람직하게는 약 72.8 dynes/cm 내지 약 70 dynes/cm의 표면 장력을 갖는 조성물에 해당한다.
본원에서 정의되는 바와 같이, "높은 종횡비 특징부의 표면으로부터 옥사이드와 같은 희생 물질을 제거함"은 옥사이드와 같은 희생 물질의 두께와는 무관하게, 높은 종횡비 특징부의 표면을 노출시키기 위해 마이크로 전자장치로부터 옥사이드와 같은 희생 물질을 제거함에 상응한다.
본원에서 정의된 바와 같이, 전술한 영-라플라스식에서 Δp에 상응하는 "낮은 건조력"은 낮은 Δp에 상응하고, 여기서 접촉각 θ는 바람직하게는 90도에 접근하고/접근하거나 액체의 표면 장력 γ는 바람직하게는 낮아진다. 다양한 변수로 인하여, 낮은 모세관력은, 당업계의 숙련자들에 의해 용이하게 측정되는 바와 같이 높은 종횡비 특징부는 건조 동안 휘거나 붕괴되지 않도록 충분히 낮은 모세관력에 상응한다.
본원에 사용되는 경우, "잔류물"은 이로서 한정하는 것은 아니지만, 플라즈마 에칭, 애슁, 습식 에칭 및 이들의 조합을 포함하는, 마이크로 전자장치의 제조 동안 발생하는 입자들에 상응한다.
본원에 사용되는 경우, "오염물"은 플라즈마 에칭, 애슁, 또는 습식 에칭 이후의 마이크로 전자장치의 표면에 존재하는 잔류물을 제외한 화학물질, 반응 및 화학적 부산물, 및 상기 공정의 부산물인 임의의 기타 물질에 상응한다. 전형적으로, 오염물은 사실상 유기물일 것이다.
본원에서 정의된 바와 같이, "에칭-후 잔류물"은 가스상 플라즈마 에칭 공정, 예를 들어 BEOL 이중 다마신 공정 이후에 잔류하는 물질에 상응한다. 에칭-후 잔류물은 사실상 유기물, 유기금속, 올리고머/중합체, 또는 무기물, 예를 들어 실리콘-함유 물질, 탄소계 유기 물질, 및 에칭 가스 잔류물, 예를 들어 산소 및 불소일 수 있다.
본원에서 정의된 바와 같이, "애슁-후 잔류물"은, 본원에 사용되는 경우, 경화된 포토레지스트 및/또는 바닥 반사방지 코팅(bottom anti-reflective coating; BARC) 물질을 제거하기 위해 산화 또는 환원 플라즈마 애슁 이후에 잔류하는 물질에 상응한다. 애슁-후 잔류물은 사실상 유기물, 유기금속, 올리고머/중합체, 또는 무기물일 수 있다.
"진한 유체"는, 본원에 사용되는 경우, 초임계 유체 또는 임계 이하 유체에 상응한다. "초임계 유체"는, 본원에서, 의도된 화합물의 압력-온도 다이아그램에서 임계 온도인 Tc보다 낮지 않고 입계 압력인 Pc보다 낮지 않은 상태하의 물질을 지칭하기 위해서 사용된다. 사용되는 바람직한 초임계 유체는 CO2이고, 이는 단독으로 또는 Ar, NH3, N2, CH4, C2H4, CHF3, C2H6, n-C3H8, H2O, N2O 등과 같은 또다른 첨가제와의 혼합물인 상태로 사용될 수 있다. "임계 이하 유체"는 임계 이하 상태, 즉 특정 용매와 관련된, 임계 온도 미만 및/또는 임계 압력 미만에서의 용매를 기술한다. 바람직하게는, 상기 임계 이하 유체는 다양한 밀도의 고압 액체이다.
DRAM 셀은, 4F2, 6F2, 8F2 등과 같은 다양한 셀 디자인을 사용하여 고안된다. 당업계의 숙련자라면, 50nm 공정 노드(F=50)에서의 4F2(2Fx2F)의 셀 디자인의 경우, 커패시터로부터 커패시터로의 피치 또는 중앙 거리가 100nm임을 이해해야 한다(예를 들어, http://www.eetimes.com/electronics-news/4081855/The-50-nm-DRAM-battle-rages-on-An-overview-of-Micron-s-technology; 미국특허 제 7,349,232 호 참고).
일반적으로, 본원에서 기술된 발명은 높은 종횡비 특징부의 표면 개질에 관한 것으로, 상기 방법은 첨가제 조성물로 상기 표면을 접촉시켜 개질된 표면을 생성하는 단계 및 상기 개질된 표면을 세척액과 접촉하는 단계를 포함하되, 여기서 세척액이 개질된 표면과 접촉할 때 높은 종횡비 특징부에 작용하는 힘은, 적어도 세척액의 제거 동안 또는 높은 종횡비 특징부의 건조 동안 높은 종횡비 특징부의 휨 또는 붕괴를 방지하도록 충분히 최소화된다. 높은 종횡비 특징부에 작용하는 힘은, 이로서 한정하는 것은 아니지만, 높은 종횡비 특징부의 측면 각각에서의 압력차(Δp)를 포함한다. 높은 종횡비 특징부의 표면은 하나 이상의 실리콘(예를 들어, 도핑된 단결정 실리콘, 도핑된 다결정 실리콘, 도핑되지 않은 단결정 실리콘, 도핑되지 않은 다결정 실리콘, 실리콘 옥사이드, 실리콘 니트라이드, 폴리실리콘), 무정형 탄소, 갈륨 니트라이드, 티탄 니트라이드, 탄탈 니트라이드, 텅스텐 니트라이드, 코발트 실리사이드, 니켈 실리사이드, 및/또는 루테늄(예를 들어, 루테늄, 루테늄 옥사이드, 루테늄 니트라이드, 기타 루테늄-함유 화합물) 또는 이들의 임의의 조합을 포함할 수 있다.
제 1 양태
제 1 양태에서, 높은 종횡비 특징부의 표면 위의 접촉각 유지 방법이 기술되어 있고, 상기 방법은 표면과 첨가제 조성물을 접촉시켜 개질된 표면을 생성하는 단계를 포함하되, 여기서 상기 개질된 표면과 접촉하는 세척액의 접촉각은 약 70도 내지 약 110도이다. 바람직하게, 상기 접촉각은 약 70도 내지 약 110도, 보다 바람직하게는 약 85도 내지 약 105도, 가장 바람직하게는 약 85도 내지 약 95도의 범위이다. 높은 종횡비 특징부의 표면은, 갈륨 니트라이드, 티탄 니트라이드, 무정형 탄소, 탄탈 니트라이드, 텅스텐 니트라이드, 코발트 실리사이드, 니켈 실리사이드, 폴리실리콘, 실리콘 니트라이드, 및/또는 루테늄(예를 들어, 루테늄, 루테늄 옥사이드, 루테늄 니트라이드, 기타 루테늄-함유 화합물), 또는 이들의 임의의 조합을 포함한다. 하나의 실시양태에서, 개질된 표면은 세척액으로 세척되고, 여기서 세척 시간인 t = x에서의 개질된 표면의 접촉각은 세척 시간인 t = 0에서의 개질된 표면의 접촉각으로부터 약 +/- 10도 이하의 차이를 보이며, 여기서 x는 약 60 초 내지 약 600 초 또는 그 이상이다. 바람직하게, 첨가제 조성물은 습식 공정 도구에서 동일반응계에서 혼합된다. 바람직하게는, 상기 종횡비 특징부의 표면은 티탄 니트라이드, 및/또는 루테늄(예를 들어, 루테늄, 루테늄 옥사이드, 루테늄 니트라이드, 기타 루테늄-함유 화합물) 또는 이들의 임의의 조합을 포함한다.
제 1 양태의 하나의 실시양태에서, 높은 종횡비 특징부의 표면 위의 접촉각의 유지 방법이 기술되어 있고, 상기 방법은 갈륨 니트라이드, 티탄 니트라이드, 무정형 탄소, 탄탈 니트라이드, 텅스텐 니트라이드, 코발트 실리사이드, 니켈 실리사이드, 폴리실리콘, 실리콘 니트라이드, 및/또는 루테늄-함유 표면을, 첨가제 조성물과 접촉시켜 개질된 표면을 생성하는 단계, 및 상기 개질된 표면을 세척액으로 세척하는 단계를 포함하되, 여기서 개질된 표면과 접촉하는 세척액의 접촉각은 약 70도 내지 약 110도, 보다 바람직하게는 약 85도 내지 약 105도, 가장 바람직하게는 약 85도 내지 약 95도이다. 바람직하게는, 상기 루테늄-함유 표면은 루테늄, 루테늄 옥사이드, 루테늄 니트라이드, 기타 루테늄-함유 화합물, 또는 이들의 임의의 조합을 포함한다. 바람직하게는, 첨가제 조성물은 습식 공정 도구에서 동일반응계에서 혼합된다. 바람직하게는, 높은 종횡비 특징부의 표면은 티탄 니트라이드, 및/또는 루테늄(예를 들어, 루테늄, 루테늄 옥사이드, 루테늄 니트라이드, 기타 루테늄-함유 화합물), 또는 이들의 임의의 조합을 포함한다.
상기 제 1 양태의 또다른 실시양태에서, 높은 종횡비 특징부의 표면의 개질 방법이 개시되어 있고, 상기 방법은 상기 표면을 첨가제 조성물과 접촉시켜 개질된 표면을 생성하는 단계를 포함하되, 상기 개질된 표면과 접촉하는 세척액의 접촉각은 약 70도 내지 약 110도, 보다 바람직하게는 약 85도 내지 약 105도, 가장 바람직하게는 약 85도 내지 약 95도이다. 바람직하게는, 높은 종횡비 특징부의 표면은 갈륨 니트라이드, 티탄 니트라이드, 무정형 탄소, 탄탈 니트라이드, 텅스텐 니트라이드, 코발트 실리사이드, 니켈 실리사이드, 폴리실리콘, 실리콘 니트라이드; 및/또는 루테늄, 루테늄 옥사이드, 루테늄 니트라이드, 기타 루테늄-함유 화합물, 또는 이들의 임의의 조합으로 구성된 군 중에서 선택된 루테늄-함유 화합물을 포함한다. 바람직하게, 첨가제 조성물은 습식 공정 도구에서 동일반응계에서 혼합된다. 바람직하게, 높은 종횡비 특징부의 표면은 티탄 니트라이드, 및/또는 루테늄(예를 들어, 루테늄, 루테늄 옥사이드, 루테늄 니트라이드, 기타 루테늄-함유 화합물), 또는 이들의 임의의 조합을 포함한다.
제 1 양태의 또다른 실시양태에서, 높은 종횡비 특징부의 표면을 개질하는 방법이 기술되어 있고, 상기 방법은 상기 표면을 첨가제 조성물과 접촉시켜 개질된 표면을 생성하는 단계 및 상기 개질된 표면을 세척액으로 세척하는 단계를 포함하되, 여기서 개질된 표면과 접촉하는 세척액의 접촉각은 약 70도 내지 약 110도, 보다 바람직하게는 약 85도 내지 약 105도, 가장 바람직하게는 약 85도 내지 약 95도이다. 바람직하게는, 상기 높은 종횡비 특징부의 표면은 갈륨 니트라이드, 티탄 니트라이드, 무정형 탄소, 탄탈 니트라이드, 텅스텐 니트라이드, 코발트 실리사이드, 니켈 실리사이드, 폴리실리콘, 실리콘 니트라이드; 및/또는 루테늄, 루테늄 옥사이드, 루테늄 니트라이드, 기타 루테늄-함유 화합물, 또는 이들의 임의의 조합으로 구성된 군 중에서 선택된 루테늄-함유 화합물을 포함한다. 바람직하게는, 첨가제 조성물은 습식 공정 도구에서 동일반응계에서 혼합된다. 바람직하게는, 높은 종횡비 특징부의 표면은 티탄 니트라이드, 및/또는 루테늄(예를 들어, 루테늄, 루테늄 옥사이드, 루테늄 니트라이드, 기타 루테늄-함유 화합물), 또는 이들의 임의의 조합을 포함한다.
"세척하는 동안 높은 종횡비 특징부의 표면 위의 접촉각을 유지함" 및 "높은 종횡비 특징부의 표면을 개질함"은 바람직하게는 높은 종횡비 특징부 붕괴를 실질적으로 방지하기 위해서 수행됨이 인식되어야 한다.
본원의 목적을 위해서, 물은 "유기 용매"로 고려되지 않는다.
높은 종횡비 표면은 갈륨 니트라이드, 티탄 니트라이드, 무정형 탄소, 탄탈 니트라이드, 텅스텐 니트라이드, 코발트 실리사이드, 니켈 실리사이드, 폴리실리콘, 실리콘 니트라이드; 및/또는 루테늄, 루테늄 옥사이드, 루테늄 니트라이드, 기타 루테늄-함유 화합물, 또는 이들의 임의의 조합으로 구성된 군 중에서 선택된 루테늄-함유 화합물을 포함할 수 있다. 하나의 실시양태에서, 높은 종횡비 표면은 실리콘 니트라이드를 포함한다. 또다른 실시양태에서, 높은 종횡비 표면은 루테늄, 예를 들어, 하나 이상의 루테늄 원소, 루테늄 옥사이드, 루테늄 니트라이드, 및 기타 루테늄-함유 화합물을 포함한다. 또다른 실시양태에서, 높은 종횡비는 티탄 니트라이드를 포함한다. 당업계의 숙련자들이라면, 첨가제 조성물로의 노출 이전에, 오염물, 잔류물, 희생 물질, 또는 이들의 조합물을 제거하기 위해서, 건조될 표면에 따라, 높은 종횡비 표면이 전처리될 수 있음을 인식해야 한다. 예를 들어, 높은 종횡비 표면이 티탄 니트라이드를 포함하는 경우, 출발 표면을 생성하기 위해서 희생 층이 제거될 수 있다.
필요에 따라, 희생 옥사이드 층은, 완충된 옥사이드 에칭(BOE), 예를 들어 완충된 HF 용액 또는 희석 HF 용액을 포함하는 조성물을 사용하여 달성될 수 있다. 바람직하게는, HF를, 물 내 암모늄 플루오라이드(예를 들어, 5.5중량% HF(물 내 49중량%) + 16.4중량% NH4F(물 내 40중량%)+ 79.1 중량% 물)와 조합함으로써 완충된 HF 용액이 바람직하게 배합된다. BOE는 완충된 HF 용액으로 제안되지 않는다는 점 및 이러한 구체적인 완충된 HF 용액은 예로서 제안되고 어떠한 방식으로도 완충된 HF 용액을 제한하고자 하는 것이 아님을 인식하여야 한다. 하나의 실시양태에서, 희생 옥사이드 층을 제거하기 위해서 사용되는 조성물은 높은 종횡비 구조물 내 BOE의 습윤을 개선하기 위해서 계면활성제를 추가로 포함할 수 있다. 생성된 표면은 바람직하게는 사실상 친수성이다. 희생 옥사이드 층의 제거를 위한 조건은, 약 20℃ 내지 약 80℃, 바람직하게는 약 20℃ 내지 약 30℃의 범위의 온도를 포함하되, 여기서 시간은 당업계의 숙련자들에 의해 용이하게 측정시, 희생 옥사이드 층의 두께, 온도, BOE 또는 희석 HF 층의 농도, 및 수행되는 교반 또는 진탕의 양에 좌우된다. BOE 또는 희석 HF 용액을 포함하는 조성물은 과산화수소, 황산 및 암모니아가 실질적으로 없다.
표면은, 바람직하게는 티탄 니트라이드, 루테늄 및/또는 실리콘 니트라이드를 포함하고, 더욱 바람직하게는 티탄 니트라이드 또는 루테늄을 포함하고, 조성물이 측벽과 접촉하는 경우 높은 종횡비 측벽의 표면 에너지를 개질하고 따라서 접촉각이 조정되도록 상기 표면이 첨가제 조성물과 접촉된다. 첨가제 조성물은, 하나 이상의 계면활성제, 하나 이상의 용매, 선택적으로 하나 이상의 공-계면활성제, 선택적으로 하나 이상의 소포제, 선택적으로 하나 이상의 완충액 및 하나 이상의 안정화제를 포함하거나, 이들로 구성되거나, 이들로 필수적으로 구성된다. 고려되는 계면활성제는, 이로서 한정하는 것은 아니지만, 산과 염기, 비-이온성 계면활성제, 음이온성 계면활성제, 양이온성 계면활성제, 쌍성이온성 계면활성제, 및 이들의 조합을 포함한다. 바람직한 산성 또는 염기성 계면활성제는, 이로서 한정하는 것은 아니지만, 산 또는 염기 작용기("헤드") 및 직쇄형 또는 분지형 탄화수소 소수성 기("꼬리")를 갖는 계면활성제, 및/또는 산성 작용기("헤드") 및 퍼플루오르화된 탄화수소기("꼬리")를 갖는 계면활성제를 포함한다. 바람직한 산 또는 염기 작용기는, 인산, 포스폰산, 포스폰산 모노에스터, 포스페이트 모노에스터와 다이에스터, 카복실산, 다이카복실산 모노에스터, 트라이카복실산 모노- 및 다이에스터, 설페이트 모노에스터, 설폰산, 아민 및 이들의 염을 포함한다. 탄화수소 기는 바람직하게는 2개 이상, 예를 들어 2개 내지 30개의 탄소원자(예를 들어, 에틸, 프로필, 부틸, 펜틸, 헥실, 헵틸, 옥틸, 노닐, 데실, 운데실, 도세실, 트라이데실, 테트라데실, 펜타데실, 헥사데실, 헵타데실, 옥타데실, 노나데실, 에이코실 등)를 갖되, 단 분자가 2개의 알킬쇄, 예를 들어 포스페이트 다이에스터 및 포스페이트 모노에스터를 함유하는 경우, 2개 내지 20개의 탄소를 갖는 다소 짧은 탄화수소기(예를 들어, 에틸, 프로필, 부틸, 펜틸, 헥실, 2-에틸헥실, 도데실)가 바람직하다. 퍼플루오르화된 탄화수소 기는 바람직하게는 7개 내지 14개의 탄소 원자를 갖는다(예를 들어, 헵틸, 옥틸, 노닐, 데실, 운데실, 도데실, 트라이데실, 테트라데실). 또다른 실시양태에서, 계면활성제는 화학식 (R1)(R2)P(=O)(R3)의 화합물(여기서, R1, R2 및 R3은 서로 독립적이고 수소, 하이드록실, C1-C30 알킬, C2-C30 알켄, 사이클로알킬, C2-C30 알콕시, 또는 임의의 이들의 조합으로 구성된 군 중에서 선택된다)을 포함한다. 또다른 실시양태에서, 계면활성제는 화학식 (R1R2R3R4)NX(여기서, R1, R2, R3 및 R4는 서로 독립적이고 수소, C1-C30 알킬, C2-C30 알켄, 사이클로알킬, C1-C30 알콕시, C1-C30 카복실레이트 또는 이들의 임의의 조합으로 구성된 군 중에서 선택되고, X는 -1 전하를 갖는 임의의 음이온이다)의 화합물을 포함한다. 또다른 실시양태에서, 계면활성제는 화학식 [(R1)(R2)N]C(=O)(CR3R4)nC(=O)[N(R5)(R6)](여기서, R1, R2, R3, R4, R5 및 R6은 서로 독립적이고 수소, C2-C30 알킬, C2-C30 알켄, 사이클로알킬, C2-C30 알콕시, C2-C30 카복실레이트, 또는 이들의 임의의 조합으로 구성된 군 중에서 선택되고, n은 1 내지 12의 임의의 정수이다)의 화합물을 포함한다. 또다른 실시양태에서, 계면활성제는 화학식 R1C(=O)(OH) 또는 R1C(=O)(OH)(CH2)n(O=)(HO)CR2(여기서, R1 또는 R2는 C1-C30 알킬 또는 C2-C30 알킬렌 쇄, 바람직하게는 C1-C20 알킬 또는 C2-C20 알킬렌 쇄이고, n은 0 내지 20의 정수이다)를 갖는 카복실산을 포함한다. 바람직한 계면활성제는 데실포스폰산, 도데실포스폰산(DDPA), 테트라데실포스폰산, 헥사데실포스폰산, 비스(2-에틸헥실)포스페이트, 옥타데실포스폰산, 퍼플루오로헵탄산, 프리플루오로데칸산, 트라이플루오로메탄설폰산, 포스포노아세트산, 도데실벤젠설폰산, 도데실숙신산, 다이옥타데실 하이드로젠 포스페이트, 옥타데실 다이하이드로젠 포스페이트, 도데실아민, 도데센일숙신산 모노다이에탄올 아마이드, 라우르산, 팔미트산, 올레산, 주니퍼산, 12 하이드록시스테아르산, 옥타데실포스폰산(ODPA)을 포함하고, 가장 바람직하게는 도데실포스폰산, 옥타데실포스폰산, 또는 이들의 조합을 포함한다.
고려되는 비-이온성 계면활성제는, 이로서 한정하는 것은 아니지만, 폴리옥시에틸렌 라우릴 에터(에말민(Emalmin) NL-100(산요(Sanyo)), 브리유(Brij) 30, 브리유 98), 도데센일숙신산 모노다이에탄올 아마이드(DSDA, 산요), 에틸렌다이아민 테트라키스(에톡실레이트-블록-프로폭실레이트) 테트롤(테트로닉(Tetronic) 90R4), 폴리옥시에틸렌 폴리옥시프로필렌 글리콜(뉴폴(Newpole) PE-68(산요), 플루로닉(Pluronic) L31, 플루로닉 31R1), 폴리옥시프로필렌 수크로스 에터(SN008S, 산요), t-옥틸페녹시폴리에톡시에탄올(트리톤(Triton) X100), 폴리옥시에틸렌 (9) 노닐페닐에터, 분지형(이게팔(IGEPAL) CO-250), 폴리옥시에틸렌 소르비톨 헥사올리에이트, 폴리옥시에틸렌 소르비톨 테트라올리에이트, 폴리에틸렌 글리콜 소르비탄 모노올리에이트(트윈 80), 소르비탄 모노올리에이트(스판(Span) 80), 알킬-폴리글루코사이드, 에틸 퍼플루오로부티레이트, 1,1,3,3,5,5-헥사메틸-1,5-비스[2-(5-노보르넨-2-일)에틸]트리실록산, 단량체성 옥타데실실란 유도체, 예를 들어 SIS6952.0(실리클레드(Siliclad), 게레스트(Gelest)), 실록산 개질된 폴리실라잔, 예를 들어 PP1-SG10 실리클래드 글라이드 10(게레스트), 실리콘-폴리에터 공중합체, 예를 들어 실웨트(Silwet) L-77(세트르 케미칼 캄파니(Setre Chemical Company)), 및 실웨트 ECO 스프레더(모멘티브(Momentive))를 포함한다.
고려되는 양이온성 계면활성제는, 이로서 한정하는 것은 아니지만, 헵타데칸 플루오로옥탄 설폰산 테트라에틸암모늄, 스테아릴 트라이메틸암모늄 클로라이드(에코놀(Econol) TMS-28, 산요), 4-(4-다이에틸아미노페닐아조)-1-(4-니트로벤질)피리듐 브로마이드, 세틸피리디늄 클로라이드 일수화물, 벤즈알코늄 클로라이드, 벤즈에토늄 클로라이드 벤질다이메틸도데실암모늄 클로라이드, 벤질다이메틸헥사데실암모늄 클로라이드, 헥사데실트라이메틸암모늄 브로마이드, 다이메틸다이옥타데실암모늄 클로라이드, 도데실트라이메틸암모늄 클로라이드, 헥사데실트라이메틸암모늄 p-톨루엔설포네이트, 다이도데실다이메틸암모늄 브로마이드, 다이(수소화된 탈로우)다이메틸암모늄 클로라이드, 테트라헵틸암모늄 브로마이드, 테트라키스(데실)암모늄 브로마이드, 알리쿼트(Aliquat, 등록상표) 336 및 옥시페노늄 브로마이드, 구아니딘 하이드로클로라이드(C(NH2)3Cl) 또는 트라이플레이트 염, 예를 들어 테트라부틸암모늄 트라이플루오로메탄설포네이트를 포함한다. 탄화수소 기는 바람직하게는 10개 이상, 예를 들어, 10개 내지 20개의 탄소 원자(예를 들어, 데실, 운데실, 도데실, 트라이데실, 테트라데실, 펜타데실, 헥사데실, 헵타데실, 옥타데실, 노나데실, 에이코실)을 갖되, 단 분자가 2개의 작용화된 알킬쇄, 예를 들어 다이메틸다이옥타데실암모늄 클로라이드, 다이메틸다이헥사데실암모늄 브로마이드 및 다이(수소화된 탈로우)다이메틸암모늄 클로라이드(예를 들어, 아르쿼드(Arquad) 2HT-75, 아크졸 노벨(Akzo Nobel))를 함유하는 경우, 6개 내지 20개의 탄소를 갖는 다소 짧은 탄화수소 기(예를 들어, 헥실, 2-에틸헥실, 도데실)가 바람직하다. 바람직하게는, 다이메틸다이옥타데실암모늄 클로라이드, 다이(수소화된 탈로우)다이메틸암모늄 클로라이드, 또는 이들의 조합이 사용된다.
고려되는 음이온성 계면활성제는, 이로서 한정하는 것은 아니지만, 나트륨 폴리옥시에틸렌 라우릴 에터, 나트륨 다이헥실설폰숙시네이트, 다이사이클로헥실 설포숙시네이트 나트륨 염, 나트륨 7-에틸-2-메틸-4-운데실 설페이트(터지톨(Tergitol) 4), 소도실(SODOSIL) RM02, 및 포스페이트 플루오로계면활성제, 예를 들어 조닐(Zonyl) FSJ를 포함한다.
쌍성이온성 계면활성제는, 이로서 한정하는 것은 아니지만, 에틸렌 옥사이드 알킬아민(AOA-8, 산요), N,N-다이메틸도데실아민 N-옥사이드, 나트륨 코카민프로피네이트(르본에이피엘(LebonApl)-D, 산요), 3-(N,N-다이메틸미리스틸암모니오)프로판설포네이트, 및 (3-(4-헵틸)페닐-3-하이드록시프로필)다이메틸암모니오프로판설포네이트를 포함한다.
이론적으로 구속하고자 하는 것은 아니지만, 헤드 작용기는 높은 종횡비 표면과 상호작용하는 반면, 소수성 꼬리는 약 70 내지 약 110도 범위의 접촉각을 조절하는 것, 즉 계면활성제가 높은 종횡비 구조물의 표면 위에 코팅을 형성한다고 고려된다. 표면과 첨가제 조성물의 접촉을 위한 조건은, 약 1분 내지 약 100분, 바람직하게는 약 1분 내지 약 10분, 보다 바람직하게는 약 3분 내지 약 8분의 범위의 축적 시간 동안, 약 20℃ 내지 약 120℃, 바람직하게는 약 20℃ 내지 약 80℃, 보다 바람직하게는 약 20℃ 내지 약 30℃의 축적 온도를 포함하며, 여기서 첨가제 조성물은 1회의 도포로 또는 5회 이상의 도포로 표면과 접촉할 수 있다. 첨가제 조성물 내 계면활성제의 농도는 바람직하게는 약 0.1중량% 내지 약 10중량%, 보다 바람직하게는 약 1중량% 내지 약 5중량%의 범위이다. 노출은, 당업계의 숙련자들에 의해 용이하게 결정되는 바와 같이, 정적이거나 동적이거나 또는 이 둘의 혼합일 수 있음이 인식되어야 한다. 이론적으로 구속하고자 하는 것은 아니지만, 첨가제 조성물 내 계면활성제는 표면에서 화학적으로 또는 물리적으로 흡착되어 계면을 개질할 수 있음으로 고려된다.
제 1 양태의 방법에 사용하기 위한 첨가제 조성물은 하나 이상의 용매를 포함하며, 상기 용매는 그 내부에 하나 이상의 계면활성제의 높은 용해도를 보장하기 위해서, 뿐만 아니라 표면의 습윤을 보조하기 위해 선택된다. 바람직하게는, 하나 이상의 용매는 화학식 R1R2R3C(OH)(여기서, R1, R2 및 R3은 서로 독립적이고, 수소, C2-C30 알킬, C2-C30 알켄, 사이클로알킬, C2-C30 알콕시 및 이들의 조합으로 구성된 군 중에서 선택된다)이다. 고려되는 용매는, 이로서 한정되는 것은 아니지만, 물, 알콜, 알킬렌, 실릴 할라이드, 카보네이트(예를 들어, 알킬 카보네이트, 알킬렌 카보네이트 등), 글리콜, 글리콜 에터, 탄화수소, 하이드로플루오로카본, 및 이들의 조합, 예를 들어 직쇄형 또는 분지형 메탄올, 에탄올, 아이소프로판올(IPA), 부탄올, 펜탄올, 헥산올, 2-에틸-1-헥산올, 헵탄올, 옥탄올, 및 고급 알콜(다이올, 트라이올 등을 포함함), 4-메틸-2-펜탄올, 에틸렌 글리콜, 프로필렌 글리콜, 부틸렌 글리콜, 부틸렌 카보네이트, 에틸렌 카보네이트, 프로필렌 카보네이트, 다이프로필렌 글리콜, 다이에틸렌 글리콜 모노메틸 에터, 트라이에틸렌 글리콜 모노메틸 에터, 다이에틸렌 글리콜 모노에틸 에터, 트라이에틸렌 글리콜 모노에틸 에터, 에틸렌 글리콜 모노프로필 에터, 에틸렌 글리콜 모노부틸 에터, 다이에틸렌 글리콜 모노부틸 에터(즉, 부틸 카비톨), 트라이에틸렌 글리콜 모노부틸 에터, 에틸렌 글리콜 모노헥실 에터, 다이에틸렌 글리콜 모노헥실 에터, 에틸렌 글리콜 페닐 에터, 프로필렌 글리콜 메틸 에터(PGME), 다이프로필렌 글리콜 메틸 에터(DPGME), 트라이프로필렌 글리콜 메틸 에터(TPGME), 다이프로필렌 글리콜 다이메틸 에터, 다이프로필렌 글리콜 에틸 에터, 프로필렌 글리콜 n-프로필 에터, 다이프로필렌 글리콜 n-프로필 에터(DPGPE), 트라이프로필렌 글리콜 n-프로필 에터, 프로필렌 글리콜 n-부틸 에터, 다이프로필렌 글리콜 n-부틸 에터, 트라이프로필렌 글리콜 n-부틸 에터, 프로필렌 글리콜 페닐 에터, 2,3-다이하이드로데카플루오로펜탄, 에틸 퍼플루오로부틸에터, 메틸 퍼플루오로부틸에터, 및 이들의 조합을 포함한다. 바람직하게는, 하나 이상의 용매는 4-메틸-2-펜탄올, TPGME, 옥탄올, 2-에틸-1-헥산올, 아이소프로판올, 및 4-메틸-2-펜탄올과 TPGME 또는 IPA와 TPGME를 포함하는 임의의 조합을 포함한다. 첨가제 조성물 내 용매의 농도는 바람직하게는 약 10중량% 내지 약 99.9중량%의 범위, 보다 바람직하게는 약 50중량% 내지 약 99.9중량%의 범위, 가장 바람직하게는 약 90중량% 내지 약 99.9중량%의 범위이다. 하나의 실시양태에서, 첨가제 조성물은 2종 이상의 용매를 포함한다. 또다른 실시양태에서, 첨가제 조성물은 2종 이상의 유기 용매를 포함한다.
또다른 실시양태에서, 하나 이상의 용매는 진한 유체, 예를 들어 초임계 이산화탄소를 포함한다. 또다른 실시양태에서, 첨가제 조성물은, 하나 이상의 용매 이외에, 하나 이상의 공-계면활성제, 하나 이상의 소포제, 및/또는 하나 이상의 완충제를 포함한다. 고려되는 공-계면활성제는 에톡실화된 노닐페놀, 예를 들어 에뮬린(EMULMIN) 240(산요 케미칼 인더스트리즈 리미티드(Sanyo Chemical Industries, Ltd.)), 알킬 에톡실레이트, 예를 들어 브리유 30, 중간 길이의 n-알콜, 예를 들어 부탄올 및 고급 알콜(다이올, 트라이올 등), 비-이온성 계면활성제, 예를 들어 폴리에틸렌 글리콜/폴리프로필렌 글리콜 공중합체, 폴리에틸렌 글리콜 소르비탄 모노올리에이트(트윈 80), 및 소르비탄 모노올리에이트(스판 80, 에틸옥시화된 지방산, 예를 들어 아이오네트(IONET) 시리즈(산요 케미칼 인더스트리즈 리미티드) 예를 들어 아이오네트 MS-400(폴리에틸렌 글리콜 모노스테아레이트), 아이오네트 MS-1000(폴리에틸렌 글리콜 모노스테아레이트), 아이오네트 MO-200(폴리에틸렌 글리콜 모노올리에이트), 아이오네트 MO-400(폴리에틸렌 글리콜 모노올리에이트), 아이오네트 MO-600(폴리에틸렌 글리콜 모노올리에이트), 아이오네트 DL-200(폴리에틸렌 글리콜 다이스테아레이트), 아이오네트 DS-300(폴리에틸렌 글리콜 다이스테아레이트), 아이오네트 DS-400(폴리에틸렌 글리콜 다이스테아레이트), 아이오네트 DS-4000(폴리에틸렌 글리콜 다이스테아레이트), 아이오네트 DO-400(폴리에틸렌 글리콜 다이올리에이트), 아이오네트 DO-600(폴리에틸렌 글리콜 다이올리에이트), 및 아이오네트 DO-1000(폴리에틸렌 글리콜 다이올리에이트)를 포함한다. 존재하는 경우, 공-계면활성제의 양은 첨가제 HLB(친수성 친유성 비) 값에 의해 결정되고 바람직하게는 약 0.1중량% 내지 약 5중량%, 바람직하게는 약 0.5중량% 내지 약 3중량%의 범위이다.
고려되는 소포제는, 에틸렌 옥사이드/프로필렌 옥사이드 블록 공중합체, 알콜 알콕시레이트, 지방산 알콜 알콕실레이트, 비-실리콘 수용성 소포제, 예를 들어 디포머(Defoamer) A(RD 케미칼 캄파니(RD Chemical Company), 미국 캘리포니아주 마운튼뷰 소재), 비-이온성 유화제와의 인산 에스터 블렌드, 및 이들의 조합으로 구성된 군 중에서 선택된 종들을 포함한다. 존재하는 경우, 소포제의 양은 바람직하게는 약 0.001중량% 내지 약 2중량%의 범위, 바람직하게는 약 0.01중량% 내지 약 1중량%의 범위이다. 바람직하게는, 소포제는 디포머 A를 포함한다.
하나 이상의 계면활성제의 용해도를 증가시키기 위해서, 조성물의 안정성을 개선시키기 위해서, 첨가제 조성물의 세척성을 개선시키기 위해서, 및/또는 보다 단단한(robust) 소수성 코팅을 제공하기 위해서, 첨가제 조성물에 안정화제를 첨가할 수 있다. 안정화제는 화학식 R1C(=O)OH(여기서, R1은 C12-C24 알킬 또는 C12-C24 알킬렌 쇄, 바람직하게는 C16-C20 알킬 또는 C16-C20 알킬렌 쇄이다)를 갖는 카복실산, 예를 들어 라우르산, 팔미트산, 올레산, 주니퍼산 및 12 하이드록시스테아르산을 포함한다. 다르게는 또는 추가로, 안정화제는 구아니딘 HCl, 트라이플레이트 염, 예를 들어 테트라부틸암모늄 트라이플루오로메탄설포네이트, 아이소프로필 알콜 및/또는 물을 포함할 수 있다.
첨가제 조성물은, 하나 이상의 유리 라디칼 종, 하나 이상의 이온 교환 수지, 하나 이상의 건조화제, 또는 3종의 임의의 조합을 추가로 포함할 수 있음을 인식해야 한다. 유리 라디칼 종은, 하이드로퀴논, 부틸화된 하이드록실 톨루엔(BHT), 부틸화된 하이드로아니솔(BHA), 다이페닐아민, 및 이들의 조합으로 구성된 군 중에서 선택될 수 있다. 하나 이상의 이온 교환 수지는 MSC-1(다우 케미칼(Dow Chemical))을 포함할 수 있다. 하나 이상의 건조화제는 인산 무수물을 포함할 수 있다.
제 1 양태의 하나의 실시양태에서, 첨가제 조성물은, 계면활성제 및 하나 이상의 용매를 포함하거나, 이들로 구성되거나, 또는 이들로 필수적으로 구성된다. 제 1 양태의 또다른 실시양태에서, 첨가제 조성물은, 계면활성제, 하나 이상의 용매, 및 하나 이상의 공-계면활성제를 포함하거나, 이들로 구성되거나, 이들로 필수적으로 구성된다. 제 1 양태의 또다른 실시양태에서, 첨가제 조성물은 계면활성제, 2종 이상의 용매, 및 하나 이상의 소포제를 포함하거나, 이들로 구성되거나, 또는 이들로 필수적으로 구성된다. 제 1 양태의 또다른 실시양태에서, 첨가제 조성물은 계면활성제 및 2종 이상의 용매를 포함하거나, 이들로 구성되거나, 또는 이들로 필수적으로 구성된다. 제 1 양태의 또다른 실시양태에서, 첨가제 조성물은 계면활성제, 하나 이상의 용매, 및 하나 이상의 소포제를 포함하거나, 이들로 구성되거나, 이들로 필수적으로 구성된다. 제 1 양태의 또다른 실시양태에서, 첨가제 조성물은 계면활성제, 2종 이상의 유기 용매, 및 하나 이상의 소포제를 포함하거나, 이들로 구성되거나, 이들로 필수적으로 구성된다. 제 1 양태의 또다른 실시양태에서, 첨가제 조성물은 계면활성제 및 2종 이상의 유기 용매를 포함하거나, 이들로 구성되거나, 이들로 필수적으로 구성된다.
바람직하게는, 제 1 양태의 첨가제 조성물은 하기 특성들을 갖는다: 이후의 표면과 상호작용하여 그 위에 코팅을 형성한다는 점, 세척액에 대한 표면의 접촉각이 약 85 내지 약 95도, 바람직하게는 약 90도라는 점; 첨가제 조성물이 높은 종횡비 구조물 표면을 습윤시킨다는 점; 세척액으로 세척한 이후에 접촉각이 바람직하게 유지된다는 점(예를 들어, 세척 시간인 t = x에서의 개질된 표면의 접촉각은, 세척 시간인 t = 0에서의 개질된 표면의 접촉각으로부터 약 +/- 10도 이하의 차이를 보이며, 여기서 x는 약 60 초 내지 약 300 초 또는 그 이상이다); 첨가제 코팅은 바람직하게는 최소의 오염을 유발한다는 점(예를 들어, 계면활성제의 단지 단일층만이 세척 후에 잔류함); 및 상이한 표면의 PZC 또는 IEP 특성들을 기준으로 목적하는 표면 동전기적 조건을 달성하기 위한 균형잡힌 pH 값. 추가로, 첨가제 조성물에는 스테아르산, 미리스트산, 및 실란 커플링제, 예를 들어 헥사메틸다이실라잔 및 테트라메틸 실릴 다이에틸아민이 실질적으로 존재하지 않으며, 표면에서의 실란 커플링제의 어떠한 에스터화도, 본원에서 기술한 제 1 양태의 방법을 수행하기 위해서 필요하지 않는다. "실질적으로 존재하지 않다"는 조성물의 총 중량을 기준으로 2중량% 미만, 바람직하게는 1중량% 미만, 보다 바람직하게는 0.5중량% 미만, 가장 바람직하게는 0.1중량% 미만, 가장 바람직하게는 0중량%로 정의된다.
본원의 목적을 위해, "접촉한다"는 이로서 한정하는 것은 아니지만, 침지하거나(일정 체적의 첨가제 조성물 내에), 표면과 또다른 물질(예를 들어, 첨가제 조성물로 포화된 패드, 또는 섬유상 흡착성 도포기)을 접촉시키거나, 상기 표면을 첨가제 순환 조성물과 접촉시키거나, 첨가제 조성물이 높은 종횡비 특징부의 표면과 접촉하는 임의의 기타 적합한 수단, 방식 또는 기법에 의해, 표면 위에 첨가제 조성물을 분사함을 포함한다. 하나의 실시양태에서, 첨가제 용액은 예비-혼합되고 습식 공정 도구로 수송된다. 또다른 실시양태에서, 첨가제 조성물은 습식 공정 도구에서 동일반응계에서 혼합된다.
첨가제 조성물과 표면이 접촉하기 전에 장치가 세척될 수 있음이 고려되어야 한다. 예비-세척을 위한 조건은, 당업계의 숙련자들에 의해 용이하게 결정되는 바와 같이, 약 20℃ 내지 약 80℃, 바람직하게는 약 20℃ 내지 약 30℃의 온도로, 약 2분 내지 약 15분의 시간 동안 수행된다.
표면을 첨가제 조성물과 접촉시켜 개질된 표면을 생성한 후에, 개질된 표면을 세척액으로 세척하여, 표면과 상호작용하지 않거나 표면을 코팅하지 않은 임의의 첨가제를 제거한다. 세척액은 전술한 용매를 하나 이상으로 또는 이들의 조합으로 포함할 수 있다. 다르게는, 세척액은, 하나 이상의 용매, 선택적으로 하나 이상의 유리 라디칼 종, 선택적으로 하나 이상의 이온 교환 수지, 및 선택적으로 하나 이상의 건조화제를 포함하거나, 이들로 구성되거나, 또는 이들로 필수적으로 구성될 수 있다. 하나 이상의 유리 라디칼 종은, 하이드로퀴논, 부틸화된 하이드록실 톨루엔(BHT), 부틸화된 하이드로안니솔(BHA), 다이페닐아민, 및 이들의 조합으로 구성된 군 중에서 선택될 수 있다. 하나 이상의 이온 교환 수지는 MSC-1(다우 케미칼)을 포함할 수 있다. 하나 이상의 건조화제는, 인산 무수물을 포함할 수 있다. 세척을 위한 조건은, 약 20℃ 내지 약 80℃, 바람직하게는 약 20℃ 내지 약 30℃의 온도 및 약 1분 내지 약 20분 이상, 바람직하게는 약 5분 내지 약 15분의 범위의 시간을 포함한다. 제안된 세척액은 물, IPA, TPGME, DPGME, 전술한 공-계면활성제, 물, 및 이들의 조합을 포함한다. 선택적으로 또는 추가로, 첨가제 조성물과 표면의 접촉 이후에, 표면을 처리하도록, 표면이 조사되거나 가열될 수 있다.
또다른 실시양태에서, 제 1 양태의 방법은 세척한 후 개질된 표면을 건조하는 단계를 추가로 포함할 수 있다. 건조 단계는, 스핀 건조에 의해; 아이소프로판올(IPA), 노벡(Novec) 7100 유체(3M), 또는 당업계에 공지된 다른 비-인화성 용매 혼합물을 사용하는 증기 건조에 의해; 또는 질소 건을 사용하는 건조에 의해 수행될 수 있다. 그다음, 표면과 상호작용하거나 표면을 코팅하는 첨가제는 (예를 들어, 열적으로) 제거될 수 있다. 첨가제 층, 예를 들어 계면활성제 층을 제거한 후, 표면은 바람직하게는 온전하고 깨끗하고 층(예를 들어, 유전체 층)을 침착하기에 쉽다.
따라서, 제 1 양태의 또다른 실시양태에서, 높은 종횡비 특징부의 표면의 개질 방법이 개시되어 있되, 상기 방법은 상기 표면을 첨가제 조성물과 접촉시켜 개질된 표면을 생성하는 단계, 상기 개질된 표면을 세척액으로 세척하는 단계, 및 상기 개질된 표면을 건조하는 단계를 포함하며, 개질된 표면과 접촉하는 세척액의 접촉각이 약 70도 내지 약 110도, 보다 바람직하게는 약 85도 내지 약 105도, 가장 바람직하게는 약 85도 내지 약 95도의 범위이다. 바람직하게는, 높은 종횡비 특징부의 표면은 갈륨 니트라이드, 티탄 니트라이드, 무정형 탄소, 탄탈 니트라이드, 텅스텐 니트라이드, 코발트 실리사이드, 니켈 실리사이드, 폴리실리콘, 실리콘 니트라이드; 및/또는 루테늄, 루테늄 옥사이드, 루테늄 니트라이드, 기타 루테늄-함유 화합물, 또는 이들의 임의의 조합으로 구성된 군 중에서 선택된 루테늄-함유 화합물을 포함한다. 바람직하게는, 첨가제 조성물은 습식 공정 도구에서 동일반응계에서 혼합된다. 바람직하게는, 높은 종횡비 특징부의 표면은 티탄 니트라이드, 및/또는 루테늄(예를 들어, 루테늄, 루테늄 옥사이드, 루테늄 니트라이드, 기타 루테늄-함유 화합물), 또는 이들의 임의의 조합을 포함한다. 제 1 양태의 또다른 실시양태에서, 높은 종횡비 특징부의 표면의 개질 방법이 개시되어 있으며, 상기 방법은 표면을 세척하는 단계, 상기 표면을 첨가제 조성물과 접촉시켜 개질된 표면을 생성하는 단계, 상기 개질된 표면을 세척액으로 세척하는 단계, 선택적으로 상기 개질된 표면을 건조하는 단계, 및 선택적으로 상기 개질된 표면으로부터 첨가제를 제거하는 단계를 포함하며, 상기 개질된 표면과 접촉하는 세척액의 접촉각은 약 70도 내지 약 110도, 보다 바람직하게는 약 85도 내지 약 95도의 범위이다. 바람직하게는, 높은 종횡비 특징부의 표면은 갈륨 니트라이드, 티탄 니트라이드, 무정형 탄소, 탄탈 니트라이드, 텅스텐 니트라이드, 코발트 실리사이드, 니켈 실리사이드, 폴리실리콘, 실리콘 니트라이드; 및/또는 루테늄, 루테늄 옥사이드, 루테늄 니트라이드, 기타 루테늄-함유 화합물, 또는 이들의 임의의 조합으로 구성된 군 중에서 선택된 루테늄-함유 화합물을 포함한다. 바람직하게는, 첨가제 조성물은 습식 공정 도구에서 동일반응계에서 혼합된다. 바람직하게는, 높은 종횡비 특징부의 표면은 티탄 니트라이드, 및/또는 루테늄(예를 들어, 루테늄, 루테늄 옥사이드, 루테늄 니트라이드, 기타 루테늄-함유 화합물), 또는 이들의 임의의 조합을 포함한다. 제 1 양태의 또다른 실시양태는 첨가제 조성물 및 개질된 표면을 포함하는 제품에 관한 것으로, 여기서 상기 첨가제 조성물은 하나 이상의 계면활성제, 하나 이상의 유기 용매, 선택적으로 하나 이상의 공-계면활성제, 선택적으로 하나 이상의 소포제, 선택적으로 완충제, 및 하나 이상의 안정화제를 포함한다.
또다른 양태는, 개질된 높은 종횡비 표면을 포함하되, 상기 개질된 표면이 흡착된 계면활성제 화합물 및 세척액을 포함하는 제품에 관한 것으로, 여기서 개질된 표면과 접촉하는 상기 조성물의 접촉각은 약 70도 내지 약 110도의 범위이고 상기 개질된 높은 종횡비 표면은 갈륨 니트라이드, 티탄 니트라이드, 무정형 탄소, 탄탈 니트라이드, 텅스텐 니트라이드, 코발트 실리사이드, 니켈 실리사이드, 폴리실리콘, 실리콘 니트라이드 및/또는 루테늄, 루테늄 옥사이드, 루테늄 니트라이드, 기타 루테늄-함유 화합물, 또는 이들의 임의의 조합으로 구성된 군 중에서 선택된 루테늄-함유 화합물을 포함한다. 바람직하게는, 높은 종횡비 특징부의 표면은 티탄 니트라이드, 및/또는 루테늄(예를 들어, 루테늄, 루테늄 옥사이드, 루테늄 니트라이드, 기타 루테늄-함유 화합물), 또는 이들의 임의의 조합을 포함한다. 여전히 또다른 실시양태에서, 개질된 표면은, 열 공정, 반응성 이온 에칭, 또는 플라즈마-보조된 에칭 공정을 사용하여 재설정된다.
제 2 양태
본 발명의 제 2 양태는, 높은 종횡비 특징부의 표면 위의 접촉각 유지 방법에 상응하며, 상기 방법은 표면을 첨가제 조성물로 접촉하여 개질된 표면을 생성하는 단계를 포함하며, 세척액의 개질된 표면과의 접촉각은 약 70도 내지 약 110도의 범위이다. 바람직하게는, 상기 접촉각은 약 70도 내지 약 110도, 보다 바람직하게는 약 85도 내지 약 105도, 가장 바람직하게는 약 85도 내지 약 95도의 범위이다. 바람직하게는, 높은 종횡비 특징부의 표면은 도핑되거나 도핑되지 않은 단결정 Si, 도핑되거나 도핑되지 않은 다결정 Si, 폴리실리콘, 실리콘 다이옥사이드, 실리콘 니트라이드, 또는 이들의 조합을 포함한다. 하나의 실시양태에서, 개질된 표면은 세척액으로 세척되되, 여기서 세척 시간인 t = x에서의 개질된 표면의 접촉각은, 세척 시간인 t = 0에서의 개질된 표면의 접촉각으로부터 약 +/- 10도 이하의 차이를 보이며, 여기서 x는 약 60 초 내지 약 600 초 또는 그 이상이다.
제 2 양태의 하나의 실시양태에서, 높은 종횡비 특징부의 표면 위의 접촉각 유지 방법이 개시되어 있으며, 상기 방법은 실리콘-함유 표면을 첨가제 조성물과 접촉시켜 개질된 표면을 생성하는 단계, 및 상기 개질된 표면을 세척액으로 세척하는 단계를 포함하며, 상기 개질된 표면과 접촉하는 세척액의 접촉각은 약 70도 내지 약 110도, 보다 바람직하게는 약 85도 내지 약 105도, 가장 바람직하게는 약 85도 내지 약 95도이다. 바람직하게는, 상기 실리콘-함유 표면은 도핑되거나 도핑되지 않은 단결정 Si, 도핑되거나 도핑되지 않은 다결정 Si, 폴리실리콘, 실리콘 다이옥사이드, 실리콘 니트라이드, 또는 이들의 조합을 포함한다.
제 2 양태의 또다른 실시양태에서, 높은 종횡비 특징부의 표면의 개질 방법이 개시되어 있되, 상기 방법은 상기 표면과 첨가제 조성물을 접촉하여 개질된 표면을 생성하는 단계를 포함하되, 상기 개질된 표면과 접촉하는 세척액의 접촉각은 약 70도 내지 약 110도, 보다 바람직하게는 약 85도 내지 약 105도, 가장 바람직하게는 약 85도 내지 약 95도이다. 바람직하게는, 높은 종횡비 특징부의 표면은 실리콘-함유 물질, 바람직하게는 도핑되거나 도핑되지 않은 단결정 Si, 도핑되거나 도핑되지 않은 다결정 Si, 폴리실리콘, 실리콘 다이옥사이드, 실리콘 니트라이드, 또는 이들의 조합을 포함한다.
제 2 양태의 또다른 실시양태에서, 높은 종횡비 특징부의 표면의 개질 방법이 개시되어 있고, 상기 방법은 상기 표면을 첨가제 조성물과 접촉시켜 개질된 표면을 생성하는 단계, 및 상기 개질된 표면을 세척액으로 세척하는 단계를 포함하고, 상기 개질된 표면과 접촉하는 세척액의 접촉각은 약 70도 내지 약 110도, 보다 바람직하게는 약 85도 내지 약 105도, 가장 바람직하게는 약 85도 내지 약 95도이다. 바람직하게는, 높은 종횡비 특징부의 표면은 실리콘-함유 물질, 바람직하게는 도핑되거나 도핑되지 않은 단결정 Si, 도핑되거나 도핑되지 않은 다결정 Si, 폴리실리콘, 실리콘 다이옥사이드, 실리콘 니트라이드, 또는 이들의 조합을 포함한다.
제 2 양태의 또다른 실시양태에서, 높은 종횡비 특징부의 표면의 개질 방법이 개시되어 있고, 상기 방법은 높은 종횡비 특징부의 표면을 전처리하여 상기 표면으로부터 잔류물 및/또는 오염물을 제거하는 단계, 상기 표면과 첨가제 조성물을 접촉하여 개질된 표면을 생성하는 단계, 및 상기 개질된 표면을 세척액으로 세척하는 단계를 포함하고, 상기 개질된 표면과 접촉하는 세척액의 접촉각이 약 70도 내지 약 110도, 보다 바람직하게는 약 85도 내지 약 105도, 가장 바람직하게는 약 85도 내지 약 95도이다. 바람직하게는, 상기 높은 종횡비 특징부의 표면은 실리콘-함유 물질, 바람직하게는 도핑되거나 도핑되지 않은 단결정 Si, 도핑되거나 도핑되지 않은 다결정 Si, 폴리실리콘, 실리콘 다이옥사이드, 실리콘 니트라이드, 또는 이들의 조합을 포함한다. 전처리는, 당업계에 공지된 임의의 잔류물 제거 수단(예를 들어, 습식 처리)을 사용하여 달성될 수 있다. 이론적으로 구속하고자 하는 것은 아니지만, 전처리는, 표면의 소수성/친수성을 개질하기 위해서, 표면의 동전기성 특성을 조절하기 위해서, 및/또는 표면을 산화 또는 환원시키기 위해서 수행된다. 예를 들어, 실리콘-함유 물질을 포함하는 높은 종횡비 표면이 주로 에칭되는 경우(예를 들어, 습식 에칭 조성물, 또는 건식 에칭 수단(예를 들어, 반응성 이온 에칭(RIE))을 사용하여 트렌치, 라인, 바이어스 등을 형성하기 위해서), 상기 표면은 당업계에 공지된 에칭-후 잔류물 제거 조성물로 처리되어 실질적으로 에칭-후 잔류물을 제거할 수 있다. 높은 종횡비 표면이 (예를 들어 포토레지스트를 제거하기 위해) 미리 애슁되는 경우, 표면은 당업계에 공지된 애슁-후 잔류물 제거 조성물로 처리한 후 실질적으로 에슁-후 잔류물을 제거할 수 있다. 실리콘-함유 물질을 에칭하도록 표면의 습식 에칭을 수행하는 경우, 노출된 실리콘-함유 물질을 개질시키기 위해서 반응성 이온 에칭이 고려된다.
상기 방법이 전처리 단계를 포함하는 경우, 상기 장치는 높은 종횡비 특징부의 표면으로부터 잔류물 및/또는 오염물을 제거한 후 세척되어 첨가제 조성물과 접촉할 표면을 생성할 수 있다. 후 전처리 세척을 위한 조건은, 당분야의 숙련자들에 의해 용이하게 측정되는 바와 같이, 약 20℃ 내지 약 80℃, 바람직하게는 약 20℃ 내지 약 30℃의 온도 및 약 2분 내지 약 15분 또는 그 이상의 시간을 포함한다. 세척액은 바람직하게는 물을 포함한다. 다르게는 또는 추가로, 상기 표면을 첨가제 조성물과 접촉하기 전에, 표면은 조사되거나 가열되어 표면을 처리할 수 있다.
제 2 양태의 방법을 위한 첨가제 조성물은, 하나 이상의 계면활성제, 하나 이상의 용매, 선택적으로 하나 이상의 공-계면활성제, 및 선택적으로 하나 이상의 소포제를 포함하거나, 이들로 구성되거나, 이들로 필수적으로 구성된다. 각각의 성분에 대해 고려되는 종들은 본 발명의 제 1 양태에서 앞에서 열거되어 있다. 제 2 양태의 하나의 실시양태에서, 첨가제 조성물은, 계면활성제 및 하나 이상의 용매를 포함하거나, 이들로 구성되거나, 이들로 필수적으로 구성된다. 제 2 양태의 또다른 실시양태에서, 첨가제 조성물은, 계면활성제, 하나 이상의 용매, 및 하나 이상의 공-계면활성제를 포함하거나, 이들로 구성되거나, 이들로 필수적으로 구성된다. 제 2 양태의 또다른 실시양태에서, 첨가제 조성물은, 계면활성제, 하나 이상의 용매, 및 잔류물을 제거하는 것으로 당업계에 공지된 성분들(예를 들어, 에칭-후 잔류물 제거 조성물)을 포함하거나, 이들로 구성되거나, 이들로 필수적으로 구성된다. 제 2 양태의 또다른 실시양태에서, 첨가제 조성물은, 계면활성제, 하나 이상의 용매, 하나 이상의 공-계면활성제 및 잔류물을 제거하기 위해 당업계에 공지된 성분들(예를 들어, 에칭-후 잔류물 제거 조성물)을 포함하거나, 이들로 구성되거나, 이들로 필수적으로 구성된다. 다시 말해서, 표면의 전처리 및 표면의 첨가제 처리는 하나의 단계로 조합될 수 있다. 당분야의 숙련자들이라면, 특정 유형의 잔류물을 제거하기 위한 화학 분야에 공지된 모든 잔류물 제거 조성물이 본원에서 고려됨이 인식되어야만 한다. 추가로, 첨가제 조성물이 잔류물을 제거하기 위해 당분야에 공지된 성분들을 포함하는 경우, 본원에서 기술한 바와 같은 전처리 단계는 여전히 필요하거나 선택적인 단계일 수도 있음이 인식되어야 한다.
바람직하게는, 첨가제 조성물들은 하기 특성들을 갖는다: 표면과의 상호작용 및 그 위의 코팅의 형성 이후에, 세척액에 대한 표면의 접촉각은 약 85 내지 약 95도, 바람직하게는 약 90도이고; 첨가제 조성물은 높은 종횡비 구조물 표면을 적시고; 세척액으로 세척한 이후에 접촉각은 바람직하게는 유지되고(예를 들어, 세척 시간인 t = x에서의 개질된 표면의 접촉각은, 세척 시간인 t = 0에서의 개질된 표면의 접촉각으로부터 약 +/- 10도 이하의 차이를 보이며, 여기서 x는 약 60 초 내지 약 300 초 또는 그 이상이다); 첨가제 코팅은 바람직하게는 최소 오염을 유발하고(예를 들어, 세척 후, 단지 계면활성제의 단일층만 남는다); 상이한 표면의 PZC 또는 IEP 특성들을 기초한 목적하는 표면 동전기적 조건을 달성하는 균형잡힌 pH 값. 추가로, 첨가제 조성물에는 실질적으로 스테아르산, 미리스트산, 실란 커플링제, 예를 들어 헥사메틸다이실라잔 및 테트라메틸 실릴 다이에틸아민이 존재하지 않는다.
본원의 목적을 위해서, "접촉하다"는 이로서 한정하는 것은 아니지만, 침지(일정 체적의 첨가제 조성물)에 의해, 또다른 물질, 예를 들어 첨가제 조성물로 포화되어 있는, 패드 또는 섬유상 흡착 도포기를 상기 표면과 접촉시킴으로써, 상기 표면을 첨가제 순환 조성물(additive circulating composition)과 접촉시킴으로써, 또는 첨가제 조성물이 높은 종횡비 특징부의 표면과 접촉하는 임의의 기타 적합한 수단, 방식 또는 기법에 의해, 표면 위에 첨가제 조성물을 분사함을 포함한다. 하나의 실시양태에서, 첨가제 용액은 예비혼합되고 습식 공정 도구로 전달된다. 또다른 실시양태에서, 첨가제 용액은 습식 공정 도구에서 동일반응계에서 혼합된다.
표면을 첨가제 조성물과 접촉시켜 개질된 표면을 생성한 후, 개질된 표면을 세척액으로 세척하여, 표면과 상호작용하지 않거나 표면을 코팅하지 않은 임의의 첨가제를 제거한다. 세척액은 하나 이상의 전술한 용매 또는 이들의 조합을 포함할 수 있다. 다르게는, 세척액은, 하나 이상의 용매, 선택적으로 하나 이상의 유리 라디칼 종, 선택적으로 하나 이상의 이온 교환 수지, 및 선택적으로 하나 이상의 건조화제를 포함할 수 있거나, 이들로 구성되거나, 이들로 필수적으로 구성될 수 있다. 하나 이상의 유리 라디칼 종은 하이드로퀴논, 부틸화된 하이드록실 톨루엔(BHT), 부틸화된 하이드로아니솔(BHA), 다이페닐아민, 및 이들의 조합으로 구성된 군 중에서 선택될 수 있다. 하나 이상의 이온 교환 수지는 MSC-1(다우 케미칼)을 포함할 수 있다. 하나 이상의 건조화제는 인산 무수물을 포함할 수 있다. 세척을 위한 조건은, 약 20℃ 내지 약 80℃, 바람직하게는 약 20℃ 내지 약 30℃의 온도 및 약 1분 내지 약 20분 이상, 바람직하게는 약 5분 내지 약 15분의 시간을 포함한다. 제안된 세척액은 물, IPA, TPGME, 전술한 공-계면활성제, 물, 및 이들의 조합을 포함한다. 다르게는 또는 추가로, 첨가제 조성물로 표면을 접촉한 후, 표면은 조사되거나 가열되어 표면을 처리할 수 있다.
여전히 다른 실시양태에서, 제 2 양태의 방법은 세척 후 개질된 표면을 건조하는 단계를 추가로 포함할 수 있다. 건조는 스핀 건조에 의해; 아이소프로판올(IPA), 노벡 7100 유체(3M), 또는 당업계에 공지된 기타 비-인화성 용매 혼합물을 사용하는 증기 건조에 의해; 또는 질소 건을 사용하는 건조를 사용하여 수행될 수 있다. 그 후, 표면과 상호작용하거나 표면을 코팅하는 첨가제는 (예를 들어, 열적으로) 제거될 수 있다. 첨가제 층, 예를 들어 계면활성제 층을 제거한 후, 표면은 바람직하게는 온전하고 깨끗하고 층(예를 들어, 유전체 층)을 침착하기에 쉽다.
따라서, 제 2 양태의 또다른 실시양태에서, 높은 종횡비 특징부의 표면의 개질 방법이 개시되어 있고, 상기 방법은 높은 종횡비 특징부의 표면을 전처리하여, 표면으로부터 잔류물 및/또는 오염물을 제거하는 단계, 상기 표면을 첨가제 조성물과 접촉하여 개질된 표면을 생성하는 단계; 상기 개질된 표면을 세척액으로 세척하는 단계; 및 상기 개질된 표면을 건조하는 단계를 포함하되, 여기서 상기 개질된 표면과 접촉하는 세척액의 접촉각은 약 70도 내지 약 110도, 보다 바람직하게는 약 85도 내지 약 105도이다. 바람직하게는, 높은 종횡비 특징부의 표면은 도핑되거나 도핑되지 않은 단결정 Si, 도핑되거나 도핑되지 않은 다결정 Si, 폴리실리콘, 실리콘 다이옥사이드, 실리콘 니트라이드, 또는 이들의 조합을 포함한다. 전처리는 당업계에 공지된 임의의 잔류물 제거 수단(예를 들어, 습식 처리)을 사용하여 달성될 수 있다.
따라서, 다른 실시양태에서, 높은 종횡비 특징부의 표면의 개질 방법이 개시되어 있고, 상기 방법은 높은 종횡비 특징부의 표면을 전처리하여 상기 표면으로부터 잔류물 및/또는 오염물을 제거하는 단계, 전처리 후 상기 표면을 세척하는 단계, 상기 표면을 첨가제 조성물과 접촉시켜 개질된 표면을 생성하는 단계, 상기 개질된 표면을 세척액으로 세척하는 단계, 상기 개질된 표면을 건조시키는 단계를 포함하고, 상기 개질된 표면과 접촉하는 세척액의 접촉각이 약 70도 내지 약 110도, 보다 바람직하게는 약 85도 내지 약 105도, 가장 바람직하게는 약 85도 내지 약 95도이다. 바람직하게는, 높은 종횡비 특징부의 표면은 도핑되거나 도핑되지 않은 단결정 Si, 도핑되거나 도핑되지 않은 다결정 Si, 폴리실리콘, 실리콘 다이옥사이드, 실리콘 니트라이드, 또는 이들의 조합을 포함한다. 전처리는, 당업계에 공지된 임의의 잔류물 제거 수단(예를 들어, 습식 처리)을 사용하여 달성될 수 있다.
여전히 또다른 양태는, 개질된 높은 종횡비 표면을 포함하는 제품에 관한 것이되, 상기 개질된 표면은 흡착된 계면활성제 화합물 및 세척액을 포함하고, 상기 개질된 표면과 접촉하는 상기 조성물의 접촉각은 약 70도 내지 약 110도이고 상기 개질된 높은 종횡비 표면은 도핑되거나 도핑되지 않은 단결정 Si, 도핑되거나 도핑되지 않은 다결정 Si, 폴리실리콘, 실리콘 다이옥사이드, 실리콘 니트라이드, 또는 이들의 조합을 포함한다.
여전히 다른 실시양태에서, 개질된 표면은 열 가공, 반응성 이온 에칭 또는 플라즈마 보조 에칭 공정을 사용하여 재설정된다.
제 3 양태
제 3 양태에서, 첨가제 조성물이 개시되어 있고, 상기 조성물은 하나 이상의 계면활성제, 하나 이상의 용매, 선택적으로 하나 이상의 공-계면활성제, 선택적으로 하나 이상의 소포제, 선택적으로 하나 이상의 완충제, 및 하나 이상의 안정화제를 포함하거나, 이들로 구성되거나, 또는 이들로 필수적으로 구성되고, 상기 첨가제 조성물은 개질된 표면과 세척액의 접촉각이 약 70도 내지 약 110도의 범위이도록 높은 종횡비 특징부의 표면을 개질시킨다. 본 발명의 조성물은 본원에서 기술한 성분들을 사용하여 매우 다양한 구체적인 배합물로 구체화될 수 있다. 본 발명의 조성물은 이후에 보다 충분히 기술하는 바와 같이 매우 다양한 구체적인 배합물로 구체화될 수 있다.
조성물의 구체적인 성분들이 0인 하한치를 포함하는 중량% 범위를 기준으로 논의되고 있는 이러한 모든 조성물에서, 이러한 성분들은 조성물의 다양한 구체적인 실시양태에 존재할 수 있거나 부재할 수 있다는 점, 및 이러한 성분이 존재하는 경우에, 이들의 이러한 성분이 사용되는 조성물의 총 중량을 기준으로 0.001중량% 정도의 농도로 존재할 수 있다는 점이 이해될 것이다.
본원에서 기술된 조성물은 개별적인 성분들을 단순히 첨가하고 균일한 상태까지 혼합함으로써 용이하게 배합된다. 추가로, 상기 조성물은 사용 시점에서 혼합되는, 단일-포장 배합물 또는 다성분 배합물(multi-part formulation)로서, 바람직하게는 다성분 배합물로 용이하게 혼합될 수 있다. 다성분 배합물의 개별적인 성분들은 도구에서 또는 도구 상류의 저장 탱크에서 혼합될 수 있다. 개별적인 성분들의 농도는 특정 배수(multiple)의 조성물로 폭넓게 변할 수 있고, 즉 보다 희석된 상태로 또는 보다 농축된 상태로 변할 수 있고, 본원에서 기술된 조성물은 본원의 개시내용과 상용성인 성분들의 임의의 조합을, 다양하고 다르게 포함하거나, 이들로 구성하거나, 이들로 필수적으로 구성될 것이다.
하나의 실시양태에서, 첨가제 조성물은 도데실포스폰산을 포함한다. 또다른 실시양태에서, 첨가제 조성물은 테트라데실포스폰산을 포함한다. 여전히 또다른 실시양태에서, 첨가제 조성물은 헥사데실포스폰산을 포함한다. 또다른 실시양태에서, 첨가제 조성물은 도데실포스폰산, 테트라데실포스폰산, 및 헥사데실포스폰산으로 구성된 군 중에서 선택된 계면활성제 및 하나 이상의 글리콜 에터 용매를 포함한다. 또다른 실시양태에서, 첨가제 조성물은 하나 이상의 글리콜 에터 용매, 하나 이상의 소포제, 및 도데실포스폰산, 테트라데실포스폰산, 및 헥사데실포스폰산으로 구성된 군 중에서 선택된 계면활성제를 포함한다. 또다른 실시양태에서, 첨가제 조성물은 알콜; 및 도데실포스폰산, 테트라데실포스폰산, 및 헥사데실포스폰산으로 구성된 군 중에서 선택된 계면활성제를 포함한다. 또다른 실시양태에서, 첨가제 조성물은 알콜, 하나 이상의 소포제, 및 도데실포스폰산, 테트라데실포스폰산, 및 헥사데실포스폰산으로 구성된 군 중에서 선택된 계면활성제를 포함한다. 여전히 또다른 실시양태에서, 첨가제 조성물은 트라이프로필렌 글리콜 메틸 에터; 및 도데실포스폰산, 테트라데실포스폰산, 및 헥사데실포스폰산으로 구성된 군 중에서 선택된 계면활성제를 포함한다. 여전히 또다른 실시양태에서, 첨가제 조성물은 트라이프로필렌 글리콜 메틸 에터; 하나 이상의 소포제; 및 도데실포스폰산, 테트라데실포스폰산, 및 헥사데실포스폰산으로 구성된 군 중에서 선택된 계면활성제를 포함한다. 또다른 실시양태에서, 첨가제 조성물은 트라이프로필렌 글리콜 메틸 에터; 폴리에틸렌 글리콜/폴리프로필렌 글리콜 공중합체; 및 도데실포스폰산, 테트라데실포스폰산, 및 헥사데실포스폰산으로 구성된 군 중에서 선택된 계면활성제를 포함한다. 또다른 실시양태에서, 첨가제 조성물은 4-메틸-2-펜탄올; 트라이프로필렌 글리콜 메틸 에터; 하나 이상의 소포제; 및 도데실포스폰산, 테트라데실포스폰산, 및 헥사데실포스폰산으로 구성된 군 중에서 선택된 계면활성제를 포함한다. 또다른 실시양태에서, 첨가제 조성물은 아이소프로판올; 트라이프로필렌 글리콜 메틸 에터; 하나 이상의 소포제; 및 도데실포스폰산, 테트라데실포스폰산, 및 헥사데실포스폰산으로 구성된 군 중에서 선택된 계면활성제를 포함한다. 여전히 또다른 실시양태에서, 첨가제 조성물은 옥탄올; 하나 이상의 소포제; 및 도데실포스폰산, 테트라데실포스폰산 및 헥사데실포스폰산으로 구성된 군 중에서 선택된 계면활성제를 포함한다.
또다른 실시양태에서, 첨가제 조성물은 다이메틸다이옥타데실암모늄 클로라이드를 포함한다. 또다른 실시양태에서, 첨가제 조성물은 다이메틸다이옥타데실암모늄 클로라이드 및 하나 이상의 글리콜 에터 용매를 포함한다. 여전히 또다른 실시양태에서, 첨가제 조성물은 다이메틸다이옥타데실암모늄 클로라이드 및 다이프로필렌 글리콜 메틸 에터를 포함한다. 여전히 또다른 실시양태에서, 첨가제 조성물은 다이메틸다이옥타데실암모늄 클로라이드, 다이프로필렌 글리콜 메틸 에터 및 하나 이상의 소포제를 포함한다. 또다른 실시양태에서, 첨가제 조성물은 다이메틸다이옥타데실암모늄 클로라이드, 다이프로필렌 글리콜 메틸 에터, 및 폴리에틸렌 글리콜/폴리프로필렌 글리콜 중합체를 포함한다.
다르게는, 첨가제 조성물은 다이(수소화된 탈로우)다이메틸암모늄 클로라이드를 포함한다. 또다른 실시양태에서, 첨가제 조성물은 다이(수소화된 탈로우)다이메틸암모늄 클로라이드 및 하나 이상의 글리콜 에터를 포함한다. 또다른 실시양태에서, 첨가제 조성물은 다이(수소화된 탈로우)다이메틸암모늄 클로라이드 및 트라이프로필렌 글리콜 메틸 에터를 포함한다. 또다른 실시양태에서, 첨가제 조성물은 다이(수소화된 탈로우)다이메틸암모늄 클로라이드, 트라이프로필렌 글리콜 메틸 에터, 및 하나 이상의 소포제를 포함한다. 또다른 실시양태에서, 첨가제 조성물은 다이(수소화된 탈로우)다이메틸암모늄 클로라이드, 트라이프로필렌 글리콜 메틸 에터 및 폴리에틸렌 글리콜/폴리프로필렌 글리콜 공중합체를 포함한다.
실시예 1
블랭킷 TiNx(ALD) 기판 위의 배합물을 평가하는 일반적인 공정 흐름도:
I. 표면 전처리:
a. 60초 동안 아세톤 세척
b. 5초 동안 IPA 세척
c. DI 세척, 침지, 1초; 흐르는 DI, 60초
d. 60초 동안 SC1 세척(1부의 NH4OH: 1부의 H2O2: 5부의 DI)
e. DI 세척, 침지, 1초; 흐르는 DI, 60초
f. 60초 동안 희석된 BOE 세척(6부의 DI: 1부의 BOE)
g. DI 세척, 침지, 1초; 흐르는 DI, 60초
II. 표면 개질
a. 상온에서 300초 동안 배합물을 포함하는 F20 플레이트 또는 비이커에 2 x 2 cm TiNx 쿠폰(ALD)을 완전히 함침함
b. DI 세척, 침지, 1초; 흐르는 DI, 60초
III: 접촉각의 건조 및 측정
a. 스핀 및 라우러(Laurel) 도구 위에서의 건조 또는 N2 하에서의 건조
b. 개질된 표면 상의 DI의 접촉각의 측정
하기 배합물이 제조되었다.
배합물 A: 0.5중량% DDPA, 0.05중량% 디포머 A, 99.45중량% TPGME
배합물 B: 0.5중량% DDPA, DPGME내 0.1중량% 디포머 A의 0.05중량%, 99.45중량% DPGME
배합물 C: 0.5중량% DDPA, PGME내 0.1중량% 디포머 A의 0.05중량%, 99.45중량% PGME
배합물 D: 0.5중량% DDPA, 4-메틸-2-펜탄올 내 0.1중량% 디포머 A의 0.05중량%, 99.45중량% 4-메틸-2-펜탄올
배합물 E: 0.5중량% DDPA, IPA내 0.1중량% 디포머 A의 0.05중량%, 99.45중량% IPA
배합물 F: 0.5중량% DDPA, TPGME내 0.25중량% 디포머 A의 0.05중량%, 10중량% DPGME, 89.45중량% TPGME
배합물 G: 0.5중량% DDPA, TPGME내 0.25중량% 디포머 A의 0.05중량%, 30중량% DPGME, 69.45중량% TPGME
배합물 H: 0.5중량% DDPA, TPGME내 0.25중량% 디포머 A의 0.05중량%, 50중량% DPGME, 49.45중량% TPGME
배합물 I: 0.5중량% DDPA, TPGME내 0.25중량% 디포머 A의 0.05중량%, 70중량% DPGME, 29.45중량% TPGME
배합물 J: 0.5중량% DDPA, TPGME내 0.25중량% 디포머 A의 0.05중량%, 10중량% PGME, 89.45중량% TPGME
배합물 K: 0.5중량% DDPA, TPGME내 0.25중량% 디포머 A의 0.05중량%, 30중량% PGME, 69.45중량% TPGME
배합물 L: 0.5중량% DDPA, TPGME내 0.25중량% 디포머 A의 0.05중량%, 50중량% PGME, 49.45중량% TPGME
배합물 M: 0.5중량% DDPA, TPGME내 0.25중량% 디포머 A의 0.05중량%, 70중량% PGME, 29.45중량% TPGME
배합물 N: 0.5중량% DDPA, TPGME내 0.25중량% 디포머 A의 0.05중량%, 10중량% 4-메틸-2-펜탄올, 89.45중량% TPGME
배합물 O: 0.5중량% DDPA, TPGME내 0.25중량% 디포머 A의 0.05중량%, 30중량% 4-메틸-2-펜탄올, 69.45중량% TPGME
배합물 P: 0.5중량% DDPA, TPGME내 0.25중량% 디포머 A의 0.05중량%, 50중량% 4-메틸-2-펜탄올, 49.45중량% TPGME
배합물 Q: 0.5중량% DDPA, TPGME내 0.25중량% 디포머 A의 0.05중량%, 70중량% 4-메틸-2-펜탄올, 29.45중량% TPGME
배합물 R: 0.5중량% DDPA, TPGME내 0.25중량% 디포머 A의 0.05중량%, 10중량% IPA, 89.45중량% TPGME
배합물 S: 0.5중량% DDPA, TPGME내 0.25중량% 디포머 A의 0.05중량%, 30중량% IPA, 69.45중량% TPGME
배합물 T: 0.5중량% DDPA, TPGME내 0.25중량% 디포머 A의 0.05중량%, 50중량% IPA, 49.45중량% TPGME
배합물 U: 0.5중량% DDPA, TPGME내 0.25중량% 디포머 A의 0.05중량%, 70중량% IPA, 29.45중량% TPGME
배합물 V: 0.5중량% DDPA, TPGME내 0.25중량% 디포머 A의 0.05중량%, 10중량% 물, 89.45중량% TPGME
배합물 W: 0.5중량% DDPA, TPGME내 0.25중량% 디포머 A의 0.05중량%, 30중량% 물, 69.45중량% TPGME
배합물 X: 0.5중량% DDPA, TPGME내 0.25중량% 디포머 A의 0.05중량%, 50중량% 물, 49.45중량% TPGME
개질된 TiNx 표면 위의 탈이온수의 접촉각이 표준편차 막대와 함께 도 2a 및 도 2b에 도시되어 있다. 표적 접촉각은 80° 내지 100°이다.
실시예 2
블랭킷 Ru(ALD) 기판 위의 배합물을 평가하기 위한 일반적인 공정 흐름도를 하기 표 3에 도시한다.
추가 배합물을 제조하였다.
배합물 AA: 0.5중량% ODPA, 0.05중량% 디포머 A RD28, 99.45중량% TPGME.
배합물 BB: 1.0중량% 다이메틸다이옥타데실암모늄 클로라이드; 0.1중량% 디포머 A RD28; 98.9중량% DPGME.
배합물 CC: 1.0중량% 비스(수소화된 탈로우 알킬)다이메틸 클로라이드; 0.1중량% 디포머 A RD28; 98.9중량% TPGME.
각각의 Ru 웨이퍼의 접촉각은 4개의 상이한 시간, 즉 (a) 수용시, (b) 전처리 단계 I, II 및 III 이후, (C) 전처리 I, II 및 III, 개별적인 배합물내 침지, 및 10분 탈이온수 세척 이후, 및 (d) 전처리 I, II 및 III, 개별적인 배합물내 침지, 10분 탈이온수 세척, 및 36시간 동안 상온에서의 숙성 후에 측정하였다. 결과를 하기 표 4에 나타낸다.
실시예 3
F20 실험을 사용하여 블랭킷 폴리실리콘 기판 위의 배합물을 평가하기 위한 일반적인 공정 흐름도를 도 5에 도시하였다.
Figure pct00001
DMDODAC = 다이메틸다이옥타데실암모늄 클로라이드
Figure pct00002
각각의 폴리실리콘 웨이퍼의 접촉각은 전처리 I, II 및 III, 5분 동안 개별적인 배합물내 함침, 및 10분의 탈이온수 세척 후에 평가하였다. 결과를 도 6a 및 도 6b에 나타냈다.
본 발명은 예시적인 실시양태 및 특징부를 참고로 하여 본원에서 다양하게 개시하고 있지만, 전술한 실시양태 및 특징부는 본 발명을 제한하고자 하는 것이 아니며, 다른 변형, 개질 및 다른 실시양태들이 본원의 개시내용을 기준으로 당업계의 숙련자들에게 제안될 것임을 인식할 것이다. 따라서, 본 발명은 이후에 설명되는 특허청구범위의 진의 및 범주 내의 이러한 모든 변형, 개질 및 대안의 실시양태를 포괄하는 것으로 폭넓게 유추되어야 한다.

Claims (31)

  1. 높은 종횡비 특징부의 표면을 첨가제 조성물과 접촉시켜 개질된 표면을 생성함을 포함하되, 여기서 세척액이 개질된 표면과 접촉하는 경우, 적어도 높은 종횡비 특징부의 건조 동안 또는 적어도 세척액의 제거 동안 높은 종횡비 특징부에 작용하는 힘이 충분히 최소화되어 상기 높은 종횡비 특징부의 휨 또는 붕괴를 방지하는, 높은 종횡비 특징부의 표면의 개질 방법.
  2. 제 1 항에 있어서,
    상기 개질된 표면과 접촉하는 상기 세척액의 접촉각이 약 70도 내지 약 110도의 범위인, 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 표면이 갈륨 니트라이드, 티탄 니트라이드, 무정형 탄소, 탄탈 니트라이드, 텅스텐 니트라이드, 코발트 실리사이드, 니켈 실리사이드, 폴리실리콘, 실리콘 니트라이드; 및/또는 루테늄, 루테늄 옥사이드, 루테늄 니트라이드, 기타 루테늄-함유 화합물, 또는 이들의 임의의 조합으로 구성된 군 중에서 선택된 루테늄-함유 화합물을 포함하는, 방법.
  4. 제 1 항 또는 제 2 항에 있어서,
    상기 표면이 티탄 니트라이드, 무정형 탄소, 탄탈 니트라이드, 텅스텐 니트라이드, 코발트 실리사이드, 니켈 실리사이드; 및/또는 루테늄, 루테늄 옥사이드, 루테늄 니트라이드, 기타 루테늄-함유 화합물, 또는 이들의 임의의 조합으로 구성된 군 중에서 선택된 루테늄-함유 화합물을 포함하는, 방법.
  5. 제 1 항 또는 제 2 항에 있어서,
    상기 표면이 도핑되거나 도핑되지 않은 단결정 Si, 도핑되거나 도핑되지 않은 다결정 Si, 폴리실리콘, 실리콘 다이옥사이드, 실리콘 니트라이드, 또는 이들의 조합을 포함하는, 방법.
  6. 제 1 항 또는 제 2 항에 있어서,
    상기 높은 종횡비 특징부가 티탄 니트라이드, 루테늄, 루테늄 옥사이드, 루테늄 니트라이드, 기타 루테늄-함유 화합물, 또는 이들의 임의의 조합을 포함하는, 방법.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 첨가제 조성물이 계면활성제, 하나 이상의 용매, 선택적으로 하나 이상의 공-계면활성제, 선택적으로 하나 이상의 완충제, 선택적으로 하나 이상의 소포제, 및 하나 이상의 안정화제를 포함하는, 방법.
  8. 제 7 항에 있어서,
    상기 계면활성제가, 산, 염기, 비-이온성 계면활성제, 음이온성 계면활성제, 양이온성 계면활성제, 쌍성이온성 계면활성제, 및 이들의 조합으로 구성된 군 중에서 선택되는, 방법.
  9. 제 7 항에 있어서,
    상기 계면활성제가 인산, 포스폰산, 포스폰산 모노에스터, 포스페이트 모노에스터와 다이에스터, 카복실산, 다이카복실산 모노에스터, 트라이카복실산 모노에스터와 다이에스터, 설페이트 모노에스터, 설폰산, 아민 및 이들의 염을 포함하는, 방법.
  10. 제 7 항 내지 제 9 항 중 어느 한 항에 있어서,
    상기 계면활성제가,
    (i) 2 내지 30개의 탄소 원자를 갖는 직쇄형 탄화수소 기,
    (ii) 2 내지 20개의 탄소 원자를 갖는 분지형 탄화수소 기,
    (iii) 2 내지 30개의 탄소 원자를 갖는 2개의 직쇄형 탄화수소,
    (iv) 6 내지 30개의 탄소 원자를 갖는 2개의 분지형 탄화수소 기,
    (v) 화학식 (R1)(R2)P(=O)(R3)(여기서, R1, R2 및 R3은 서로 독립적이고, 수소, 하이드록실, C2-C30 알킬, C2-C30 알켄, 사이클로알킬, C2-C30 알콕시 및 이들의 조합으로 구성된 군 중에서 선택된다)의 종,
    (vi) 화학식 (R1R2R3R4)NX(여기서, R1, R2, R3 및 R4는 서로 독립적이고 수소, C1-C30 알킬, C2-C30 알켄, 사이클로알킬, C1-C30 알콕시, C1-C30 카복실레이트, 및 이들의 임의의 조합으로 구성된 군 중에서 선택되고, X는 -1의 전하를 갖는 임의의 음이온이다)의 종,
    (vii) 화학식 [(R1)(R2)N]C(=O)(CR3R4)nC(=O)[N(R5)(R6)](여기서, R1, R2, R3, R4, R5 및 R6은 서로 독립적이고 수소, C2-C30 알킬, C2-C30 알켄, 사이클로알킬, C2-C30 알콕시, C2-C30 카복실레이트, 및 이들의 임의의 조합으로 구성된 군 중에서 선택되고, n은 1 내지 12의 임의의 정수이다)의 종,
    (viii) 화학식 R1C(=O)(OH)(여기서, R1은 C1-C30 알킬 또는 C2-C30 알킬렌 쇄이다)의 종,
    (ix) R1C(=O)(OH)(CH2)n(O=)(HO)CR2(여기서, R1 또는 R2는 서로 독립적이고 C1-C30 알킬 및 C2-C30 알킬렌 쇄 중에서 선택되고, n은 0 내지 20의 정수이다)의 종,
    (x) 7 내지 14개의 탄소 원자를 갖는 퍼플루오르화된 탄화수소 기, 및
    (xi) 이들의 임의의 조합
    으로 구성된 군 중에서 선택된 종을 포함하는, 방법.
  11. 제 7 항 내지 제 9 항 중 어느 한 항에 있어서,
    상기 계면활성제가 데실포스폰산, 도데실포스폰산, 테트라데실포스폰산, 헥사데실포스폰산, 비스(2-에틸헥실)포스페이트, 옥타데실포스폰산, 퍼플루오로헵탄산, 프리플루오로데칸산, 트라이플루오로메탄설폰산, 포스포노아세트산, 도데실벤젠설폰산, 다이옥타데실 하이드로젠 포스페이트, 옥타데실 다이하이드로젠 포스페이트, 옥타데실포스폰산, 도데센일숙신산 모노다이에탄올 아마이드, 옥타데실포스폰산, 라우르산, 팔미트산, 올레산, 주니퍼산, 12 하이드록시스테아르산 및 도데실아민으로 구성된 군 중에서 선택된 하나 이상의 종을 포함하는, 방법.
  12. 제 7 항 내지 제 9 항 중 어느 한 항에 있어서,
    상기 계면활성제가 폴리옥시에틸렌 라우릴 에터, 도데센일숙신산 모노다이에탄올 아마이드, 에틸렌다이아민 테트라키스 (에톡실레이트-블록-프로폭실레이트) 테트롤, 폴리옥시에틸렌 폴리옥시프로필렌 글리콜, 폴리옥시프로필렌 수크로스 에터, t-옥틸페녹시폴리에톡시에탄올, 폴리옥시에틸렌 (9) 노닐페닐에터(분지형), 폴리옥시에틸렌 소르비톨 헥사올리에이트, 폴리옥시에틸렌 소르비톨 테트라올리에이트, 폴리에틸렌 글리콜 소르비탄 모노올리에이트, 소르비탄 모노올리에이트, 알킬-폴리글루코사이드, 에틸 퍼플루오로부티레이트, 1,1,3,3,5,5-헥사메틸-1,5-비스[2-(5-노보넨-2-일)에틸]트라이실록산, 단량체 옥타데실실란 유도체, 실록산 개질화된 폴리실라잔, 실리콘-폴리에터 공중합체, 헵타데칸플루오로옥탄 설폰산 테트라에틸암모늄, 스테아릴 트라이메틸암모늄 클로라이드, 4-(4-다이에틸아미노페닐아조)-1-(4-니트로벤질)피리디움 브로마이드, 세틸피리디늄 클로라이드 일수화물, 벤즈알코늄 클로라이드, 벤즈에토늄 클로라이드 벤질다이메틸도데실암모늄 클로라이드, 벤질다이메틸헥사데실암모늄 클로라이드, 헥사데실트라이메틸암모늄 브로마이드, 다이메틸다이옥타데실암모늄 클로라이드, 도데실트라이메틸암모늄 클로라이드, 헥사데실트라이메틸암모늄 p-톨루엔설포네이트, 다이도데실다이메틸암모늄 브로마이드, 다이(수소화된 탈로우)다이메틸암모늄 클로라이드, 테트라헵틸암모늄 브로마이드, 테트라키스(데실)암모늄 브로마이드, 알리쿼트(Aliquat, 등록상표) 336 및 옥시페노늄 브로마이드, 다이메틸다이옥타데실암모늄 클로라이드, 다이메틸다이헥사데실암모늄 브로마이드, 나트륨 폴리옥시에틸렌 라우릴 에터, 나트륨 다이헥실설포숙시네이트, 다이사이클로헥실 설포숙시네이트 나트륨 염 , 나트륨 7-에틸-2-메틸-4-운데실 설페이트, 소도실(SODOSIL) RM02, 포스페이트 플루오로계면활성제, 에틸렌옥사이드 알킬아민, N,N-다이메틸도데실아민 N-옥사이드, 나트륨 코카민프로피네이트, 3-(N,N-다이메틸미리스틸암모니오)프로판설포네이트, (3-(4-헵틸)페닐-3-하이드록시프로필)다이메틸암모니오프로판설포네이트, 구아니딘하이드로클로라이드, 테트라부틸암모늄 트라이플루오로메탄설포네이트, 및 이들의 조합으로 구성된 군 중에서 선택된 하나 이상의 종을 포함하는, 방법.
  13. 제 7 항 내지 제 12 항 중 어느 한 항에 있어서,
    하나 이상의 용매가, 화학식 R1R2R3C(OH)(여기서, R1, R2 및 R3은 서로 독립적이고 수소, C2-C30 알킬, C2-C30 알켄, 사이클로알킬, C2-C30 알콕시, 및 이들의 임의의 조합으로 구성된 군 중에서 선택된다)인 화합물인, 방법.
  14. 제 7 항 내지 제 12 항 중 어느 한 항에 있어서,
    하나 이상의 용매가 물, 메탄올, 에탄올, 아이소프로판올, 부탄올, 펜탄올, 헥산올, 2-에틸-1-헥산올, 헵탄올, 옥탄올, 에틸렌 글리콜, 프로필렌 글리콜, 부틸렌 글리콜, 부틸렌 카보네이트, 에틸렌 카보네이트, 프로필렌 카보네이트, 다이프로필렌 글리콜, 다이에틸렌 글리콜 모노메틸 에터, 트라이에틸렌 글리콜 모노메틸 에터, 다이에틸렌 글리콜 모노에틸 에터, 트라이에틸렌 글리콜 모노에틸 에터, 에틸렌 글리콜 모노프로필 에터, 에틸렌 글리콜 모노부틸 에터, 다이에틸렌 글리콜 모노부틸 에터, 트라이에틸렌 글리콜 모노부틸 에터, 에틸렌 글리콜 모노헥실 에터, 다이에틸렌 글리콜 모노헥실 에터, 에틸렌 글리콜 페닐 에터, 프로필렌 글리콜 메틸 에터, 다이프로필렌 글리콜 메틸 에터(DPGME), 트라이프로필렌 글리콜 메틸 에터(TPGME), 다이프로필렌 글리콜 다이메틸 에터, 다이프로필렌 글리콜 에틸 에터, 프로필렌 글리콜 n-프로필 에터, 다이프로필렌 글리콜 n-프로필 에터(DPGPE), 트라이프로필렌 글리콜 n-프로필 에터, 프로필렌 글리콜 n-부틸 에터, 다이프로필렌 글리콜 n-부틸 에터, 트라이프로필렌 글리콜 n-부틸 에터, 프로필렌 글리콜 페닐 에터, 2,3-다이하이드로데카플루오로펜탄, 에틸 퍼플루오로부틸에터, 메틸 퍼플루오로부틸에터, 알킬 카보네이트, 알킬렌 카보네이트, 4-메틸-2-펜탄올, 진한 유체(dense fluid), 및 이들의 조합으로 구성된 군 중에서 선택된 종들을 포함하는, 방법.
  15. 제 7 항 내지 제 14 항 중 어느 한 항에 있어서,
    공-계면활성제인 폴리에틸렌 글리콜/프로필렌 글리콜, 또는 완충제를 포함하는, 방법.
  16. 제 7 항 내지 제 14 항 중 어느 한 항에 있어서,
    약 20℃ 내지 약 120℃의 첨가제 조성물 가공 온도를 포함하는, 방법.
  17. 제 7 항 내지 제 14 항 중 어느 한 항에 있어서,
    약 60 초 내지 약 6000 초의 첨가제 조성물 가공 시간을 포함하는, 방법.
  18. 제 1 항 내지 제 17 항 중 어느 한 항에 있어서,
    상기 세척액이, 물, 메탄올, 에탄올, 아이소프로판올, 부탄올, 에틸렌 글리콜, 프로필렌 글리콜, 부틸렌 글리콜, 부틸렌 카보네이트, 에틸렌 카보네이트, 프로필렌 카보네이트, 다이프로필렌 글리콜, 다이에틸렌 글리콜 모노메틸 에터, 트라이에틸렌 글리콜 모노메틸 에터, 다이에틸렌 글리콜 모노에틸 에터, 트라이에틸렌 글리콜 모노에틸 에터, 에틸렌 글리콜 모노프로필 에터, 에틸렌 글리콜 모노부틸 에터, 다이에틸렌 글리콜 모노부틸 에터, 트라이에틸렌 글리콜 모노부틸 에터, 에틸렌 글리콜 모노헥실 에터, 다이에틸렌 글리콜 모노헥실 에터, 에틸렌 글리콜 페닐 에터, 프로필렌 글리콜 메틸 에터, 다이프로필렌 글리콜 메틸 에터(DPGME), 트라이프로필렌 글리콜 메틸 에터(TPGME), 다이프로필렌 글리콜 다이메틸 에터, 다이프로필렌 글리콜 에틸 에터, 프로필렌 글리콜 n-프로필 에터, 트라이프로필렌 글리콜 n-프로필 에터, 프로필렌 글리콜 n-부틸 에터, 다이프로필렌 글리콜 n-부틸 에터, 트라이프로필렌 글리콜 n-부틸 에터, 프로필렌 글리콜 페닐 에터, 2,3-다이하이드로데타플루오로펜탄, 에틸 퍼플루오로부틸에터, 메틸 퍼플루오로부틸에터, 알킬 카보네이트, 알킬렌 카보네이트, 4-메틸-2-펜탄올, 및 이들의 조합으로 구성된 군 중에서 선택된 하나 이상의 용매를 포함하는, 방법.
  19. 제 1 항 내지 제 18 항 중 어느 한 항에 있어서,
    표면을 첨가제 조성물과 접촉시키기 이전에 상기 표면을 세척함을 추가로 포함하는, 방법.
  20. 제 1 항 내지 제 19 항 중 어느 한 항에 있어서,
    상기 개질된 표면을 세척액으로 세척함을 추가로 포함하는, 방법.
  21. 제 20 항에 있어서,
    상기 세척액이, 메탄올, 에탄올, 아이소프로판올, 부탄올, 옥탄올, 에틸렌 글리콜, 프로필렌 글리콜, 부틸렌 글리콜, 부틸렌 카보네이트, 에틸렌 카보네이트, 프로필렌 카보네이트, 다이프로필렌 글리콜, 다이에틸렌 글리콜 모노메틸 에터, 트라이에틸렌 글리콜 모노메틸 에터, 다이에틸렌 글리콜 모노에틸 에터, 트라이에틸렌 글리콜 모노에틸 에터, 에틸렌 글리콜 모노프로필 에터, 에틸렌 글리콜 모노부틸 에터, 다이에틸렌 글리콜 모노부틸 에터, 트라이에틸렌 글리콜 모노부틸 에터, 에틸렌 글리콜 모노헥실 에터, 다이에틸렌 글리콜 모노헥실 에터, 에틸렌 글리콜 페닐 에터, 프로필렌 글리콜 메틸 에터, 다이프로필렌 글리콜 메틸 에터(DPGME), 트라이프로필렌 글리콜 메틸 에터(TPGME), 다이프로필렌 글리콜 다이메틸 에터, 다이프로필렌 글리콜 에틸 에터, 프로필렌 글리콜 n-프로필 에터, 다이프로필렌 글리콜 n-프로필 에터(DPGPE), 트라이프로필렌 글리콜 n-프로필 에터, 프로필렌 글리콜 n-부틸 에터, 다이프로필렌 글리콜 n-부틸 에터, 트라이프로필렌 글리콜 n-부틸 에터, 프로필렌 글리콜 페닐 에터, 2,3-다이하이드로데카플루오로펜탄, 에틸 퍼플루오로부틸에터, 메틸 퍼플루오로부틸에터, 알킬 카보네이트, 알킬렌 카보네이트, 4-메틸-2-펜탄올, 및 이들의 조합으로 구성된 군 중에서 선택된 하나 이상의 용매를 포함하는, 방법.
  22. 제 20 항에 있어서,
    상기 세척액이 하이드로퀴논, 부틸화된 하이드록시 톨루엔(BHT), 부틸화된 하이드로 안니솔(BHA) 및 다이페닐아민으로 구성된 군 중에서 선택된 하나 이상의 유리 라디칼을 포함하는, 방법.
  23. 제 20 항에 있어서,
    상기 세척액이 하나 이상의 이온-교환 수지를 포함하는, 방법.
  24. 제 1 항 내지 제 23 항 중 어느 한 항에 있어서,
    세척 후 개질된 표면을 건조하는 단계를 추가로 포함하는, 방법.
  25. 제 24 항에 있어서,
    상기 건조 단계가 스핀 건조, 증기 건조, 및 질소 건조로 구성된 군 중에서 선택된 방법을 포함하는, 방법.
  26. 제 1 항 내지 제 25 항 중 어느 한 항에 있어서,
    세척 시간인 t = x에서의 개질된 표면의 접촉각이, 세척 시간인 t = 0에서의 개질된 표면의 접촉각으로부터 약 +/- 10도 이하의 차이를 보이며, 여기서 x가 약 60 초 내지 약 6000 초인, 방법.
  27. 제 1 항 내지 제 26 항 중 어느 한 항에 있어서,
    첨가제 조성물이 동일반응계에서 혼합되는, 방법.
  28. 제 1 항 내지 제 27 항 중 어느 한 항에 있어서,
    열 가공, 반응성 이온 에칭, 또는 플라즈마 보조 에칭 공정을 통해 개질된 표면을 재설정함을 추가로 포함하는, 방법.
  29. 첨가제 조성물 및 개질된 표면을 포함하는 제품으로서, 상기 첨가제 조성물이 하나 이상의 계면활성제, 하나 이상의 유기 용매, 및 선택적으로 하나 이상의 공-계면활성제, 선택적으로 하나 이상의 소포제, 선택적으로 하나 이상의 완충제, 및 하나 이상의 안정화제를 포함하는, 제품.
  30. 개질된 높은 종횡비 표면을 포함하는 제품으로서, 상기 개질된 표면이 흡착된 계면활성제 화합물 및 세척액을 포함하고, 상기 개질된 표면과 접촉하는 상기 조성물의 접촉각이 약 70도 내지 약 110도이고, 상기 개질된 높은 종횡비 표면이 티탄 니트라이드, 무정형 탄소, 탄탈 니트라이드, 텅스텐 니트라이드, 코발트 실리사이드, 니켈 실리사이드, 폴리실리콘, 실리콘 니트라이드; 및/또는 루테늄, 루테늄 옥사이드, 루테늄 니트라이드, 기타 루테늄-함유 화합물, 또는 이들의 임의의 조합으로 구성된 군 중에서 선택된 루테늄-함유 화합물을 포함하는, 제품.
  31. 개질된 높은 종횡비 표면을 포함하는 제품으로서, 상기 개질된 표면이 흡착된 계면활성제 화합물 및 세척액을 포함하고, 상기 개질된 표면과 접촉하는 상기 조성물의 접촉각이 약 70도 내지 약 110도이고, 상기 개질된 높은 종횡비 표면이 도핑되거나 도핑되지 않은 단결정 Si, 도핑되거나 도핑되지 않은 다결정 Si, 폴리실리콘, 실리콘 다이옥사이드, 실리콘 니트라이드, 또는 이들의 조합을 포함하는, 제품.
KR1020137007177A 2010-08-27 2011-08-26 건조 동안의 높은 종횡비 구조물의 붕괴 방지 방법 KR20130100297A (ko)

Applications Claiming Priority (13)

Application Number Priority Date Filing Date Title
US37768910P 2010-08-27 2010-08-27
US61/377,689 2010-08-27
US37854810P 2010-08-31 2010-08-31
US61/378,548 2010-08-31
US201161437340P 2011-01-28 2011-01-28
US201161437352P 2011-01-28 2011-01-28
US61/437,340 2011-01-28
US61/437,352 2011-01-28
US201161476029P 2011-04-15 2011-04-15
US61/476,029 2011-04-15
US201161492880P 2011-06-03 2011-06-03
US61/492,880 2011-06-03
PCT/US2011/049347 WO2012027667A2 (en) 2010-08-27 2011-08-26 Method for preventing the collapse of high aspect ratio structures during drying

Publications (1)

Publication Number Publication Date
KR20130100297A true KR20130100297A (ko) 2013-09-10

Family

ID=45724088

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137007177A KR20130100297A (ko) 2010-08-27 2011-08-26 건조 동안의 높은 종횡비 구조물의 붕괴 방지 방법

Country Status (7)

Country Link
US (1) US20130280123A1 (ko)
JP (1) JP2013537724A (ko)
KR (1) KR20130100297A (ko)
CN (1) CN103081072A (ko)
SG (2) SG10201506742RA (ko)
TW (1) TWI559387B (ko)
WO (1) WO2012027667A2 (ko)

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5720572B2 (ja) * 2009-10-02 2015-05-20 三菱瓦斯化学株式会社 金属微細構造体のパターン倒壊抑制用処理液及びこれを用いた金属微細構造体の製造方法
JP6098741B2 (ja) * 2010-12-28 2017-03-22 セントラル硝子株式会社 ウェハの洗浄方法
JP6172306B2 (ja) * 2011-01-12 2017-08-02 セントラル硝子株式会社 保護膜形成用薬液
JP2013102109A (ja) * 2011-01-12 2013-05-23 Central Glass Co Ltd 保護膜形成用薬液
JP6063879B2 (ja) * 2011-03-18 2017-01-18 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se 集積回路デバイス、光デバイス、マイクロマシン及び線幅50nm以下のパターニングされた材料層を有する機械的精密デバイスの製造方法
JP6051562B2 (ja) * 2011-04-28 2016-12-27 セントラル硝子株式会社 撥水性保護膜形成用薬液
JP2012238844A (ja) * 2011-04-28 2012-12-06 Central Glass Co Ltd ウェハの洗浄方法
WO2012147716A1 (ja) 2011-04-28 2012-11-01 セントラル硝子株式会社 撥水性保護膜形成用薬液及びこれを用いたウェハの洗浄方法
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
US9546321B2 (en) 2011-12-28 2017-01-17 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
JP2015512971A (ja) 2012-02-15 2015-04-30 インテグリス,インコーポレイテッド 組成物を使用したcmp後除去及び使用方法
JP6119285B2 (ja) * 2012-03-27 2017-04-26 三菱瓦斯化学株式会社 微細構造体のパターン倒壊抑制用処理液及びこれを用いた微細構造体の製造方法
SG10201610541UA (en) 2012-05-18 2017-01-27 Entegris Inc Composition and process for stripping photoresist from a surface including titanium nitride
US9570343B2 (en) * 2012-06-22 2017-02-14 Avantor Performance Materials, Llc Rinsing solution to prevent TiN pattern collapse
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
EP2932525B1 (en) * 2012-12-14 2018-06-13 Basf Se Use of compositions comprising a surfactant and a hydrophobizer for avoiding anti pattern collapse when treating patterned materials with line-space dimensions of 50 nm or below
SG11201507014RA (en) 2013-03-04 2015-10-29 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
CN105683336A (zh) 2013-06-06 2016-06-15 高级技术材料公司 用于选择性蚀刻氮化钛的组合物和方法
CN112442374A (zh) 2013-07-31 2021-03-05 恩特格里斯公司 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂
JP2015035458A (ja) * 2013-08-08 2015-02-19 三菱瓦斯化学株式会社 微細構造体のパターン倒壊抑制用処理液及びこれを用いた微細構造体の製造方法
SG11201601158VA (en) 2013-08-30 2016-03-30 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
JP6405610B2 (ja) * 2013-09-25 2018-10-17 三菱瓦斯化学株式会社 高アスペクト比を有する微細構造体のパターン倒壊抑制用処理液およびこれを用いた微細構造体の製造方法
TWI654340B (zh) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
EP3084809A4 (en) 2013-12-20 2017-08-23 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
KR102290209B1 (ko) 2013-12-31 2021-08-20 엔테그리스, 아이엔씨. 규소 및 게르마늄을 선택적으로 에칭하기 위한 배합물
US20160340620A1 (en) 2014-01-29 2016-11-24 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
JP2016139774A (ja) * 2015-01-23 2016-08-04 富士フイルム株式会社 パターン処理方法、半導体基板製品の製造方法およびパターン構造の前処理液
US9976037B2 (en) * 2015-04-01 2018-05-22 Versum Materials Us, Llc Composition for treating surface of substrate, method and device
EP3602606A4 (en) 2017-03-24 2020-02-05 FUJIFILM Electronic Materials U.S.A, Inc. SURFACE TREATMENT METHODS AND COMPOSITIONS THEREFOR
CN109427579B (zh) * 2017-08-31 2021-02-26 长鑫存储技术有限公司 高深宽比结构的制备方法及结构
US10748757B2 (en) 2017-09-21 2020-08-18 Honeywell International, Inc. Thermally removable fill materials for anti-stiction applications
US10727044B2 (en) 2017-09-21 2020-07-28 Honeywell International Inc. Fill material to mitigate pattern collapse
US10954480B2 (en) * 2017-09-29 2021-03-23 Versum Materials Us, Llc Compositions and methods for preventing collapse of high aspect ratio structures during drying
WO2019083735A1 (en) * 2017-10-23 2019-05-02 Lam Research Ag SYSTEMS AND METHODS FOR PREVENTING THE STATIC FRICTION OF HIGH-SHAPE RATIO STRUCTURES AND / OR REPAIRING HIGH-SHAPE RATIO STRUCTURES
EP3704547B1 (en) 2017-11-03 2022-07-13 Basf Se Use of compositions comprising a siloxane-type additive for avoiding pattern collapse when treating patterned materials with line-space dimensions of 50 nm or below
IL275626B1 (en) 2018-01-05 2024-03-01 Fujifilm Electronic Mat Usa Inc Preparations and methods for surface treatment
SG11202010737UA (en) 2018-05-25 2020-12-30 Basf Se Use of compositions comprising a solvent mixture for avoiding pattern collapse when treating patterned materials with line-space dimensions of 50 nm or below
WO2020017329A1 (ja) * 2018-07-20 2020-01-23 富士フイルム株式会社 処理液および処理方法
US20200035494A1 (en) * 2018-07-30 2020-01-30 Fujifilm Electronic Materials U.S.A., Inc. Surface Treatment Compositions and Methods
US10629489B2 (en) 2018-09-24 2020-04-21 International Business Machines Corporation Approach to prevent collapse of high aspect ratio Fin structures for vertical transport Fin field effect transistor devices
WO2020072278A1 (en) 2018-10-03 2020-04-09 Lam Research Ag Gas mixture including hydrogen fluoride, alcohol and an additive for preventing stiction of and/or repairing high aspect ratio structures
EP3953768A1 (en) 2019-04-09 2022-02-16 Basf Se Composition comprising an ammonia-activated siloxane for avoiding pattern collapse when treating patterned materials with line-space dimensions of 50 nm or below
WO2020212173A1 (en) 2019-04-16 2020-10-22 Basf Se Composition for avoiding pattern collapse when treating patterned materials with line-space dimensions of 50 nm or below comprising a boron-type additive
CN113394074A (zh) * 2020-03-11 2021-09-14 长鑫存储技术有限公司 半导体结构的处理方法
JP2023527538A (ja) 2020-05-27 2023-06-29 ビーエーエスエフ ソシエタス・ヨーロピア 線状空間寸法が50nm以下のパターン材料を処理する際のパターン崩壊防止用のアンモニアとアルカノールとからなる組成物の使用法
WO2022008306A1 (en) 2020-07-09 2022-01-13 Basf Se Composition comprising a siloxane and an alkane for avoiding pattern collapse when treating patterned materials with line-space dimensions of 50 nm or below

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5501744A (en) * 1992-01-13 1996-03-26 Photon Energy, Inc. Photovoltaic cell having a p-type polycrystalline layer with large crystals
US5374502A (en) * 1992-04-23 1994-12-20 Sortec Corporation Resist patterns and method of forming resist patterns
JP3405784B2 (ja) * 1993-09-28 2003-05-12 昭和電工株式会社 ポリオレフィンの製造方法
CA2365869A1 (en) * 1999-03-08 2000-09-14 Richard D. Tillyer Crystalline hydrated dihydroxy open-acid simvastatin calcium salt
US7521405B2 (en) * 2002-08-12 2009-04-21 Air Products And Chemicals, Inc. Process solutions containing surfactants
US20040029395A1 (en) * 2002-08-12 2004-02-12 Peng Zhang Process solutions containing acetylenic diol surfactants
JP2001222118A (ja) * 1999-12-01 2001-08-17 Tokyo Ohka Kogyo Co Ltd ホトリソグラフィー用リンス液およびこれを用いた基板の処理方法
US7485611B2 (en) * 2002-10-31 2009-02-03 Advanced Technology Materials, Inc. Supercritical fluid-based cleaning compositions and methods
US7011716B2 (en) * 2003-04-29 2006-03-14 Advanced Technology Materials, Inc. Compositions and methods for drying patterned wafers during manufacture of integrated circuitry products
KR100795364B1 (ko) * 2004-02-10 2008-01-17 삼성전자주식회사 반도체 기판용 세정액 조성물, 이를 이용한 세정 방법 및도전성 구조물의 제조 방법
JP4912791B2 (ja) * 2006-08-21 2012-04-11 Jsr株式会社 洗浄用組成物、洗浄方法及び半導体装置の製造方法
US20080125342A1 (en) * 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
US7838425B2 (en) * 2008-06-16 2010-11-23 Kabushiki Kaisha Toshiba Method of treating surface of semiconductor substrate

Also Published As

Publication number Publication date
SG10201506742RA (en) 2015-10-29
US20130280123A1 (en) 2013-10-24
SG187959A1 (en) 2013-03-28
TWI559387B (zh) 2016-11-21
CN103081072A (zh) 2013-05-01
WO2012027667A2 (en) 2012-03-01
TW201232647A (en) 2012-08-01
WO2012027667A3 (en) 2012-05-10
JP2013537724A (ja) 2013-10-03

Similar Documents

Publication Publication Date Title
KR20130100297A (ko) 건조 동안의 높은 종횡비 구조물의 붕괴 방지 방법
KR102399990B1 (ko) 질화 규소를 포함하는 기판을 에칭하는 조성물 및 방법
KR102628802B1 (ko) 질화규소 에칭 조성물 및 방법
KR102545630B1 (ko) 화학 기계적 연마 후 세정 조성물
TWI710629B (zh) 用於自表面移除氧化鈰粒子之組成物及方法
KR102522365B1 (ko) 세리아 입자에 대한 cmp 후 세정 조성물
TWI752669B (zh) 濕式蝕刻組合物及方法
US11946148B2 (en) Hafnium oxide corrosion inhibitor
CN114269884A (zh) 用于高选择性氮化硅蚀刻的改良调配物
US20220106541A1 (en) Microelectronic Device Cleaning Composition
TW202330894A (zh) 微電子裝置清潔組合物
US20230323248A1 (en) Post cmp cleaning composition

Legal Events

Date Code Title Description
N231 Notification of change of applicant
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application