TWI801415B - 半導體裝置結構的形成方法 - Google Patents

半導體裝置結構的形成方法 Download PDF

Info

Publication number
TWI801415B
TWI801415B TW107131876A TW107131876A TWI801415B TW I801415 B TWI801415 B TW I801415B TW 107131876 A TW107131876 A TW 107131876A TW 107131876 A TW107131876 A TW 107131876A TW I801415 B TWI801415 B TW I801415B
Authority
TW
Taiwan
Prior art keywords
layer
forming
planarization process
semiconductor
remove
Prior art date
Application number
TW107131876A
Other languages
English (en)
Other versions
TW201916148A (zh
Inventor
魏宇晨
詹鈞傑
朱俊叡
賴人傑
林世和
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201916148A publication Critical patent/TW201916148A/zh
Application granted granted Critical
Publication of TWI801415B publication Critical patent/TWI801415B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67046Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly scrubbing means, e.g. brushes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Recrystallisation Techniques (AREA)
  • Weting (AREA)

Abstract

提供半導體裝置結構的形成方法,此方法包含在基底上方形成第一層。此方法包含在第一層上方形成停止層。此方法包含在停止層上方形成第二層。此方法包含在第二層上方進行第一平坦化製程直到暴露出停止層。此方法包含進行蝕刻製程以移除第二層、停止層和第一層的上部;以及在第一層上方進行第二平坦化製程。

Description

半導體裝置結構的形成方法
本發明實施例係有關於半導體技術,且特別是有關於半導體裝置結構的形成方法。
半導體積體電路(integrated circuit,IC)工業已經歷了快速成長。在積體電路材料和設計上的技術進步產生了數代積體電路,每一代都比前一代具有更小且更複雜的電路。然而,這些進步增加了加工與製造積體電路的複雜性。
在積體電路的發展史中,功能密度(即每一晶片區互連的裝置數目)增加,同時幾何尺寸(即製造過程中所產生的最小的組件或線路)縮小。此元件尺寸微縮化的製程一般來說具有增加生產效率與降低相關費用的益處。
然而,由於部件(feature)尺寸持續縮減,製造製程(例如光微影製程和蝕刻製程)持續變的更加難以進行。因此,形成越來越小尺寸的可靠的半導體裝置是個挑戰。
在一些實施例中,提供半導體裝置結構的形成方法,此方法包含在基底上方形成第一層;在第一層上方形成停止層;在停止層上方形成第二層;在第二層上方進行第一平坦 化製程直到暴露出停止層;進行蝕刻製程以移除停止層和第一層的上部;以及在第一層上方進行第二平坦化製程。
在一些其他實施例中,提供半導體裝置結構的形成方法,此方法包含提供第一層;在第一層上方形成第二層;在第二層上方進行第一平坦化製程以移除第二層;在第一層上方進行第一清潔製程,其中第一清潔製程使用包含第一螯合劑的第一清潔溶液;進行蝕刻製程以移除第一層的上部;以及在第一層上方進行第二平坦化製程。
在另外一些實施例中,提供半導體裝置結構的形成方法,此方法包含在基底上方形成第一半導體層,其中基底包含基底部分和在基底部分上方的鰭部分,且第一半導體層覆蓋鰭部分和基底部分;在第一半導體層上方形成第二半導體層;在第二半導體層上方進行平坦化製程以移除第二半導體層,其中平坦化製程使用研磨溶液;進行蝕刻製程以移除第一半導體層的上部,其中在蝕刻製程之後,突出結構形成於第一半導體層的下部上方;以及移除突出結構。
100‧‧‧半導體裝置結構
110‧‧‧基底
112‧‧‧基底部分
114‧‧‧鰭部分
116‧‧‧摻雜區
120‧‧‧絕緣層
130、430‧‧‧閘極介電層
140、160‧‧‧半導體層
150‧‧‧停止層
142、152、162、242‧‧‧頂表面
170‧‧‧殘留物
170a‧‧‧第一研磨溶液
180、190‧‧‧研磨設備
182、192‧‧‧碟形壓板
184、194‧‧‧壓板軸
186、196‧‧‧研磨墊
188、198‧‧‧研磨頭
200‧‧‧清潔設備
210‧‧‧刷頭
220‧‧‧噴嘴
230A‧‧‧第一清潔溶液
230B‧‧‧第二清潔溶液
240‧‧‧硬遮罩層
244‧‧‧部分
250‧‧‧光阻層
310‧‧‧清潔溶液
320‧‧‧槽體
410‧‧‧間隙壁
420‧‧‧介電層
422‧‧‧上表面
440‧‧‧功函數層
450‧‧‧閘極電極層
B‧‧‧底表面
G、G1‧‧‧閘極堆疊
H‧‧‧突出結構
N‧‧‧研磨漿供給口
P‧‧‧第二研磨溶液
S‧‧‧內側壁
T‧‧‧溝槽
根據以下的詳細說明並配合所附圖式可以更加理解本發明實施例。應注意的是,根據本產業的標準慣例,圖示中的各種部件並未必按照比例繪製。事實上,可能任意的放大或縮小各種部件的尺寸,以做清楚的說明。
第1A-1H圖為依據一些實施例之形成半導體裝置結構的製程的各種階段的剖面示意圖。
第1B-1圖為依據一些實施例之第1B圖的研磨設備和半導體裝置結構的透視圖。
第1E-1圖為依據一些實施例之第1E圖的研磨設備和半導體裝置結構的透視圖。
第1H-1圖為依據一些實施例之第1H圖的半導體裝置結構的上視圖。
第2A-2B圖為依據一些實施例之清潔半導體裝置結構的製程的各種階段的示意圖。
第3圖為依據一些實施例之第2圖的刷頭和槽體的側視圖。
第4A-4D圖為依據一些實施例之形成半導體裝置結構的製程的各種階段的剖面示意圖。
第4D-1圖為依據一些實施例之第4D圖的半導體裝置結構的上視圖。
第4D-2圖為依據一些實施例之顯示沿第4D-1圖的剖面線I-I’的半導體裝置結構的剖面示意圖。
要瞭解的是以下的揭露內容提供許多不同的實施例或範例,以實施提供之主體的不同部件。以下敘述各個構件及其排列方式的特定範例,以求簡化揭露內容的說明。當然,這些僅為範例並非用以限定本發明。例如,以下的揭露內容敘述了將一第一部件形成於一第二部件之上或上方,即表示其包含了所形成的上述第一部件與上述第二部件是直接接觸的實施例,亦包含了尚可將附加的部件形成於上述第一部件與上述第二部件之間,而使上述第一部件與上述第二部件可能未直接 接觸的實施例。此外,揭露內容中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。
再者,為了方便描述圖式中一元件或部件與另一(複數)元件或(複數)部件的關係,可使用空間相關用語,例如“在...之下”、“下方”、“下部”、“上方”、“上部”及類似的用語。除了圖式所繪示的方位之外,空間相關用語也涵蓋裝置在使用或操作中的不同方位。所述裝置也可被另外定位(例如,旋轉90度或者位於其他方位),並對應地解讀所使用的空間相關用語的描述。應當理解的是,可提供額外的操作於本發明實施例的方法之前、本發明實施例的方法中和本發明實施例的方法之後,且在本發明實施例的方法的其他實施例中,可取代或消除所述的一些操作。
可透過任何合適的方法將鰭圖案化。舉例來說,鰭可透過使用一個或多個光微影製程(包含雙重圖案化或多重圖案化製程)來圖案化。一般來說,雙重圖案化或多重圖案化製程結合了光微影和自對準製程,以創造具有較小間距的圖案,舉例來說,此圖案具有比使用單一直接光微影製程可獲得的間距更小的圖案。舉例來說,在一實施例中,犧牲層形成於基底上方並透過使用光微影製程圖案化。間隔物透過使用自對準製程形成於圖案化犧牲層旁邊。接著,移除犧牲層,且可接著使用剩下的間隔物將鰭圖案化。
第1A-1H圖為依據一些實施例之形成半導體裝置 結構的製程的各種階段的剖面示意圖。依據一些實施例,如第1A圖所示,提供基底110。依據一些實施例,基底110具有基底部分112和鰭部分114。依據一些實施例,鰭部分114在基底部分112上方。在一些實施例中,基底110為塊材(bulk)半導體基底,例如半導體晶圓。舉例來說,基底110為矽晶圓。
基底110可包含矽或其他元素半導體材料,例如鍺。在一些其他實施例中,基底110包含化合物半導體。化合物半導體可包含矽鍺、砷化鎵、碳化矽、砷化銦、磷化銦、其他合適的化合物半導體或前述之組合。
在一些實施例中,基底110包含絕緣層上覆半導體(semiconductor-on-insulator,SOI)基底。絕緣層上覆半導體基底可透過使用晶圓接合製程、矽膜轉移製程、植氧分離(separation by implantation of oxygen,SIMOX)製程、其他可應用的方法或前述之組合來製造。
在一些實施例中,各種裝置元件形成於基底110中及/或基底110上方。為了簡單和清楚起見,這些裝置元件未顯示於圖式中。這些裝置元件的範例包含電晶體、二極體、其他合適的元件或前述之組合。
舉例來說,電晶體可為金屬氧化物半導體場效電晶體(metal oxide semiconductor field effect transistors,MOSFET)、互補式金屬氧化物半導體(complementary metal oxide semiconductor,CMOS)電晶體、雙極性接面電晶體(bipolar junction transistors,BJT)、高壓電晶體、高頻電晶體、p型通道場效電晶體及/或n型通道場效電晶體 (p-channel/n-channel field effect transistors,PFETs/NFETs)等。進行各種製程來形成各種裝置元件。這些製程可包含沉積、蝕刻、佈植、光微影、退火、平坦化、一個或多個其他可應用的製程或前述之組合。
在一些實施例中,隔離部件(未顯示)形成於基底110中。使用隔離部件以定義主動區並電性隔離在主動區中形成於基底110中及/或基底110上方的各種裝置元件。在一些實施例中,隔離部件包含淺溝槽隔離(shallow trench isolation,STI)部件、矽局部氧化(local oxidation of silicon,LOCOS)部件、其他合適的隔離部件或前述之組合。
依據一些實施例,如第1A圖所示,絕緣層120形成於基底部分112上方並圍繞鰭部分114。依據一些實施例,絕緣層120由氧化物(例如二氧化矽)製成。依據一些實施例,絕緣層120透過化學氣相沉積(chemical vapor deposition,CVD)製程和回蝕刻製程形成。
依據一些實施例,如第1A圖所示,閘極介電層130形成於鰭部分114和絕緣層120上方。依據一些實施例,閘極介電層130順應性地覆蓋鰭部分114和絕緣層120。閘極介電層130由二氧化矽、氮化矽、氮氧化矽、有著高介電常數(high-k)的介電材料、其他合適的絕緣材料或前述之組合製成。依據一些實施例,閘極介電層130透過使用化學氣相沉積製程(CVD process)形成。
依據一些實施例,如第1A圖所示,半導體層140形成於閘極介電層130上方。半導體層140由矽(例如多晶矽)或其 他元素半導體材料(例如鍺)製成。在一些其他實施例中,半導體層140由化合物半導體製成。化合物半導體可包含矽鍺、砷化鎵、碳化矽、砷化銦、磷化銦、其他合適的化合物半導體或前述之組合。依據一些實施例,半導體層140透過使用化學氣相沉積製程形成。
依據一些實施例,如第1A圖所示,停止層150沉積於半導體層140上方。依據一些實施例,停止層150也可被稱為化學機械研磨(chemical mechanical polishing,CMP)停止層或蝕刻停止層。停止層150由氮化矽或其他合適的材料製成。依據一些實施例,停止層150透過使用化學氣相沉積製程或物理氣相沉積(physical vapor deposition,PVD)製程形成。
依據一些實施例,如第1A圖所示,半導體層160沉積於停止層150上方。半導體層160由矽(例如多晶矽)或其他元素半導體材料(例如鍺)製成。
依據一些實施例,半導體層160由化合物半導體製成。化合物半導體可包含矽鍺、砷化鎵、碳化矽、砷化銦、磷化銦、其他合適的化合物半導體或前述之組合。依據一些實施例,半導體層160透過使用化學氣相沉積製程形成。依據一些實施例,半導體層140和160由相同材料製成。依據一些實施例,停止層150和半導體層160由不同材料製成。
依據一些實施例,如第1B圖所示,在半導體層160上進行第一平坦化製程直到暴露出停止層150。依據一些實施例,如第1B圖所示,在第一平坦化製程之後,形成剩餘半導體層160的平坦頂表面162和停止層150的平坦頂表面152。
依據一些實施例,平坦頂表面152和162大致共平面或大致彼此對齊。在本發明實施例中,用語“大致共平面”可包含與共平面的幾何形狀有微小偏差的情況。偏差可能是由於製造過程造成的。依據一些實施例,第一平坦化製程包含化學機械研磨製程。
在第一平坦化製程期間,將基底110上下翻轉,如第1B-1圖所示。依據一些實施例,如第1B圖和第1B-1圖所示,第一平坦化製程使用研磨設備180來研磨半導體層160。依據一些實施例,研磨設備180包含碟形壓板182、壓板軸184、研磨墊186和研磨頭188。
依據一些實施例,碟形壓板182可繞其中心軸旋轉。依據一些實施例,壓板軸184連接至碟形壓板182。依據一些實施例,研磨墊186固定在碟形壓板182上。依據一些實施例,研磨墊186用於研磨半導體層160。
依據一些實施例,研磨頭188也被稱為晶圓載具。依據一些實施例,研磨頭188握持住基底110,且平坦頂表面162面對研磨墊186。依據一些實施例,研磨頭188可繞其中心軸旋轉。
依據一些實施例,第一平坦化製程使用研磨漿供給口N提供的第一研磨溶液170a。依據一些實施例,第一研磨溶液170a用於機械研磨半導體層160,以移除半導體層160。依據一些實施例,第一研磨溶液170a也被稱為研磨漿溶液。
依據一些實施例,第一研磨溶液170a包含研磨材料和聚合物材料。依據一些實施例,研磨材料包含金屬元素、 金屬離子及/或金屬氧化物。依據一些實施例,研磨材料包含Al、Ni、Fe、Ti、Al2O3、NiO、Fe2O3、TiO2、類似物或前述之組合。
在一些實施例中,在第一平坦化製程之後,在半導體裝置結構100上方進行水清潔製程,以移除留在半導體裝置結構100上方的第一研磨溶液170a。
依據一些實施例,如第1B圖所示,在第一平坦化製程和水清潔製程之後,第一研磨溶液170a的一部分保留在停止層150及/或半導體層160上方或在停止層150及/或半導體層160中,並形成殘留物170。依據一些實施例,殘留物170包含聚合物材料及/或研磨材料,例如金屬元素、金屬離子及/或金屬氧化物。
依據一些實施例,如第1C圖所示,在停止層150和半導體層160上進行第一清潔製程,以移除殘留物170。第2A-2B圖為依據一些實施例之清潔半導體裝置結構的製程的各種階段的示意圖。依據一些實施例,如第1C圖和第2A圖所示,在清潔設備200中進行第一清潔製程。
依據一些實施例,清潔設備200包含刷頭210和噴嘴220。依據一些實施例,第1C圖的半導體裝置結構100設置於刷頭210之間,以由刷頭210清潔。依據一些實施例,每個刷頭210可繞其中心軸旋轉。
在一些實施例中,在第一清潔製程期間,第一清潔溶液230A透過噴嘴220提供於半導體裝置結構100上。依據一些實施例,第一清潔溶液230A包含鹼性溶液。依據一些實施 例,鹼性溶液為弱鹼性溶液,例如約0.3wt%至約0.5wt%的NH4OH。殘留物170的聚合物材料及/或金屬氧化物可容易地溶解於鹼性溶液中。
依據一些實施例,如第1C圖所示,在第一清潔製程之後,在停止層150和半導體層160上進行第二清潔製程,以移除剩下的殘留物170。依據一些實施例,如第1C圖和第2B圖所示,在清潔設備200中進行第二清潔製程。
在一些實施例中,在第二清潔製程期間,第二清潔溶液230B透過噴嘴220提供於半導體裝置結構100上。依據一些實施例,第二清潔溶液230B包含螯合劑(chelating agent)。依據一些實施例,螯合劑能夠捕捉殘留物170的金屬。因此,有著螯合劑的第二清潔溶液230B可有效地移除剩下的殘留物170,特別是含金屬的殘留物。
依據一些實施例,螯合劑包含檸檬酸、草酸配位酸(oxalic ligand acid)、乙二胺四乙酸(ethylenediamine tetraacetic acid,EDTA)、羥乙二磷酸(1-羥基乙烷-1,1-二磷酸)、類似物或其他合適的螯合劑。依據一些實施例,第二清潔溶液230B為酸性溶液。依據一些實施例,第二清潔溶液230B的pH值在約2至約4的範圍中。依據一些實施例,第二清潔溶液230B的pH值小於第一清潔溶液230A的pH值。
在一些實施例中,在第二清潔製程之後,在半導體裝置結構100上方進行水清潔製程,以移除留在半導體裝置結構100上方的第二清潔溶液230B。
第3圖為依據一些實施例之第2圖的刷頭210和槽 體320的側視圖。為了簡單起見,第3圖僅顯示第2圖的其中一個刷頭210。在一些實施例中,來自其他製程的金屬殘留物(未顯示)保留在刷頭210上方。因此,如第3圖所示,在第一清潔製程之前,將刷頭210浸泡於槽體320中的清潔溶液310中,以移除在刷頭210上方的金屬殘留物。依據一些實施例,刷頭210直接接觸清潔溶液310。
依據一些實施例,清潔溶液310包含螯合劑。依據一些實施例,螯合劑能夠捕捉金屬。因此有著螯合劑的清潔溶液310可有效地移除在刷頭210上方的金屬殘留物。
依據一些實施例,螯合劑包含檸檬酸、草酸配位酸、乙二胺四乙酸(EDTA)、羥乙二磷酸(1-羥基乙烷-1,1-二磷酸)、類似物或其他適用於捕捉金屬的螯合劑。在一些實施例中,清潔溶液310和第2B圖的第二清潔溶液230B由相同材料製成。
依據一些實施例,如第1D圖所示,進行蝕刻製程來移除半導體層160、停止層150和半導體層140的上部。由於在第二清潔製程之後可能留下一些殘留物170,因此在蝕刻製程之後,可保留在剩下的殘留物170下方的停止層150和半導體層140的上部。
依據一些實施例,剩下的殘留物170、剩下的停止層150和剩下的半導體層140的上部共同形成突出結構H。依據一些實施例,突出結構H也被稱為隆起物(hump)。
依據一些實施例,如第1E圖所示,突出結構H透過第二平坦化製程移除。依據一些實施例,半導體層140透過第 二平坦化製程來平坦化。因此,依據一些實施例,在第二平坦化製程之後,半導體層140的頂表面142為大致平坦表面。因此,依據一些實施例,第二平坦化製程改善了進行於頂表面142上方之後續製程(例如光微影製程)的良率。
在本發明實施例中,用語“大致平坦表面”可包含與平坦表面的幾何形狀有微小偏差的情況。偏差可能是由於製造過程造成的。依據一些實施例,第二平坦化製程包含化學機械研磨製程。
在第二平坦化製程期間,將基底110上下翻轉,如第1E-1圖所示。特別來說,依據一些實施例,在研磨設備190中進行第二平坦化製程。依據一些實施例,研磨設備190包含碟形壓板192、壓板軸194、研磨墊196和研磨頭198。依據一些實施例,碟形壓板192可繞其中心軸旋轉。
依據一些實施例,壓板軸194連接至碟形壓板192。依據一些實施例,研磨墊196固定在碟形壓板192上。依據一些實施例,研磨墊196用於研磨半導體層140。依據一些實施例,研磨墊196也被稱為拋光墊。依據一些實施例,研磨墊196為多孔研磨墊。依據一些實施例,研磨墊196具有孔洞的平均直徑在約20μm至約100μm的範圍中。
依據一些實施例,研磨墊196的硬度小於第1B-1圖的研磨墊186的硬度。因此,依據一些實施例,第二平坦化製程使用軟的研磨墊196來進行溫和的研磨製程,以移除突出結構H,並防止半導體層140的頂表面142被刮傷。
依據一些實施例,研磨頭198也被稱為晶圓載具。 依據一些實施例,研磨頭198握持住基底110,且頂表面142面對研磨墊196。依據一些實施例,研磨頭198可繞其中心軸旋轉。
依據一些實施例,第二平坦化製程使用研磨漿供給口N提供的第二研磨溶液P。依據一些實施例,第二研磨溶液P為酸性溶液或鹼性溶液。第二研磨溶液P的pH值在約1至約12的範圍中。
在一些實施例中,第二研磨溶液P的pH值在約9至約13的範圍中,且金屬氧化物溶解於第二研磨溶液P中。在一些實施例中,第二研磨溶液P的pH值在約9至約10的範圍中。在一些實施例中,第二研磨溶液P的pH值小於7,且金屬元素溶解於第二研磨溶液P中。
依據一些實施例,第二研磨溶液P包含聚合物界面活性材料(polymer surfactant material)。聚合物界面活性材料可改善半導體層140的親水性。聚合物界面活性材料包含陰離子聚合物界面活性劑或陽離子聚合物界面活性劑。
依據一些實施例,陰離子聚合物界面活性劑包含聚丙烯酸銨、有機胺或類似物。依據一些實施例,陽離子聚合物界面活性劑包含四烷基銨化合物,例如溴化十六烷基三甲銨、1-氯化十烷基三甲基銨或類似物。依據一些實施例,第二研磨溶液P的溫度控制在約25℃至約40℃的範圍中,以避免降低聚合物界面活性劑的親水性。
依據一些實施例,第二研磨溶液P不具有研磨材料。因此,在第二平坦化製程期間,半導體層140的頂表面142可避免與研磨材料摩擦造成的刮傷。
在一些實施例中,用於第1B-1圖中的第一平坦化製程之第一研磨溶液170a的研磨材料濃度大於用於第1E-1圖中的第二平坦化製程之第二研磨溶液P的研磨材料濃度。
研磨材料包含金屬元素(例如Al、Ni、Fe或Ti)、金屬氧化物(例如Al2O3、NiO、Fe2O3或TiO2)、半導體氧化物(例如SiO2)、類似物及/或其他合適的研磨材料。因此,依據一些實施例,第二研磨溶液P不具有金屬元素、金屬氧化物及/或半導體氧化物。第二研磨溶液P的金屬元素、金屬氧化物及/或半導體氧化物的濃度小於第一研磨溶液170a的金屬元素、金屬氧化物及/或半導體氧化物的濃度。
在一些實施例中,在第二平坦化製程之後,在半導體裝置結構100上方進行水清潔製程,以移除留在半導體裝置結構100上方的第二研磨溶液P。在一些其他實施例中,第二清潔製程移除大部分或所有剩下的殘留物170,且因此不進行第二平坦化製程。
依據一些實施例,如第1F圖所示,硬遮罩層240形成於半導體層140的頂表面142上方。依據一些實施例,由於頂表面142為大致平坦表面,因此硬遮罩層240的頂表面242也可為大致平坦表面。
硬遮罩層240包含氮化物(例如氮化矽)、氧化物(例如二氧化矽)或其他合適的材料。依據一些實施例,硬遮罩層240可透過使用沉積製程形成,例如化學氣相沉積(CVD)製程或物理氣相沉積(PVD)製程。
依據一些實施例,如第1F圖所示,光阻層250形成 於硬遮罩層240上方。依據一些實施例,光阻層250的形成包含在硬遮罩層240上方形成光阻材料層,將光罩(未顯示)對準硬遮罩層240(或半導體層140),以及將光阻材料層曝光及顯影。
依據一些實施例,由於硬遮罩層240的頂表面242(或半導體層140的頂表面142)為大致平坦表面,因此改善了對準製程的良率。因此,依據一些實施例,也改善了在對準製程之後進行的曝光製程和顯影製程的良率。
因此,依據一些實施例,平坦頂表面242及/或142的形成改善了形成光阻層250的良率。依據一些實施例,也改善了使用光阻層250作為蝕刻遮罩之後續蝕刻製程的良率。依據一些實施例,光阻層250(或光阻材料層)由光阻材料製成,例如感光性聚合物材料。
依據一些實施例,如第1G圖所示,移除硬遮罩層240透過光阻層250暴露的部分。依據一些實施例,如第1G圖所示,在移除製程之後,保留了硬遮罩層240的一部分244。依據一些實施例,移除製程包含蝕刻製程,例如乾蝕刻製程。依據一些實施例,移除製程使用光阻層250作為蝕刻遮罩。
第1H-1圖為依據一些實施例之第1H圖的半導體裝置結構100的上視圖。第1H圖為依據一些實施例之沿第1H-1圖中的剖面線I-I’的半導體裝置結構100的剖面示意圖。第4A圖為依據一些實施例之顯示沿第1H-1圖中的剖面線II-II’的半導體裝置結構100的剖面示意圖。
依據一些實施例,如第1H圖、第1H-1圖和第4A圖所示,移除光阻層250。依據一些實施例,光阻層250的移除製 程包含蝕刻製程,例如濕蝕刻製程或乾蝕刻製程。
依據一些實施例,如第1H圖、第1H-1圖和第4A圖所示,移除在硬遮罩層240被移除部分下方之半導體層140和閘極介電層130的部分。依據一些實施例,半導體層140和閘極介電層130的此部分的移除製程包含蝕刻製程,例如乾蝕刻製程。
依據一些實施例,如第1H圖、第1H-1圖和第4A圖所示,在硬遮罩層240的部分242的其中一者下方之剩下的半導體層140和剩下的閘極介電層130共同形成閘極堆疊G。依據一些實施例,部分242下方的每個閘極堆疊G跨越一個或多個鰭部分114形成。
依據一些實施例,第4A-4D圖為依據一些實施例之形成半導體裝置結構的製程的各種階段的剖面示意圖。依據一些實施例,在第4A圖的步驟之後,如第4B圖所示,間隙壁410形成於閘極堆疊G的側壁上。
依據一些實施例,間隙壁410圍繞閘極堆疊G。依據一些實施例,間隙壁410包含絕緣材料,例如二氧化矽或氮化矽。依據一些實施例,間隙壁410透過使用化學氣相沉積製程和蝕刻製程(例如乾蝕刻製程)形成。
依據一些實施例,如第4B圖所示,摻雜區116形成於鰭部分114中。依據一些實施例,摻雜區116形成於透過閘極堆疊G和間隙壁410暴露的鰭部分114中。依據一些實施例,摻雜區116位於每個閘極堆疊G的兩側。依據一些實施例,兩相鄰摻雜區116為源極區和汲極區。
依據一些實施例,摻雜區116透過使用離子佈植製 程形成。依據一些實施例,離子佈植製程使用閘極堆疊G和間隙壁410作為離子佈植遮罩。依據一些實施例,進行離子佈植製程來將p型摻雜物(例如硼)或n型摻雜物(例如磷)引入鰭部分114。
因此,在一些實施例中(未顯示),應力源(stressor)透過合適製程形成於摻雜區116中。合適製程包含用於移除鰭部分114的一部分的移除製程和選擇性磊晶成長(selective epitaxial growth,SEG)製程。依據所得到之鰭式場效電晶體(Fin Field-Effect Transistor,FinFET)的期望類型,形成施加壓應力至在閘極堆疊G下方的通道區的應力源(例如SiGe應力源)或施加拉應力至在閘極堆疊G下方的通道區的應力源(例如SiC應力源)。
依據一些實施例,如第4B圖所示,介電層420沉積於絕緣層120、鰭部分114、閘極堆疊G、間隙壁410和硬遮罩層240上方。依據一些實施例,介電層420包含二氧化矽、氮氧化矽、硼矽酸鹽玻璃(borosilicate glass,BSG)、磷矽酸鹽玻璃(phosphoric silicate glass,PSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、氟矽酸鹽玻璃(fluorinated silicate glass,FSG)、低介電常數材料、多孔介電材料或前述之組合。依據一些實施例,介電層420透過使用化學氣相沉積製程、高密度電漿化學氣相沉積(high density plasma CVD,HDPCVD)製程、旋塗製程、濺鍍製程或前述之組合沉積。
之後,依據一些實施例,如第4B圖所示,在介電層420上進行平坦化製程直到暴露出閘極堆疊G的頂表面。依據 一些實施例,平坦化製程包含化學機械研磨(CMP)製程。在進行平坦化製程之後,介電層420具有大致平坦表面,以便於在其上進行的後續製程步驟。
依據一些實施例,如第4C圖所示,移除閘極堆疊G。依據一些實施例,用於移除閘極堆疊G的移除製程包含濕蝕刻製程、乾蝕刻製程或前述之組合。
依據一些實施例,如第4C圖所示,在移除閘極堆疊G之後,溝槽T形成於間隙壁410中。依據一些實施例,溝槽T通過間隙壁410和介電層420。依據一些實施例,溝槽T部分地暴露出鰭部分114。
依據一些實施例,如第4C圖所示,閘極介電層430形成於溝槽T的底表面B上方。依據一些實施例,閘極介電層430更形成於溝槽T的內側壁S、介電層420的上表面422和間隙壁410上。
依據一些實施例,閘極介電層430包含介電材料,例如高介電常數(high-k)材料。高介電常數材料包含氧化鉿(HfO2)、氧化矽鉿(HfSiO)、氮氧化矽鉿(HfSiON)、氧化鉭鉿(HfTaO)、氧化鈦鉿(HfTiO)、氧化鋯鉿(HfZrO)、其他合適的高介電常數介電材料或前述之組合。
依據一些實施例,高介電常數材料由金屬氧化物、金屬氮化物、金屬矽酸鹽、過渡金屬氧化物、過渡金屬氮化物、過渡金屬矽酸鹽、金屬的氮氧化物、氧化鋁、二氧化鉿-氧化鋁(HfO2-Al2O3)合金、其他合適的材料或前述之組合製成。
依據一些實施例,閘極介電層430由任何合適的製程沉積,例如原子層沉積(atomic layer deposition,ALD)製程、化學氣相沉積(CVD)、物理氣相沉積(PVD)製程、濺鍍、電鍍、其他合適的製程或前述之組合。在一些實施例中,需要將閘極介電層430進一步退火。
依據一些實施例,如第4C圖所示,功函數層440沉積於閘極介電層430上方。功函數層440為電晶體提供所期望的功函數,以增強裝置效能(包含改善臨界電壓)。
在形成N型鰭式場效電晶體(FinFET)的一些實施例中,功函數層440可為能夠提供適用於裝置的功函數值的n型金屬,功函數值例如等於或小於約4.5Ev。依據一些實施例,n型金屬包含金屬、金屬碳化物、金屬氮化物或前述之組合。舉例來說,n型金屬由鉭、氮化鉭或前述之組合製成。
另一方面,在形成P型鰭式場效電晶體的一些實施例中,功函數層440可為能夠提供適用於裝置的功函數值的p型金屬,功函數值例如等於或大於約4.8Ev。依據一些實施例,p型金屬包含金屬、金屬碳化物、金屬氮化物、其他合適的材料或前述之組合。
舉例來說,p型金屬由鈦、氮化鈦、其他合適的材料或前述之組合製成。依據一些實施例,功函數層440透過使用物理氣相沉積製程、化學氣相沉積製程、原子層沉積製程、電鍍製程、其他合適的方法或前述之組合沉積。
依據一些實施例,如第4C圖所示,閘極電極層450(也被稱為金屬閘極電極層)沉積於功函數層440上方,以填 充溝槽T。依據一些實施例,閘極電極層450包含合適的金屬材料,例如鋁、鎢、金、鉑、鈷、其他合適的金屬、前述之合金或前述之組合。在一些實施例中,閘極電極層450透過使用物理氣相沉積製程、電鍍製程、類似方法或前述之組合沉積。
依據一些實施例,第4D-1圖為依據一些實施例之第4D圖的半導體裝置結構100的上視圖。第4H圖為依據一些實施例之顯示沿第4H-1圖中的剖面線II-II’的半導體裝置結構100的剖面示意圖。第4H-2圖為依據一些實施例之顯示沿第4H-1圖中的剖面線I-I’的半導體裝置結構100的剖面示意圖。
依據一些實施例,如第4D圖、第4D-1圖和第4D-2圖所示,移除在溝槽T之外的閘極電極層450、功函數層440和閘極介電層430。依據一些實施例,移除製程包含平坦化製程。
依據一些實施例,平坦化製程包含化學機械研磨(CMP)製程。依據一些實施例,在溝槽T中的閘極電極層450、功函數層440和閘極介電層430共同形成閘極堆疊G1。
依據一些實施例,提供半導體裝置結構的形成方法。此方法(用於形成半導體裝置結構)包含進行蝕刻製程,以移除半導體層的上部。在蝕刻製程之後,留在半導體層上方的殘留物和在殘留物下方之半導體層的上部共同形成突出結構。此方法包含在半導體層上方進行平坦化製程,以移除突出結構,從而將半導體層的頂表面平坦化。由於平坦化製程改善了半導體層的頂表面的平整度,因此改善了在頂表面上方進行之後續製程的良率。此方法包含在蝕刻製程之前進行清潔製程,以移除殘留物,從而防止或減少突出結構的形成。
依據一些實施例,提供半導體裝置結構的形成方法。此方法包含在基底上方形成第一半導體層。此方法包含在第一半導體層上方形成停止層。此方法包含在停止層上方形成第二半導體層。此方法包含在第二半導體層上方進行第一平坦化製程直到暴露出停止層。此方法包含進行蝕刻製程以移除第二半導體層、停止層和第一半導體層的上部,此方法包含在第一半導體層上方進行第二平坦化製程。
在一些其他實施例中,其中第一平坦化製程包含第一化學機械研磨製程,且第二平坦化製程包含第二化學機械研磨製程。
在一些其他實施例中,其中第一平坦化製程使用第一研磨墊來研磨第二半導體層,且第二平坦化製程使用第二研磨墊來研磨第一半導體層,第一研磨墊具有第一硬度,第二研磨墊具有第二硬度,且第二硬度小於第一硬度。
在一些其他實施例中,其中第一半導體層和第二半導體層由相同材料製成,且停止層和第二半導體層由不同材料製成。
在一些其他實施例中,其中第一平坦化製程使用第一研磨溶液,第二平坦化製程使用第二研磨溶液,且第一研磨溶液的第一研磨材料濃度大於第二研磨溶液的第二研磨材料濃度。
在一些其他實施例中,其中第一平坦化製程使用研磨溶液,且在第一平坦化製程之後,研磨溶液的一部分留在停止層上方或停止層中,並形成殘留物。
在一些其他實施例中,其中在蝕刻製程之後,突出結構形成於第一半導體層的下部上方。
在一些其他實施例中,其中殘留物、殘留物下方的停止層和第一半導體層的上部共同形成突出結構,且第二平坦化製程移除突出結構。
依據一些實施例,提供半導體裝置結構的形成方法。此方法包含在基底上方形成第一半導體層。此方法包含在第一半導體層上方形成停止層。此方法包含在停止層上方形成第二半導體層。此方法包含在第二半導體層上方進行第一平坦化製程直到暴露出停止層。第一平坦化製程使用包含研磨材料的研磨溶液。留在停止層上方或停止層中的研磨材料的部分形成殘留物。此方法包含在停止層上方進行第一清潔製程,以移除殘留物。第一清潔製程使用包含第一螯合劑的第一清潔溶液。此方法包含進行蝕刻製程以移除停止層和第一半導體層的上部。此方法包含在第一半導體層上方進行第二平坦化製程。
在一些其他實施例中,其中第一平坦化製程使用包含研磨材料的研磨溶液,在第一平坦化製程之後,研磨材料的一部分留在第一半導體層上方,並形成殘留物,且在第一半導體層上方進行的第一清潔製程移除殘留物。
在一些其他實施例中,其中第一清潔溶液為酸性溶液,第一螯合劑包含檸檬酸、草酸配位酸、乙二胺四乙酸或羥乙二磷酸。
在一些其他實施例中,其中第一清潔製程使用刷頭,在第一清潔製程之前,刷頭浸泡於第二清潔溶液中,且第 二清潔溶液包含第二螯合劑。
在一些其他實施例中,其中第二螯合劑包含檸檬酸、草酸配位酸、乙二胺四乙酸或羥乙二磷酸。
在一些其他實施例中,上述方法更包含在第一平坦化製程之後和第一清潔製程之前,在第一半導體層上方進行第二清潔製程,其中第二清潔製程使用第二清潔溶液,且第二清潔溶液為鹼性溶液。
在一些其他實施例中,其中在第一平坦化製程之後,蝕刻製程進一步移除留在第一半導體層上方的第二半導體層。
依據一些實施例,提供半導體裝置結構的形成方法。此方法包含在基底上方形成第一半導體層,基底包含基底部分和在基底部分上方的鰭部分,且第一半導體層覆蓋鰭部分和基底部分。此方法包含在第一半導體層上方形成第二半導體層。此方法包含在第二半導體層上方進行平坦化製程以移除第二半導體層,平坦化製程使用研磨溶液。此方法包含進行蝕刻製程以移除第一半導體層的上部。在蝕刻製程之後,剩下的來自研磨溶液的殘留物和在殘留物下方之第一半導體層的上部共同形成成突出結構。此方法包含移除突出結構。
在一些其他實施例中,其中突出結構由來自研磨溶液的殘留物和在殘留物下方的第一半導體層的上部組成。
在一些其他實施例中,上述方法更包含在進行平坦化製程之後和進行蝕刻製程之前,在第一半導體層上方進行第一清潔製程來移除殘留物,其中第一清潔製程使用包含螯合 劑的第一清潔溶液。
在一些其他實施例中,上述方法更包含在進行平坦化製程之後和進行第一清潔製程之前,在第一半導體層上方進行第二清潔製程,其中第二清潔製程使用第二清潔溶液,且第二清潔溶液為鹼性溶液。
在一些其他實施例中,上述方法更包含在移除突出結構之後,在第一半導體層上方形成遮罩層,其中第一半導體層的一部分不被遮罩層覆蓋;以及移除第一半導體層的此部分。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更加了解本發明實施例。本技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本發明的發明精神與範圍。在不背離本發明的發明精神與範圍之前提下,可對本發明實施例進行各種改變、置換或修改。
100‧‧‧半導體裝置結構
110‧‧‧基底
114‧‧‧鰭部分
120‧‧‧絕緣層
130‧‧‧閘極介電層
140‧‧‧半導體層
142‧‧‧頂表面
H‧‧‧突出結構

Claims (10)

  1. 一種半導體裝置結構的形成方法,包括:在一基底上方形成一第一層;在該第一層上方形成一停止層;在該停止層上方形成一第二層;在該第二層上方進行一第一平坦化製程直到暴露出該停止層;進行一蝕刻製程以移除該停止層和該第一層的上部;以及在該第一層上方進行一第二平坦化製程,其中該第二平坦化製程使用的一研磨墊的硬度小於該第一平坦製程使用的另一研磨墊的硬度。
  2. 如申請專利範圍第1項所述之半導體裝置結構的形成方法,其中該第一層和該第二層由相同材料製成,且該停止層和該第二層由不同材料製成。
  3. 如申請專利範圍第1或2項所述之半導體裝置結構的形成方法,其中該第一平坦化製程使用一研磨溶液,且在該第一平坦化製程之後,該研磨溶液的一部分留在該停止層上方或該停止層中,並形成殘留物,其中在該蝕刻製程之後,突出結構形成於該第一層的下部上方。
  4. 一種半導體裝置結構的形成方法,包括:提供一第一層;在該第一層上方形成一第二層;在該第二層上方進行一第一平坦化製程以移除該第二層; 在該第一層上方進行一第一清潔製程,其中該第一清潔製程使用包含一第一螯合劑的一第一清潔溶液;進行一蝕刻製程以移除該第一層的上部;以及在該第一層上方進行一第二平坦化製程,其中該第二平坦化製程使用的一研磨墊的硬度小於該第一平坦製程使用的另一研磨墊的硬度。
  5. 一種半導體裝置結構的形成方法,包括:在一基底上方形成一第一半導體層,其中該基底包含一基底部分和在該基底部分上方的一鰭部分,且該第一半導體層覆蓋該鰭部分和該基底部分;在該第一半導體層上方形成一第二半導體層;在該第二半導體層上方進行一第一平坦化製程以移除該第二半導體層,其中該第一平坦化製程使用一研磨溶液;進行一蝕刻製程以移除該第一半導體層的上部,其中在該蝕刻製程之後,在該第一半導體層的下部上方形成突出結構;以及在該第一半導體層的該下部上方進行一第二平坦化製程以移除該些突出結構,其中該第二平坦化製程使用不具有研磨材料的一研磨溶液。
  6. 一種半導體裝置結構的形成方法,包括:在一基底上方形成一第一層,其中該第一層由一半導體材料製成;在該第一層上方形成一停止層;在該停止層上方形成一第二層,其中該第二層直接接觸該 停止層;在該第二層上方使用一第一研磨溶液進行一第一平坦化製程以移除該第二層;進行一蝕刻製程以移除該停止層以及該第一層的上部;以及在該第一層上方使用一第二研磨溶液進行一第二平坦化製程,其中該第二研磨溶液不具有研磨材料。
  7. 如申請專利範圍第6項所述之半導體裝置結構的形成方法,其中移除該第二層包括:在該第二層上方進行該第一平坦化製程直到暴露出該停止層,其中在該第一平坦化製程之後,該第一研磨溶液的一部分留在該停止層上方或該停止層中,並形成殘留物。
  8. 如申請專利範圍第7項所述的半導體裝置結構的形成方法,其中在該蝕刻製程之後,突出結構形成於該第一層的下部上方,且該第二平坦化製程移除該些突出結構。
  9. 一種半導體裝置結構的形成方法,包括:提供一第一層;在該第一層上方形成一第二層,其中該第二層由一半導體材料製成;在該第二層上方進行一第一平坦化製程以移除該第二層;在該第一層上方進行一第一清潔製程;進行一蝕刻製程以移除該第一層的上部;以及在該第一層上方進行一第二平坦化製程, 其中該第二平坦化製程使用的一研磨墊的硬度小於該第一平坦製程使用的另一研磨墊的硬度。
  10. 一種半導體裝置結構的形成方法,包括:在一基底上方形成一第一層,其中該基底包含一基底部分和在該基底部分上方的一鰭部分,且該第一層覆蓋該鰭部分和該基底部分;在該第一層上方形成一第二層;在該第二層上方進行一第一平坦化製程以移除該第二層;進行一蝕刻製程以移除該第一層的上部,其中在該蝕刻製程之後,在該第一層的下部上方形成突出結構;以及在該第一層的該下部上方進行一第二平坦化製程以移除該些突出結構,其中該第二平坦化製程使用不具有研磨材料的一研磨溶液。
TW107131876A 2017-09-28 2018-09-11 半導體裝置結構的形成方法 TWI801415B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762564396P 2017-09-28 2017-09-28
US62/564,396 2017-09-28
US16/027,869 US10636673B2 (en) 2017-09-28 2018-07-05 Method for forming semiconductor device structure
US16/027,869 2018-07-05

Publications (2)

Publication Number Publication Date
TW201916148A TW201916148A (zh) 2019-04-16
TWI801415B true TWI801415B (zh) 2023-05-11

Family

ID=65809263

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107131876A TWI801415B (zh) 2017-09-28 2018-09-11 半導體裝置結構的形成方法

Country Status (3)

Country Link
US (3) US10636673B2 (zh)
CN (1) CN109585374A (zh)
TW (1) TWI801415B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10636673B2 (en) * 2017-09-28 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure
US20230154762A1 (en) * 2021-11-12 2023-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Device and Method of Forming the Same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040229461A1 (en) * 2003-05-12 2004-11-18 Michael Darsillo Chemical mechanical polishing compositions for copper and associated materials and method of using same
TW201542810A (zh) * 2014-01-29 2015-11-16 Entegris Inc 化學機械研磨後配方及其使用方法
US20170221723A1 (en) * 2016-02-01 2017-08-03 United Microelectronics Corp. Method of fabricating semiconductor structure using planarization process and cleaning process

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5498565A (en) * 1991-11-29 1996-03-12 Sony Corporation Method of forming trench isolation having polishing step and method of manufacturing semiconductor device
JP2874486B2 (ja) * 1991-11-29 1999-03-24 ソニー株式会社 ポリッシュ工程を備えたトレンチアイソレーションの形成方法及び半導体装置の製造方法
US5494857A (en) * 1993-07-28 1996-02-27 Digital Equipment Corporation Chemical mechanical planarization of shallow trenches in semiconductor substrates
US5665202A (en) * 1995-11-24 1997-09-09 Motorola, Inc. Multi-step planarization process using polishing at two different pad pressures
US5721172A (en) * 1996-12-02 1998-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned polish stop layer hard masking method for forming planarized aperture fill layers
US6001730A (en) * 1997-10-20 1999-12-14 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for polishing copper interconnects which use tantalum-based barrier layers
JP3111979B2 (ja) * 1998-05-20 2000-11-27 日本電気株式会社 ウエハの洗浄方法
US6431959B1 (en) * 1999-12-20 2002-08-13 Lam Research Corporation System and method of defect optimization for chemical mechanical planarization of polysilicon
US20020005539A1 (en) * 2000-04-04 2002-01-17 John Whitman Spin coating for maximum fill characteristic yielding a planarized thin film surface
JP2001319928A (ja) * 2000-05-08 2001-11-16 Hitachi Ltd 半導体集積回路装置およびその製造方法
US7220322B1 (en) * 2000-08-24 2007-05-22 Applied Materials, Inc. Cu CMP polishing pad cleaning
US7323416B2 (en) * 2001-03-14 2008-01-29 Applied Materials, Inc. Method and composition for polishing a substrate
TW584899B (en) * 2001-07-20 2004-04-21 Nutool Inc Planar metal electroprocessing
US20040077295A1 (en) * 2002-08-05 2004-04-22 Hellring Stuart D. Process for reducing dishing and erosion during chemical mechanical planarization
CN1245740C (zh) * 2002-08-27 2006-03-15 旺宏电子股份有限公司 化学机械研磨工艺
JP2004193377A (ja) * 2002-12-12 2004-07-08 Toshiba Corp 半導体装置の製造方法
US7172970B2 (en) * 2003-03-11 2007-02-06 United Microelectronics Corp. Polish method for semiconductor device planarization
US7250114B2 (en) * 2003-05-30 2007-07-31 Lam Research Corporation Methods of finishing quartz glass surfaces and components made by the methods
US7201784B2 (en) * 2003-06-30 2007-04-10 Intel Corporation Surfactant slurry additives to improve erosion, dishing, and defects during chemical mechanical polishing of copper damascene with low k dielectrics
CN100549236C (zh) * 2004-04-09 2009-10-14 上海月旭半导体科技有限公司 半导体芯片化学机械研磨后清洗液
JP2006210508A (ja) * 2005-01-26 2006-08-10 Sony Corp 半導体装置およびその製造方法
US20070187258A1 (en) * 2006-02-15 2007-08-16 Tianbao Du Method for electrochemically polishing a conductive material on a substrate
US20070235345A1 (en) * 2006-04-07 2007-10-11 Applied Materials, Inc. Polishing method that suppresses hillock formation
US20070240734A1 (en) * 2006-04-14 2007-10-18 Ching-Wen Teng Method of cleaning post-cmp wafer
US20080076688A1 (en) * 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US7799689B2 (en) * 2006-11-17 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd Method and apparatus for chemical mechanical polishing including first and second polishing
JP4696086B2 (ja) * 2007-02-20 2011-06-08 信越半導体株式会社 シリコン単結晶ウエーハの仕上げ研磨方法及びシリコン単結晶ウエーハ
US7919446B1 (en) * 2007-12-28 2011-04-05 Intermolecular, Inc. Post-CMP cleaning compositions and methods of using same
US8765653B2 (en) 2009-07-07 2014-07-01 Air Products And Chemicals, Inc. Formulations and method for post-CMP cleaning
US8367534B2 (en) 2010-09-17 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniformity reduction in semiconductor planarization
US8308964B2 (en) * 2010-09-30 2012-11-13 Seagate Technology Llc Planarization method for media
CN102623327B (zh) * 2011-01-31 2015-04-29 中芯国际集成电路制造(上海)有限公司 一种化学机械研磨方法
US8580690B2 (en) * 2011-04-06 2013-11-12 Nanya Technology Corp. Process of planarizing a wafer with a large step height and/or surface area features
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8513128B2 (en) * 2011-06-17 2013-08-20 United Microelectronics Corp. Poly opening polish process
US8728934B2 (en) * 2011-06-24 2014-05-20 Tessera, Inc. Systems and methods for producing flat surfaces in interconnect structures
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
CN104347413B (zh) * 2013-08-02 2016-12-28 中芯国际集成电路制造(上海)有限公司 一种制作FinFET半导体器件的方法
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
CN103943491B (zh) * 2014-04-28 2016-08-24 华进半导体封装先导技术研发中心有限公司 在转接板工艺中采用cmp对基板表面进行平坦化的方法
US9799565B2 (en) 2014-12-24 2017-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming semiconductor device structure with gate
JP6540430B2 (ja) * 2015-09-28 2019-07-10 東京エレクトロン株式会社 基板処理方法及び基板処理装置
CN106571294B (zh) 2015-10-13 2020-03-10 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9917017B2 (en) 2015-12-29 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Replacement gate process for semiconductor devices
US9773682B1 (en) * 2016-07-05 2017-09-26 United Microelectronics Corp. Method of planarizing substrate surface
CN106115612B (zh) * 2016-07-11 2017-11-17 中国电子科技集团公司第四十五研究所 一种晶圆平坦化方法
US11094554B2 (en) * 2017-03-31 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Polishing process for forming semiconductor device structure
US10170343B1 (en) * 2017-06-30 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Post-CMP cleaning apparatus and method with brush self-cleaning function
US10636673B2 (en) * 2017-09-28 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure
US11292101B2 (en) * 2017-11-22 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical mechanical polishing apparatus and method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040229461A1 (en) * 2003-05-12 2004-11-18 Michael Darsillo Chemical mechanical polishing compositions for copper and associated materials and method of using same
TW201542810A (zh) * 2014-01-29 2015-11-16 Entegris Inc 化學機械研磨後配方及其使用方法
US20170221723A1 (en) * 2016-02-01 2017-08-03 United Microelectronics Corp. Method of fabricating semiconductor structure using planarization process and cleaning process

Also Published As

Publication number Publication date
US11239092B2 (en) 2022-02-01
US20200258758A1 (en) 2020-08-13
US10636673B2 (en) 2020-04-28
US20190096693A1 (en) 2019-03-28
CN109585374A (zh) 2019-04-05
US12009222B2 (en) 2024-06-11
US20220115243A1 (en) 2022-04-14
TW201916148A (zh) 2019-04-16

Similar Documents

Publication Publication Date Title
TWI594303B (zh) 半導體結構及其形成方法
US9997633B2 (en) Semiconductor devices, FinFET devices and methods of forming the same
US9537010B2 (en) Semiconductor device structure and method for forming the same
US9601593B2 (en) Semiconductor device structure and method for forming the same
US10163624B2 (en) Semiconductor structure with etched fin structure
US10522411B2 (en) Method for forming semiconductor device structure with gate
US9865697B1 (en) Semiconductor device structure and method for forming the same
US11784240B2 (en) Semiconductor device structure with barrier layer
US9859113B2 (en) Structure and method of semiconductor device structure with gate
US12009222B2 (en) Method for forming semiconductor device structure
US11121130B2 (en) Structure and formation method of semiconductor device with gate stacks
US9941152B2 (en) Mechanism for forming metal gate structure
US9502499B2 (en) Semiconductor device structure having multi-layered isolation trench structures
US10276692B1 (en) Fin diode structure and methods thereof
US10090397B2 (en) Semiconductor device structure and method for forming the same
US9484207B2 (en) Semiconductor device structure and method for forming the same
US20230326999A1 (en) Semiconductor device structure and method for forming the same
US20240120334A1 (en) Semiconductor device structure with gate dielectric layer and method for forming the same
US10276469B2 (en) Method for forming semiconductor device structure
CN111554636A (zh) 半导体结构及其形成方法
CN113327857A (zh) 半导体结构及其形成方法
CN111312812A (zh) 半导体结构及其形成方法