CN109585374A - 半导体装置结构的形成方法 - Google Patents
半导体装置结构的形成方法 Download PDFInfo
- Publication number
- CN109585374A CN109585374A CN201811041595.XA CN201811041595A CN109585374A CN 109585374 A CN109585374 A CN 109585374A CN 201811041595 A CN201811041595 A CN 201811041595A CN 109585374 A CN109585374 A CN 109585374A
- Authority
- CN
- China
- Prior art keywords
- layer
- semiconductor layer
- semiconductor
- stop
- technique
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000034 method Methods 0.000 title claims abstract description 204
- 239000004065 semiconductor Substances 0.000 title claims abstract description 149
- 230000008569 process Effects 0.000 claims abstract description 100
- 239000000758 substrate Substances 0.000 claims abstract description 29
- 239000000243 solution Substances 0.000 description 70
- 239000000463 material Substances 0.000 description 47
- 238000000227 grinding Methods 0.000 description 40
- 238000004140 cleaning Methods 0.000 description 31
- 229910052751 metal Inorganic materials 0.000 description 28
- 239000002184 metal Substances 0.000 description 21
- 239000002738 chelating agent Substances 0.000 description 17
- MUBZPKHOEPUJKR-UHFFFAOYSA-N Oxalic acid Chemical compound OC(=O)C(O)=O MUBZPKHOEPUJKR-UHFFFAOYSA-N 0.000 description 15
- 238000005229 chemical vapour deposition Methods 0.000 description 14
- 238000003825 pressing Methods 0.000 description 14
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 12
- KRKNYBCHXYNGOX-UHFFFAOYSA-N citric acid Chemical compound OC(=O)CC(O)(C(O)=O)CC(O)=O KRKNYBCHXYNGOX-UHFFFAOYSA-N 0.000 description 12
- 229910044991 metal oxide Inorganic materials 0.000 description 12
- 150000004706 metal oxides Chemical group 0.000 description 12
- 229920002120 photoresistant polymer Polymers 0.000 description 12
- 238000005240 physical vapour deposition Methods 0.000 description 10
- 239000000126 substance Substances 0.000 description 10
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 9
- 239000002253 acid Substances 0.000 description 9
- 150000001875 compounds Chemical class 0.000 description 9
- 238000000151 deposition Methods 0.000 description 9
- 229910052710 silicon Inorganic materials 0.000 description 9
- 239000010703 silicon Substances 0.000 description 9
- 230000008021 deposition Effects 0.000 description 8
- 230000005669 field effect Effects 0.000 description 8
- 238000001259 photo etching Methods 0.000 description 8
- KCXVZYZYPLLWCC-UHFFFAOYSA-N EDTA Chemical compound OC(=O)CN(CC(O)=O)CCN(CC(O)=O)CC(O)=O KCXVZYZYPLLWCC-UHFFFAOYSA-N 0.000 description 7
- 239000012670 alkaline solution Substances 0.000 description 7
- 230000015572 biosynthetic process Effects 0.000 description 7
- 239000004020 conductor Substances 0.000 description 7
- 238000010586 diagram Methods 0.000 description 7
- 229960001484 edetic acid Drugs 0.000 description 7
- 238000005516 engineering process Methods 0.000 description 7
- 238000003801 milling Methods 0.000 description 7
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 6
- 230000006870 function Effects 0.000 description 6
- 238000004519 manufacturing process Methods 0.000 description 6
- 239000000377 silicon dioxide Substances 0.000 description 6
- 238000001312 dry etching Methods 0.000 description 5
- 238000005530 etching Methods 0.000 description 5
- 230000012447 hatching Effects 0.000 description 5
- 235000006408 oxalic acid Nutrition 0.000 description 5
- 229920000642 polymer Polymers 0.000 description 5
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 4
- DBVJJBKOTRCVKF-UHFFFAOYSA-N Etidronic acid Chemical compound OP(=O)(O)C(O)(C)P(O)(O)=O DBVJJBKOTRCVKF-UHFFFAOYSA-N 0.000 description 4
- 229910052581 Si3N4 Inorganic materials 0.000 description 4
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 4
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 4
- 239000003795 chemical substances by application Substances 0.000 description 4
- 239000003989 dielectric material Substances 0.000 description 4
- 238000003701 mechanical milling Methods 0.000 description 4
- 150000004767 nitrides Chemical class 0.000 description 4
- 238000007254 oxidation reaction Methods 0.000 description 4
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 4
- 229910010271 silicon carbide Inorganic materials 0.000 description 4
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 4
- 239000002002 slurry Substances 0.000 description 4
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 4
- -1 Al2O3 Chemical class 0.000 description 3
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 3
- 108091006146 Channels Proteins 0.000 description 3
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 3
- 229910000673 Indium arsenide Inorganic materials 0.000 description 3
- 239000011149 active material Substances 0.000 description 3
- 229910052782 aluminium Inorganic materials 0.000 description 3
- 238000000231 atomic layer deposition Methods 0.000 description 3
- 230000008859 change Effects 0.000 description 3
- 229910052593 corundum Inorganic materials 0.000 description 3
- 229910052732 germanium Inorganic materials 0.000 description 3
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 3
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 3
- 229910052737 gold Inorganic materials 0.000 description 3
- 239000010931 gold Substances 0.000 description 3
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 3
- 150000002500 ions Chemical class 0.000 description 3
- MWUXSHHQAYIFBG-UHFFFAOYSA-N nitrogen oxide Inorganic materials O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 description 3
- 230000003647 oxidation Effects 0.000 description 3
- 230000000717 retained effect Effects 0.000 description 3
- 239000005368 silicate glass Substances 0.000 description 3
- 239000010936 titanium Substances 0.000 description 3
- 229910052719 titanium Inorganic materials 0.000 description 3
- 229910001845 yogo sapphire Inorganic materials 0.000 description 3
- QGZKDVFQNNGYKY-UHFFFAOYSA-O Ammonium Chemical compound [NH4+] QGZKDVFQNNGYKY-UHFFFAOYSA-O 0.000 description 2
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 2
- 229910045601 alloy Inorganic materials 0.000 description 2
- 239000000956 alloy Substances 0.000 description 2
- 239000004411 aluminium Substances 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 229920006318 anionic polymer Polymers 0.000 description 2
- 238000000137 annealing Methods 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 239000005380 borophosphosilicate glass Substances 0.000 description 2
- 239000005388 borosilicate glass Substances 0.000 description 2
- 229920006317 cationic polymer Polymers 0.000 description 2
- 230000000295 complement effect Effects 0.000 description 2
- 239000013078 crystal Substances 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 239000002019 doping agent Substances 0.000 description 2
- 238000009713 electroplating Methods 0.000 description 2
- 239000007943 implant Substances 0.000 description 2
- 229910052742 iron Inorganic materials 0.000 description 2
- JEIPFZHSYJVQDO-UHFFFAOYSA-N iron(III) oxide Inorganic materials O=[Fe]O[Fe]=O JEIPFZHSYJVQDO-UHFFFAOYSA-N 0.000 description 2
- 238000002955 isolation Methods 0.000 description 2
- 150000001247 metal acetylides Chemical class 0.000 description 2
- 229910021645 metal ion Inorganic materials 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 2
- 238000007747 plating Methods 0.000 description 2
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 2
- 238000005498 polishing Methods 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 239000002861 polymer material Substances 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- 125000006850 spacer group Chemical group 0.000 description 2
- 239000004094 surface-active agent Substances 0.000 description 2
- 229910052715 tantalum Inorganic materials 0.000 description 2
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 2
- 230000010148 water-pollination Effects 0.000 description 2
- 238000001039 wet etching Methods 0.000 description 2
- PXYTZBDGXVCBIN-UHFFFAOYSA-N (1-hydroxy-1-phosphonoethyl)phosphonic acid;phosphoric acid Chemical compound OP(O)(O)=O.OP(=O)(O)C(O)(C)P(O)(O)=O PXYTZBDGXVCBIN-UHFFFAOYSA-N 0.000 description 1
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- 108010075750 P-Type Calcium Channels Proteins 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- GDFCWFBWQUEQIJ-UHFFFAOYSA-N [B].[P] Chemical compound [B].[P] GDFCWFBWQUEQIJ-UHFFFAOYSA-N 0.000 description 1
- CEPICIBPGDWCRU-UHFFFAOYSA-N [Si].[Hf] Chemical compound [Si].[Hf] CEPICIBPGDWCRU-UHFFFAOYSA-N 0.000 description 1
- ILCYGSITMBHYNK-UHFFFAOYSA-N [Si]=O.[Hf] Chemical compound [Si]=O.[Hf] ILCYGSITMBHYNK-UHFFFAOYSA-N 0.000 description 1
- 125000005211 alkyl trimethyl ammonium group Chemical group 0.000 description 1
- 150000001412 amines Chemical class 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- RLGQACBPNDBWTB-UHFFFAOYSA-N cetyltrimethylammonium ion Chemical compound CCCCCCCCCCCCCCCC[N+](C)(C)C RLGQACBPNDBWTB-UHFFFAOYSA-N 0.000 description 1
- 238000005660 chlorination reaction Methods 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 229910052681 coesite Inorganic materials 0.000 description 1
- 230000006835 compression Effects 0.000 description 1
- 238000007906 compression Methods 0.000 description 1
- 229910052906 cristobalite Inorganic materials 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 230000018109 developmental process Effects 0.000 description 1
- 229940104869 fluorosilicate Drugs 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- ZQXQADNTSSMHJI-UHFFFAOYSA-N hafnium(4+) oxygen(2-) tantalum(5+) Chemical compound [O-2].[Ta+5].[Hf+4] ZQXQADNTSSMHJI-UHFFFAOYSA-N 0.000 description 1
- KQHQLIAOAVMAOW-UHFFFAOYSA-N hafnium(4+) oxygen(2-) zirconium(4+) Chemical compound [O--].[O--].[O--].[O--].[Zr+4].[Hf+4] KQHQLIAOAVMAOW-UHFFFAOYSA-N 0.000 description 1
- KUVFGOLWQIXGBP-UHFFFAOYSA-N hafnium(4+);oxygen(2-);titanium(4+) Chemical compound [O-2].[O-2].[O-2].[O-2].[Ti+4].[Hf+4] KUVFGOLWQIXGBP-UHFFFAOYSA-N 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 1
- 238000003384 imaging method Methods 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 239000003446 ligand Substances 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 229910052914 metal silicate Inorganic materials 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 239000005360 phosphosilicate glass Substances 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 229920000058 polyacrylate Polymers 0.000 description 1
- 238000006116 polymerization reaction Methods 0.000 description 1
- 238000012545 processing Methods 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 238000006748 scratching Methods 0.000 description 1
- 230000002393 scratching effect Effects 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 150000004760 silicates Chemical class 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 229910052682 stishovite Inorganic materials 0.000 description 1
- 125000005207 tetraalkylammonium group Chemical group 0.000 description 1
- 229910052723 transition metal Inorganic materials 0.000 description 1
- 229910000314 transition metal oxide Inorganic materials 0.000 description 1
- 229910000326 transition metal silicate Inorganic materials 0.000 description 1
- 229910052905 tridymite Inorganic materials 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823431—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/32115—Planarisation
- H01L21/3212—Planarisation by chemical mechanical polishing [CMP]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/02068—Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
- H01L21/02074—Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32139—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67028—Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
- H01L21/6704—Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
- H01L21/67046—Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly scrubbing means, e.g. brushes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67028—Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
- H01L21/6704—Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
- H01L21/67051—Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
Landscapes
- Engineering & Computer Science (AREA)
- Manufacturing & Machinery (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Chemical & Material Sciences (AREA)
- Mechanical Treatment Of Semiconductor (AREA)
- Weting (AREA)
- Recrystallisation Techniques (AREA)
Abstract
提供半导体装置结构的形成方法,此方法包含在基底上方形成第一层。此方法包含在第一层上方形成停止层。此方法包含在停止层上方形成第二层。此方法包含在第二层上方进行第一平坦化工艺直到暴露出停止层。此方法包含进行蚀刻工艺以移除第二层、停止层和第一层的上部;以及在第一层上方进行第二平坦化工艺。
Description
技术领域
本发明实施例涉及半导体技术,且特别涉及半导体装置结构的形成方 法。
背景技术
半导体集成电路(integrated circuit,IC)工业已经历了快速成长。在集 成电路材料和设计上的技术进步产生了数代集成电路,每一代都比前一代 具有更小且更复杂的电路。然而,这些进步增加了加工与制造集成电路的 复杂性。
在集成电路的发展史中,功能密度(即每一芯片区互连的装置数目)增 加,同时几何尺寸(即制造过程中所产生的最小的组件或线路)缩小。此元 件尺寸微缩化的工艺一般来说具有增加生产效率与降低相关费用的益处。
然而,由于部件(feature)尺寸持续缩减,制造工艺(例如光刻工艺和蚀 刻工艺)持续变得更加难以进行。因此,形成越来越小尺寸的可靠的半导 体装置是个挑战。
发明内容
在一些实施例中,提供半导体装置结构的形成方法,此方法包含在基 底上方形成第一层;在第一层上方形成停止层;在停止层上方形成第二层; 在第二层上方进行第一平坦化工艺直到暴露出停止层;进行蚀刻工艺以移 除第二层、停止层和第一层的上部;以及在第一层上方进行第二平坦化工 艺。
在一些其他实施例中,提供半导体装置结构的形成方法,此方法包含 提供第一层;在第一层上方形成第二层;在第二层上方进行第一平坦化工 艺以移除第二层;在第一层上方进行第一清洁工艺,其中第一清洁工艺使 用包含第一螯合剂的第一清洁溶液;进行蚀刻工艺以移除第一层的上部; 以及在第一层上方进行第二平坦化工艺。
在另外一些实施例中,提供半导体装置结构的形成方法,此方法包含 在基底上方形成第一半导体层,其中基底包含基底部分和在基底部分上方 的鳍部分,且第一半导体层覆盖鳍部分和基底部分;在第一半导体层上方 形成第二半导体层;在第二半导体层上方进行平坦化工艺以移除第二半导 体层,其中平坦化工艺使用研磨溶液;进行蚀刻工艺以移除第一半导体层 的上部,其中在蚀刻工艺之后,突出结构形成于第一半导体层的下部上方;以及移除突出结构。
附图说明
根据以下的详细说明并配合附图可以更加理解本发明实施例。应注意 的是,根据本产业的标准惯例,图示中的各种部件并未必按照比例绘制。 事实上,可能任意地放大或缩小各种部件的尺寸,以做清楚的说明。
图1A至图1H为依据一些实施例的形成半导体装置结构的工艺的各 种阶段的剖面示意图。
图1B-1为依据一些实施例的图1B的研磨设备和半导体装置结构的透 视图。
图1E-1为依据一些实施例的图1E的研磨设备和半导体装置结构的透 视图。
图1H-1为依据一些实施例的图1H的半导体装置结构的上视图。
图2A至图2B为依据一些实施例的清洁半导体装置结构的工艺的各 种阶段的示意图。
图3为依据一些实施例的图2的刷头和槽体的侧视图。
图4A至图4D为依据一些实施例的形成半导体装置结构的工艺的各 种阶段的剖面示意图。
图4D-1为依据一些实施例的图4D的半导体装置结构的上视图。
图4D-2为依据一些实施例的显示沿图4D-1的剖面线I-I’的半导体装 置结构的剖面示意图。
符号说明
100 半导体装置结构
110 基底
112 基底部分
114 鳍部分
116 掺杂区
120 绝缘层
130、430 栅极介电层
140、160 半导体层
150 停止层
142、152、162、242 顶表面
170 残留物
170a 第一研磨溶液
180、190 研磨设备
182、192 碟形压板
184、194 压板轴
186、196 研磨垫
188、198 研磨头
200 清洁设备
210 刷头
220 喷嘴
230A 第一清洁溶液
230B 第二清洁溶液
240 硬掩模层
244 部分
250 光刻胶层
310 清洁溶液
320 槽体
410 间隙壁
420 介电层
422 上表面
440 功函数层
450 栅极电极层
B 底表面
G、G1 栅极堆叠
H 突出结构
N 研磨浆供给口
P 第二研磨溶液
S 内侧壁
T 沟槽
具体实施方式
要了解的是以下的公开内容提供许多不同的实施例或范例,以实施提 供的主体的不同部件。以下叙述各个构件及其排列方式的特定范例,以求 简化公开内容的说明。当然,这些仅为范例并非用以限定本发明。例如, 以下的公开内容叙述了将一第一部件形成于一第二部件之上或上方,即表 示其包含了所形成的上述第一部件与上述第二部件是直接接触的实施例, 亦包含了尚可将附加的部件形成于上述第一部件与上述第二部件之间,而 使上述第一部件与上述第二部件可能未直接接触的实施例。此外,公开内 容中不同范例可能使用重复的参考符号和/或用字。这些重复符号或用字为 了简化与清晰的目的,并非用以限定各个实施例和/或所述外观结构之间的 关系。
再者,为了方便描述附图中一元件或部件与另一(多个)元件或(多个) 部件的关系,可使用空间相关用语,例如“在...之下”、“下方”、“下部”、“上 方”、“上部”及类似的用语。除了附图所示出的方位之外,空间相关用语 也涵盖装置在使用或操作中的不同方位。所述装置也可被另外定位(例如, 旋转90度或者位于其他方位),并对应地解读所使用的空间相关用语的描 述。应当理解的是,可提供额外的操作于本发明实施例的方法之前、本发明实施例的方法中和本发明实施例的方法之后,且在本发明实施例的方法 的其他实施例中,可取代或消除所述的一些操作。
可通过任何合适的方法将鳍图案化。举例来说,鳍可通过使用一个或 多个光刻工艺(包含双重图案化或多重图案化工艺)来图案化。一般来说, 双重图案化或多重图案化工艺结合了光刻和自对准工艺,以创造具有较小 间距的图案,举例来说,此图案具有比使用单一直接光刻工艺可获得的间 距更小的图案。举例来说,在一实施例中,牺牲层形成于基底上方并通过 使用光刻工艺图案化。间隔物通过使用自对准工艺形成于图案化牺牲层旁边。接着,移除牺牲层,且可接着使用剩下的间隔物将鳍图案化。
图1A至图1H为依据一些实施例的形成半导体装置结构的工艺的各 种阶段的剖面示意图。依据一些实施例,如图1A所示,提供基底110。 依据一些实施例,基底110具有基底部分112和鳍部分114。依据一些实 施例,鳍部分114在基底部分112上方。在一些实施例中,基底110为块 材(bulk)半导体基底,例如半导体晶圆。举例来说,基底110为硅晶圆。
基底110可包含硅或其他元素半导体材料,例如锗。在一些其他实施 例中,基底110包含化合物半导体。化合物半导体可包含硅锗、砷化镓、 碳化硅、砷化铟、磷化铟、其他合适的化合物半导体或前述的组合。
在一些实施例中,基底110包含绝缘层上覆半导体 (semiconductor-on-insulator,SOI)基底。绝缘层上覆半导体基底可通过使 用晶圆接合工艺、硅膜转移工艺、植氧分离(separation by implantation of oxygen,SIMOX)工艺、其他可应用的方法或前述的组合来制造。
在一些实施例中,各种装置元件形成于基底110中和/或基底110上方。 为了简单和清楚起见,这些装置元件未显示于附图中。这些装置元件的范 例包含晶体管、二极管、其他合适的元件或前述的组合。
举例来说,晶体管可为金属氧化物半导体场效晶体管(metal oxide semiconductor field effect transistors,MOSFET)、互补式金属氧化物半导体 (complementarymetal oxide semiconductor,CMOS)晶体管、双极性接面晶 体管(bipolar junctiontransistors,BJT)、高压晶体管、高频晶体管、p型通 道场效晶体管和/或n型通道场效晶体管(p-channel/n-channel field effect transistors,PFETs/NFETs)等。进行各种工艺来形成各种装置元件。这些工 艺可包含沉积、蚀刻、布植、光刻、退火、平坦化、一个或多个其他可应 用的工艺或前述的组合。
在一些实施例中,隔离部件(未显示)形成于基底110中。使用隔离部 件以定义主动区并电性隔离在主动区中形成于基底110中和/或基底110 上方的各种装置元件。在一些实施例中,隔离部件包含浅沟槽隔离(shallow trench isolation,STI)部件、硅局部氧化(local oxidation of silicon,LOCOS) 部件、其他合适的隔离部件或前述的组合。
依据一些实施例,如图1A所示,绝缘层120形成于基底部分112上 方并围绕鳍部分114。依据一些实施例,绝缘层120由氧化物(例如二氧化 硅)制成。依据一些实施例,绝缘层120通过化学气相沉积(chemical vapor deposition,CVD)工艺和回蚀刻工艺形成。
依据一些实施例,如图1A所示,栅极介电层130形成于鳍部分114 和绝缘层120上方。依据一些实施例,栅极介电层130顺应性地覆盖鳍部 分114和绝缘层120。栅极介电层130由二氧化硅、氮化硅、氮氧化硅、 有着高介电常数(high-k)的介电材料、其他合适的绝缘材料或前述的组合制 成。依据一些实施例,栅极介电层130通过使用化学气相沉积工艺(CVD process)形成。
依据一些实施例,如图1A所示,半导体层140形成于栅极介电层130 上方。半导体层140由硅(例如多晶硅)或其他元素半导体材料(例如锗)制 成。在一些其他实施例中,半导体层140由化合物半导体制成。化合物半 导体可包含硅锗、砷化镓、碳化硅、砷化铟、磷化铟、其他合适的化合物 半导体或前述的组合。依据一些实施例,半导体层140通过使用化学气相 沉积工艺形成。
依据一些实施例,如图1A所示,停止层150沉积于半导体层140上 方。依据一些实施例,停止层150也可被称为化学机械研磨(chemical mechanical polishing,CMP)停止层或蚀刻停止层。停止层150由氮化硅或 其他合适的材料制成。依据一些实施例,停止层150通过使用化学气相沉 积工艺或物理气相沉积(physical vapor deposition,PVD)工艺形成。
依据一些实施例,如图1A所示,半导体层160沉积于停止层150上 方。半导体层160由硅(例如多晶硅)或其他元素半导体材料(例如锗)制成。
依据一些实施例,半导体层160由化合物半导体制成。化合物半导体 可包含硅锗、砷化镓、碳化硅、砷化铟、磷化铟、其他合适的化合物半导 体或前述的组合。依据一些实施例,半导体层160通过使用化学气相沉积 工艺形成。依据一些实施例,半导体层140和160由相同材料制成。依据 一些实施例,停止层150和半导体层160由不同材料制成。
依据一些实施例,如图1B所示,在半导体层160上进行第一平坦化 工艺直到暴露出停止层150。依据一些实施例,如图1B所示,在第一平 坦化工艺之后,形成剩余半导体层160的平坦顶表面162和停止层150的 平坦顶表面152。
依据一些实施例,平坦顶表面152和162大致共平面或大致彼此对齐。 在本发明实施例中,用语“大致共平面”可包含与共平面的几何形状有微 小偏差的情况。偏差可能是由于制造过程造成的。依据一些实施例,第一 平坦化工艺包含化学机械研磨工艺。
在第一平坦化工艺期间,将基底110上下翻转,如图1B-1所示。依 据一些实施例,如图1B和图1B-1所示,第一平坦化工艺使用研磨设备 180来研磨半导体层160。依据一些实施例,研磨设备180包含碟形压板 182、压板轴184、研磨垫186和研磨头188。
依据一些实施例,碟形压板182可绕其中心轴旋转。依据一些实施例, 压板轴184连接至碟形压板182。依据一些实施例,研磨垫186固定在碟 形压板182上。依据一些实施例,研磨垫186用于研磨半导体层160。
依据一些实施例,研磨头188也被称为晶圆载具。依据一些实施例, 研磨头188握持住基底110,且平坦顶表面162面对研磨垫186。依据一 些实施例,研磨头188可绕其中心轴旋转。
依据一些实施例,第一平坦化工艺使用研磨浆供给口N提供的第一研 磨溶液170a。依据一些实施例,第一研磨溶液170a用于机械研磨半导体 层160,以移除半导体层160。依据一些实施例,第一研磨溶液170a也被 称为研磨浆溶液。
依据一些实施例,第一研磨溶液170a包含研磨材料和聚合物材料。 依据一些实施例,研磨材料包含金属元素、金属离子和/或金属氧化物。依 据一些实施例,研磨材料包含Al、Ni、Fe、Ti、Al2O3、NiO、Fe2O3、TiO2、 类似物或前述的组合。
在一些实施例中,在第一平坦化工艺之后,在半导体装置结构100上 方进行水清洁工艺,以移除留在半导体装置结构100上方的第一研磨溶液 170a。
依据一些实施例,如图1B所示,在第一平坦化工艺和水清洁工艺之 后,第一研磨溶液170a的一部分保留在停止层150和/或半导体层160上 方或保留在停止层150和/或半导体层160中,并形成残留物170。依据一 些实施例,残留物170包含聚合物材料和/或研磨材料,例如金属元素、金 属离子和/或金属氧化物。
依据一些实施例,如图1C所示,在停止层150和半导体层160上进 行第一清洁工艺,以移除残留物170。图2A至图2B为依据一些实施例的 清洁半导体装置结构的工艺的各种阶段的示意图。依据一些实施例,如图 1C和图2A所示,在清洁设备200中进行第一清洁工艺。
依据一些实施例,清洁设备200包含刷头210和喷嘴220。依据一些 实施例,图1C的半导体装置结构100设置于刷头210之间,以由刷头210 清洁。依据一些实施例,每个刷头210可绕其中心轴旋转。
在一些实施例中,在第一清洁工艺期间,第一清洁溶液230A通过喷 嘴220提供于半导体装置结构100上。依据一些实施例,第一清洁溶液 230A包含碱性溶液。依据一些实施例,碱性溶液为弱碱性溶液,例如约 0.3wt%至约0.5wt%的NH4OH。残留物170的聚合物材料和/或金属氧化物 可容易地溶解于碱性溶液中。
依据一些实施例,如图1C所示,在第一清洁工艺之后,在停止层150 和半导体层160上进行第二清洁工艺,以移除剩下的残留物170。依据一 些实施例,如图1C和图2B所示,在清洁设备200中进行第二清洁工艺。
在一些实施例中,在第二清洁工艺期间,第二清洁溶液230B通过喷 嘴220提供于半导体装置结构100上。依据一些实施例,第二清洁溶液 230B包含螯合剂(chelatingagent)。依据一些实施例,螯合剂能够捕捉残留 物170的金属。因此,有着螯合剂的第二清洁溶液230B可有效地移除剩 下的残留物170,特别是含金属的残留物。
依据一些实施例,螯合剂包含柠檬酸、草酸配位酸(oxalic ligand acid, 草酸配体酸)、乙二胺四乙酸(ethylenediamine tetraacetic acid,EDTA)、羟 乙二磷酸(1-羟基乙烷-1,1-二磷酸)、类似物或其他合适的螯合剂。依据一 些实施例,第二清洁溶液230B为酸性溶液。依据一些实施例,第二清洁 溶液230B的pH值在约2至约4的范围中。依据一些实施例,第二清洁 溶液230B的pH值小于第一清洁溶液230A的pH值。
在一些实施例中,在第二清洁工艺之后,在半导体装置结构100上方 进行水清洁工艺,以移除留在半导体装置结构100上方的第二清洁溶液 230B。
图3为依据一些实施例的图2的刷头210和槽体320的侧视图。为了 简单起见,图3仅显示图2的其中一个刷头210。在一些实施例中,来自 其他工艺的金属残留物(未显示)保留在刷头210上方。因此,如图3所示, 在第一清洁工艺之前,将刷头210浸泡于槽体320中的清洁溶液310中, 以移除在刷头210上方的金属残留物。依据一些实施例,刷头210直接接触清洁溶液310。
依据一些实施例,清洁溶液310包含螯合剂。依据一些实施例,螯合 剂能够捕捉金属。因此有着螯合剂的清洁溶液310可有效地移除在刷头 210上方的金属残留物。
依据一些实施例,螯合剂包含柠檬酸、草酸配位酸、乙二胺四乙酸 (EDTA)、羟乙二磷酸(1-羟基乙烷-1,1-二磷酸)、类似物或其他适用于捕捉 金属的螯合剂。在一些实施例中,清洁溶液310和图2B的第二清洁溶液 230B由相同材料制成。
依据一些实施例,如图1D所示,进行蚀刻工艺来移除半导体层160、 停止层150和半导体层140的上部。由于在第二清洁工艺之后可能留下一 些残留物170,因此在蚀刻工艺之后,可保留在剩下的残留物170下方的 停止层150和半导体层140的上部。
依据一些实施例,剩下的残留物170、剩下的停止层150和剩下的半 导体层140的上部共同形成突出结构H。依据一些实施例,突出结构H也 被称为隆起物(hump)。
依据一些实施例,如图1E所示,突出结构H通过第二平坦化工艺移 除。依据一些实施例,半导体层140通过第二平坦化工艺来平坦化。因此, 依据一些实施例,在第二平坦化工艺之后,半导体层140的顶表面142为 大致平坦表面。因此,依据一些实施例,第二平坦化工艺改善了进行于顶 表面142上方的后续工艺(例如光刻工艺)的良率。
在本发明实施例中,用语“大致平坦表面”可包含与平坦表面的几何 形状有微小偏差的情况。偏差可能是由于制造过程造成的。依据一些实施 例,第二平坦化工艺包含化学机械研磨工艺。
在第二平坦化工艺期间,将基底110上下翻转,如图1E-1所示。特 别来说,依据一些实施例,在研磨设备190中进行第二平坦化工艺。依据 一些实施例,研磨设备190包含碟形压板192、压板轴194、研磨垫196 和研磨头198。依据一些实施例,碟形压板192可绕其中心轴旋转。
依据一些实施例,压板轴194连接至碟形压板192。依据一些实施例, 研磨垫196固定在碟形压板192上。依据一些实施例,研磨垫196用于研 磨半导体层140。依据一些实施例,研磨垫196也被称为抛光垫。依据一 些实施例,研磨垫196为多孔研磨垫。依据一些实施例,研磨垫196具有 孔洞的平均直径在约20μm至约100μm的范围中。
依据一些实施例,研磨垫196的硬度小于图1B-1的研磨垫186的硬 度。因此,依据一些实施例,第二平坦化工艺使用软的研磨垫196来进行 温和的研磨工艺,以移除突出结构H,并防止半导体层140的顶表面142 被刮伤。
依据一些实施例,研磨头198也被称为晶圆载具。依据一些实施例, 研磨头198握持住基底110,且顶表面142面对研磨垫196。依据一些实 施例,研磨头198可绕其中心轴旋转。
依据一些实施例,第二平坦化工艺使用研磨浆供给口N提供的第二研 磨溶液P。依据一些实施例,第二研磨溶液P为酸性溶液或碱性溶液。第 二研磨溶液P的pH值在约1至约12的范围中。
在一些实施例中,第二研磨溶液P的pH值在约9至约13的范围中, 且金属氧化物溶解于第二研磨溶液P中。在一些实施例中,第二研磨溶液 P的pH值在约9至约10的范围中。在一些实施例中,第二研磨溶液P的 pH值小于7,且金属元素溶解于第二研磨溶液P中。
依据一些实施例,第二研磨溶液P包含聚合物界面活性材料(polymer surfactantmaterial)。聚合物界面活性材料可改善半导体层140的亲水性。 聚合物界面活性材料包含阴离子聚合物界面活性剂或阳离子聚合物界面 活性剂。
依据一些实施例,阴离子聚合物界面活性剂包含聚丙烯酸铵、有机胺 或类似物。依据一些实施例,阳离子聚合物界面活性剂包含四烷基铵化合 物,例如溴化十六烷基三甲铵、1-氯化十烷基三甲基铵或类似物。依据一 些实施例,第二研磨溶液P的温度控制在约25℃至约40℃的范围中,以 避免降低聚合物界面活性剂的亲水性。
依据一些实施例,第二研磨溶液P不具有研磨材料。因此,在第二平 坦化工艺期间,半导体层140的顶表面142可避免与研磨材料摩擦造成的 刮伤。
在一些实施例中,用于图1B-1中的第一平坦化工艺的第一研磨溶液 170a的研磨材料浓度大于用于图1E-1中的第二平坦化工艺的第二研磨溶 液P的研磨材料浓度。
研磨材料包含金属元素(例如Al、Ni、Fe或Ti)、金属氧化物(例如Al2O3、 NiO、Fe2O3或TiO2)、半导体氧化物(例如SiO2)、类似物和/或其他合适的 研磨材料。因此,依据一些实施例,第二研磨溶液P不具有金属元素、金 属氧化物和/或半导体氧化物。第二研磨溶液P的金属元素、金属氧化物 和/或半导体氧化物的浓度小于第一研磨溶液170a的金属元素、金属氧化 物和/或半导体氧化物的浓度。
在一些实施例中,在第二平坦化工艺之后,在半导体装置结构100上 方进行水清洁工艺,以移除留在半导体装置结构100上方的第二研磨溶液 P。在一些其他实施例中,第二清洁工艺移除大部分或所有剩下的残留物 170,且因此不进行第二平坦化工艺。
依据一些实施例,如图1F所示,硬掩模层240形成于半导体层140 的顶表面142上方。依据一些实施例,由于顶表面142为大致平坦表面, 因此硬掩模层240的顶表面242也可为大致平坦表面。
硬掩模层240包含氮化物(例如氮化硅)、氧化物(例如二氧化硅)或其 他合适的材料。依据一些实施例,硬掩模层240可通过使用沉积工艺形成, 例如化学气相沉积(CVD)工艺或物理气相沉积(PVD)工艺。
依据一些实施例,如图1F所示,光刻胶层250形成于硬掩模层240 上方。依据一些实施例,光刻胶层250的形成包含在硬掩模层240上方形 成光刻胶材料层,将掩模(未显示)对准硬掩模层240(或半导体层140),以 及将光刻胶材料层曝光及显影。
依据一些实施例,由于硬掩模层240的顶表面242(或半导体层140的 顶表面142)为大致平坦表面,因此改善了对准工艺的良率。因此,依据一 些实施例,也改善了在对准工艺之后进行的曝光工艺和显影工艺的良率。
因此,依据一些实施例,平坦顶表面242和/或142的形成改善了形成 光刻胶层250的良率。依据一些实施例,也改善了使用光刻胶层250作为 蚀刻掩模的后续蚀刻工艺的良率。依据一些实施例,光刻胶层250(或光刻 胶材料层)由光刻胶材料制成,例如感光性聚合物材料。
依据一些实施例,如图1G所示,移除硬掩模层240通过光刻胶层250 暴露的部分。依据一些实施例,如图1G所示,在移除工艺之后,保留了 硬掩模层240的一部分244。依据一些实施例,移除工艺包含蚀刻工艺, 例如干蚀刻工艺。依据一些实施例,移除工艺使用光刻胶层250作为蚀刻 掩模。
图1H-1为依据一些实施例的图1H的半导体装置结构100的上视图。 图1H为依据一些实施例的沿图1H-1中的剖面线I-I’的半导体装置结构 100的剖面示意图。图4A为依据一些实施例的显示沿图1H-1中的剖面线 II-II’的半导体装置结构100的剖面示意图。
依据一些实施例,如图1H、图1H-1和图4A所示,移除光刻胶层250。 依据一些实施例,光刻胶层250的移除工艺包含蚀刻工艺,例如湿蚀刻工 艺或干蚀刻工艺。
依据一些实施例,如图1H、图1H-1和图4A所示,移除在硬掩模层 240被移除部分下方的半导体层140和栅极介电层130的部分。依据一些 实施例,半导体层140和栅极介电层130的此部分的移除工艺包含蚀刻工 艺,例如干蚀刻工艺。
依据一些实施例,如图1H、图1H-1和图4A所示,在硬掩模层240 的部分242的其中一者下方的剩下的半导体层140和剩下的栅极介电层 130共同形成栅极堆叠G。依据一些实施例,部分242下方的每个栅极堆 叠G跨越一个或多个鳍部分114形成。
依据一些实施例,图4A至图4D为依据一些实施例的形成半导体装 置结构的工艺的各种阶段的剖面示意图。依据一些实施例,在图4A的步 骤之后,如图4B所示,间隙壁410形成于栅极堆叠G的侧壁上。
依据一些实施例,间隙壁410围绕栅极堆叠G。依据一些实施例,间 隙壁410包含绝缘材料,例如二氧化硅或氮化硅。依据一些实施例,间隙 壁410通过使用化学气相沉积工艺和蚀刻工艺(例如干蚀刻工艺)形成。
依据一些实施例,如图4B所示,掺杂区116形成于鳍部分114中。 依据一些实施例,掺杂区116形成于通过栅极堆叠G和间隙壁410暴露的 鳍部分114中。依据一些实施例,掺杂区116位于每个栅极堆叠G的两侧。 依据一些实施例,两相邻掺杂区116为源极区和漏极区。
依据一些实施例,掺杂区116通过使用离子布植工艺形成。依据一些 实施例,离子布植工艺使用栅极堆叠G和间隙壁410作为离子布植掩模。 依据一些实施例,进行离子布植工艺来将p型掺杂物(例如硼)或n型掺杂 物(例如磷)引入鳍部分114。
因此,在一些实施例中(未显示),应力源(stressor)通过合适工艺形成 于掺杂区116中。合适工艺包含用于移除鳍部分114的一部分的移除工艺 和选择性外延成长(selective epitaxial growth,SEG)工艺。依据所得到的鳍 式场效晶体管(Fin Field-Effect Transistor,FinFET)的期望类型,形成施加 压应力至在栅极堆叠G下方的通道区的应力源(例如SiGe应力源)或施加 拉应力至在栅极堆叠G下方的通道区的应力源(例如SiC应力源)。
依据一些实施例,如图4B所示,介电层420沉积于绝缘层120、鳍 部分114、栅极堆叠G、间隙壁410和硬掩模层240上方。依据一些实施 例,介电层420包含二氧化硅、氮氧化硅、硼硅酸盐玻璃(borosilicate glass, BSG)、磷硅酸盐玻璃(phosphoric silicateglass,PSG)、硼磷硅酸盐玻璃 (borophosphosilicate glass,BPSG)、氟硅酸盐玻璃(fluorinated silicate glass, FSG)、低介电常数材料、多孔介电材料或前述的组合。依据一些实施例, 介电层420通过使用化学气相沉积工艺、高密度等离子体化学气相沉积(high density plasma CVD,HDPCVD)工艺、旋涂工艺、溅镀工艺或前述的 组合沉积。
之后,依据一些实施例,如图4B所示,在介电层420上进行平坦化 工艺直到暴露出栅极堆叠G的顶表面。依据一些实施例,平坦化工艺包含 化学机械研磨(CMP)工艺。在进行平坦化工艺之后,介电层420具有大致 平坦表面,以便于在其上进行的后续工艺步骤。
依据一些实施例,如图4C所示,移除栅极堆叠G。依据一些实施例, 用于移除栅极堆叠G的移除工艺包含湿蚀刻工艺、干蚀刻工艺或前述的组 合。
依据一些实施例,如图4C所示,在移除栅极堆叠G之后,沟槽T形 成于间隙壁410中。依据一些实施例,沟槽T通过间隙壁410和介电层 420。依据一些实施例,沟槽T部分地暴露出鳍部分114。
依据一些实施例,如图4C所示,栅极介电层430形成于沟槽T的底 表面B上方。依据一些实施例,栅极介电层430还形成于沟槽T的内侧壁 S、介电层420的上表面422和间隙壁410上。
依据一些实施例,栅极介电层430包含介电材料,例如高介电常数 (high-k)材料。高介电常数材料包含氧化铪(HfO2)、氧化硅铪(HfSiO)、氮 氧化硅铪(HfSiON)、氧化钽铪(HfTaO)、氧化钛铪(HfTiO)、氧化锆铪 (HfZrO)、其他合适的高介电常数介电材料或前述的组合。
依据一些实施例,高介电常数材料由金属氧化物、金属氮化物、金属 硅酸盐、过渡金属氧化物、过渡金属氮化物、过渡金属硅酸盐、金属的氮 氧化物、氧化铝、二氧化铪-氧化铝(HfO2-Al2O3)合金、其他合适的材料或 前述的组合制成。
依据一些实施例,栅极介电层430由任何合适的工艺沉积,例如原子 层沉积(atomic layer deposition,ALD)工艺、化学气相沉积(CVD)、物理气 相沉积(PVD)工艺、溅镀、电镀、其他合适的工艺或前述的组合。在一些 实施例中,需要将栅极介电层430进一步退火。
依据一些实施例,如图4C所示,功函数层440沉积于栅极介电层430 上方。功函数层440为晶体管提供所期望的功函数,以增强装置效能(包 含改善临界电压)。
在形成N型鳍式场效晶体管(FinFET)的一些实施例中,功函数层440 可为能够提供适用于装置的功函数值的n型金属,功函数值例如等于或小 于约4.5Ev。依据一些实施例,n型金属包含金属、金属碳化物、金属氮 化物或前述的组合。举例来说,n型金属由钽、氮化钽或前述的组合制成。
另一方面,在形成P型鳍式场效晶体管的一些实施例中,功函数层 440可为能够提供适用于装置的功函数值的p型金属,功函数值例如等于 或大于约4.8Ev。依据一些实施例,p型金属包含金属、金属碳化物、金 属氮化物、其他合适的材料或前述的组合。
举例来说,p型金属由钛、氮化钛、其他合适的材料或前述的组合制 成。依据一些实施例,功函数层440通过使用物理气相沉积工艺、化学气 相沉积工艺、原子层沉积工艺、电镀工艺、其他合适的方法或前述的组合 沉积。
依据一些实施例,如图4C所示,栅极电极层450(也被称为金属栅极 电极层)沉积于功函数层440上方,以填充沟槽T。依据一些实施例,栅极 电极层450包含合适的金属材料,例如铝、钨、金、铂、钴、其他合适的 金属、前述的合金或前述的组合。在一些实施例中,栅极电极层450通过 使用物理气相沉积工艺、电镀工艺、类似方法或前述的组合沉积。
依据一些实施例,图4D-1为依据一些实施例的图4D的半导体装置结 构100的上视图。图4D为依据一些实施例的显示沿图4D-1中的剖面线 II-II’的半导体装置结构100的剖面示意图。图4D-2为依据一些实施例的 显示沿图4D-1中的剖面线I-I’的半导体装置结构100的剖面示意图。
依据一些实施例,如图4D、图4D-1和图4D-2所示,移除在沟槽T 之外的栅极电极层450、功函数层440和栅极介电层430。依据一些实施 例,移除工艺包含平坦化工艺。
依据一些实施例,平坦化工艺包含化学机械研磨(CMP)工艺。依据一 些实施例,在沟槽T中的栅极电极层450、功函数层440和栅极介电层430 共同形成栅极堆叠G1。
依据一些实施例,提供半导体装置结构的形成方法。此方法(用于形 成半导体装置结构)包含进行蚀刻工艺,以移除半导体层的上部。在蚀刻 工艺之后,留在半导体层上方的残留物和在残留物下方的半导体层的上部 共同形成突出结构。此方法包含在半导体层上方进行平坦化工艺,以移除 突出结构,从而将半导体层的顶表面平坦化。由于平坦化工艺改善了半导 体层的顶表面的平整度,因此改善了在顶表面上方进行的后续工艺的良率。此方法包含在蚀刻工艺之前进行清洁工艺,以移除残留物,从而防止 或减少突出结构的形成。
依据一些实施例,提供半导体装置结构的形成方法。此方法包含在基 底上方形成第一半导体层。此方法包含在第一半导体层上方形成停止层。 此方法包含在停止层上方形成第二半导体层。此方法包含在第二半导体层 上方进行第一平坦化工艺直到暴露出停止层。此方法包含进行蚀刻工艺以 移除第二半导体层、停止层和第一半导体层的上部,此方法包含在第一半 导体层上方进行第二平坦化工艺。
在一些其他实施例中,其中第一平坦化工艺包含第一化学机械研磨工 艺,且第二平坦化工艺包含第二化学机械研磨工艺。
在一些其他实施例中,其中第一平坦化工艺使用第一研磨垫来研磨第 二半导体层,且第二平坦化工艺使用第二研磨垫来研磨第一半导体层,第 一研磨垫具有第一硬度,第二研磨垫具有第二硬度,且第二硬度小于第一 硬度。
在一些其他实施例中,其中第一半导体层和第二半导体层由相同材料 制成,且停止层和第二半导体层由不同材料制成。
在一些其他实施例中,其中第一平坦化工艺使用第一研磨溶液,第二 平坦化工艺使用第二研磨溶液,且第一研磨溶液的第一研磨材料浓度大于 第二研磨溶液的第二研磨材料浓度。
在一些其他实施例中,其中第一平坦化工艺使用研磨溶液,且在第一 平坦化工艺之后,研磨溶液的一部分留在停止层上方或停止层中,并形成 残留物。
在一些其他实施例中,其中在蚀刻工艺之后,突出结构形成于第一半 导体层的下部上方。
在一些其他实施例中,其中残留物、残留物下方的停止层和第一半导 体层的上部共同形成突出结构,且第二平坦化工艺移除突出结构。
依据一些实施例,提供半导体装置结构的形成方法。此方法包含在基 底上方形成第一半导体层。此方法包含在第一半导体层上方形成停止层。 此方法包含在停止层上方形成第二半导体层。此方法包含在第二半导体层 上方进行第一平坦化工艺直到暴露出停止层。第一平坦化工艺使用包含研 磨材料的研磨溶液。留在停止层上方或停止层中的研磨材料的部分形成残 留物。此方法包含在停止层上方进行第一清洁工艺,以移除残留物。第一清洁工艺使用包含第一螯合剂的第一清洁溶液。此方法包含进行蚀刻工艺 以移除停止层和第一半导体层的上部。此方法包含在第一半导体层上方进 行第二平坦化工艺。
在一些其他实施例中,其中第一平坦化工艺使用包含研磨材料的研磨 溶液,在第一平坦化工艺之后,研磨材料的一部分留在第一半导体层上方, 并形成残留物,且在第一半导体层上方进行的第一清洁工艺移除残留物。
在一些其他实施例中,其中第一清洁溶液为酸性溶液,第一螯合剂包 含柠檬酸、草酸配位酸、乙二胺四乙酸或羟乙二磷酸。
在一些其他实施例中,其中第一清洁工艺使用刷头,在第一清洁工艺 之前,刷头浸泡于第二清洁溶液中,且第二清洁溶液包含第二螯合剂。
在一些其他实施例中,其中第二螯合剂包含柠檬酸、草酸配位酸、乙 二胺四乙酸或羟乙二磷酸。
在一些其他实施例中,上述方法还包含在第一平坦化工艺之后和第一 清洁工艺之前,在第一半导体层上方进行第二清洁工艺,其中第二清洁工 艺使用第二清洁溶液,且第二清洁溶液为碱性溶液。
在一些其他实施例中,其中在第一平坦化工艺之后,蚀刻工艺进一步 移除留在第一半导体层上方的第二半导体层。
依据一些实施例,提供半导体装置结构的形成方法。此方法包含在基 底上方形成第一半导体层,基底包含基底部分和在基底部分上方的鳍部 分,且第一半导体层覆盖鳍部分和基底部分。此方法包含在第一半导体层 上方形成第二半导体层。此方法包含在第二半导体层上方进行平坦化工艺 以移除第二半导体层,平坦化工艺使用研磨溶液。此方法包含进行蚀刻工 艺以移除第一半导体层的上部。在蚀刻工艺之后,剩下的来自研磨溶液的 残留物和在残留物下方的第一半导体层的上部共同形成突出结构。此方法 包含移除突出结构。
在一些其他实施例中,其中突出结构由来自研磨溶液的残留物和在残 留物下方的第一半导体层的上部组成。
在一些其他实施例中,上述方法还包含在进行平坦化工艺之后和进行 蚀刻工艺之前,在第一半导体层上方进行第一清洁工艺来移除残留物,其 中第一清洁工艺使用包含螯合剂的第一清洁溶液。
在一些其他实施例中,上述方法还包含在进行平坦化工艺之后和进行 第一清洁工艺之前,在第一半导体层上方进行第二清洁工艺,其中第二清 洁工艺使用第二清洁溶液,且第二清洁溶液为碱性溶液。
在一些其他实施例中,上述方法还包含在移除突出结构之后,在第一 半导体层上方形成掩模层,其中第一半导体层的一部分不被掩模层覆盖; 以及移除第一半导体层的此部分。
前述内文概述了许多实施例的特征,使本技术领域中技术人员可以从 各个方面更加了解本发明实施例。本技术领域中技术人员应可理解,且可 轻易地以本发明实施例为基础来设计或修饰其他工艺及结构,并以此达到 相同的目的和/或达到与在此介绍的实施例等相同的优点。本技术领域中技 术人员也应了解这些相等的结构并未背离本发明的发明构思与范围。在不 背离本发明的发明构思与范围的前提下,可对本发明实施例进行各种改 变、置换或修改。
Claims (1)
1.一种半导体装置结构的形成方法,包括:
在一基底上方形成一第一层;
在该第一层上方形成一停止层;
在该停止层上方形成一第二层;
在该第二层上方进行一第一平坦化工艺直到暴露出该停止层;
进行一蚀刻工艺以移除该第二层、该停止层和该第一层的上部;以及
在该第一层上方进行一第二平坦化工艺。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201762564396P | 2017-09-28 | 2017-09-28 | |
US62/564,396 | 2017-09-28 | ||
US16/027,869 | 2018-07-05 | ||
US16/027,869 US10636673B2 (en) | 2017-09-28 | 2018-07-05 | Method for forming semiconductor device structure |
Publications (2)
Publication Number | Publication Date |
---|---|
CN109585374A true CN109585374A (zh) | 2019-04-05 |
CN109585374B CN109585374B (zh) | 2024-08-30 |
Family
ID=65809263
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201811041595.XA Active CN109585374B (zh) | 2017-09-28 | 2018-09-07 | 半导体装置结构的形成方法 |
Country Status (3)
Country | Link |
---|---|
US (3) | US10636673B2 (zh) |
CN (1) | CN109585374B (zh) |
TW (1) | TWI801415B (zh) |
Families Citing this family (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10636673B2 (en) * | 2017-09-28 | 2020-04-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming semiconductor device structure |
US20230154762A1 (en) * | 2021-11-12 | 2023-05-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor Device and Method of Forming the Same |
Citations (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5665202A (en) * | 1995-11-24 | 1997-09-09 | Motorola, Inc. | Multi-step planarization process using polishing at two different pad pressures |
CN1479351A (zh) * | 2002-08-27 | 2004-03-03 | 旺宏电子股份有限公司 | 无刮伤化学机械研磨工艺 |
US20040180546A1 (en) * | 2003-03-11 | 2004-09-16 | United Microelectronics Corp. | Polish method for semiconductor device planarization |
CN1680626A (zh) * | 2004-04-09 | 2005-10-12 | 上海月旭半导体科技有限公司 | 半导体芯片化学机械研磨后清洗液 |
CN101770954A (zh) * | 2008-12-29 | 2010-07-07 | 中芯国际集成电路制造(上海)有限公司 | 快闪存储器的形成方法 |
US20120196443A1 (en) * | 2011-01-31 | 2012-08-02 | Semiconductor Manufacturing International (Shanghai) Corporation | Chemical mechanical polishing method |
US20120258596A1 (en) * | 2011-04-06 | 2012-10-11 | Brett Busch | Process of planarizing a wafer with a large step height and/or surface area features |
US20120322265A1 (en) * | 2011-06-17 | 2012-12-20 | United Microelectronics Corp. | Poly opening polish process |
CN103943491A (zh) * | 2014-04-28 | 2014-07-23 | 华进半导体封装先导技术研发中心有限公司 | 在转接板工艺中采用cmp对基板表面进行平坦化的方法 |
CN104347413A (zh) * | 2013-08-02 | 2015-02-11 | 中芯国际集成电路制造(上海)有限公司 | 一种制作FinFET半导体器件的方法 |
CN105575899A (zh) * | 2014-10-30 | 2016-05-11 | 台湾积体电路制造股份有限公司 | 用于具有不同图案密度的半导体器件的等栅极高度控制方法 |
CN105742184A (zh) * | 2014-12-24 | 2016-07-06 | 台湾积体电路制造股份有限公司 | 形成具有栅极的半导体器件结构的方法 |
CN106115612A (zh) * | 2016-07-11 | 2016-11-16 | 中国电子科技集团公司第四十五研究所 | 一种晶圆平坦化方法 |
CN106935493A (zh) * | 2015-12-29 | 2017-07-07 | 台湾积体电路制造股份有限公司 | 形成半导体装置的方法 |
US9773682B1 (en) * | 2016-07-05 | 2017-09-26 | United Microelectronics Corp. | Method of planarizing substrate surface |
Family Cites Families (47)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2874486B2 (ja) * | 1991-11-29 | 1999-03-24 | ソニー株式会社 | ポリッシュ工程を備えたトレンチアイソレーションの形成方法及び半導体装置の製造方法 |
US5498565A (en) * | 1991-11-29 | 1996-03-12 | Sony Corporation | Method of forming trench isolation having polishing step and method of manufacturing semiconductor device |
US5494857A (en) * | 1993-07-28 | 1996-02-27 | Digital Equipment Corporation | Chemical mechanical planarization of shallow trenches in semiconductor substrates |
US5721172A (en) * | 1996-12-02 | 1998-02-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned polish stop layer hard masking method for forming planarized aperture fill layers |
US6001730A (en) * | 1997-10-20 | 1999-12-14 | Motorola, Inc. | Chemical mechanical polishing (CMP) slurry for polishing copper interconnects which use tantalum-based barrier layers |
JP3111979B2 (ja) * | 1998-05-20 | 2000-11-27 | 日本電気株式会社 | ウエハの洗浄方法 |
US6431959B1 (en) * | 1999-12-20 | 2002-08-13 | Lam Research Corporation | System and method of defect optimization for chemical mechanical planarization of polysilicon |
US20020005539A1 (en) * | 2000-04-04 | 2002-01-17 | John Whitman | Spin coating for maximum fill characteristic yielding a planarized thin film surface |
JP2001319928A (ja) * | 2000-05-08 | 2001-11-16 | Hitachi Ltd | 半導体集積回路装置およびその製造方法 |
US7220322B1 (en) * | 2000-08-24 | 2007-05-22 | Applied Materials, Inc. | Cu CMP polishing pad cleaning |
US7323416B2 (en) * | 2001-03-14 | 2008-01-29 | Applied Materials, Inc. | Method and composition for polishing a substrate |
TW584899B (en) * | 2001-07-20 | 2004-04-21 | Nutool Inc | Planar metal electroprocessing |
US20040077295A1 (en) * | 2002-08-05 | 2004-04-22 | Hellring Stuart D. | Process for reducing dishing and erosion during chemical mechanical planarization |
JP2004193377A (ja) * | 2002-12-12 | 2004-07-08 | Toshiba Corp | 半導体装置の製造方法 |
US7736405B2 (en) * | 2003-05-12 | 2010-06-15 | Advanced Technology Materials, Inc. | Chemical mechanical polishing compositions for copper and associated materials and method of using same |
US7250114B2 (en) * | 2003-05-30 | 2007-07-31 | Lam Research Corporation | Methods of finishing quartz glass surfaces and components made by the methods |
US7201784B2 (en) * | 2003-06-30 | 2007-04-10 | Intel Corporation | Surfactant slurry additives to improve erosion, dishing, and defects during chemical mechanical polishing of copper damascene with low k dielectrics |
JP2006210508A (ja) * | 2005-01-26 | 2006-08-10 | Sony Corp | 半導体装置およびその製造方法 |
US20070187258A1 (en) * | 2006-02-15 | 2007-08-16 | Tianbao Du | Method for electrochemically polishing a conductive material on a substrate |
US20070235345A1 (en) * | 2006-04-07 | 2007-10-11 | Applied Materials, Inc. | Polishing method that suppresses hillock formation |
US20070240734A1 (en) * | 2006-04-14 | 2007-10-18 | Ching-Wen Teng | Method of cleaning post-cmp wafer |
US20080076688A1 (en) * | 2006-09-21 | 2008-03-27 | Barnes Jeffrey A | Copper passivating post-chemical mechanical polishing cleaning composition and method of use |
US7799689B2 (en) * | 2006-11-17 | 2010-09-21 | Taiwan Semiconductor Manufacturing Company, Ltd | Method and apparatus for chemical mechanical polishing including first and second polishing |
JP4696086B2 (ja) * | 2007-02-20 | 2011-06-08 | 信越半導体株式会社 | シリコン単結晶ウエーハの仕上げ研磨方法及びシリコン単結晶ウエーハ |
US7919446B1 (en) * | 2007-12-28 | 2011-04-05 | Intermolecular, Inc. | Post-CMP cleaning compositions and methods of using same |
US8765653B2 (en) | 2009-07-07 | 2014-07-01 | Air Products And Chemicals, Inc. | Formulations and method for post-CMP cleaning |
US8367534B2 (en) | 2010-09-17 | 2013-02-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Non-uniformity reduction in semiconductor planarization |
US8308964B2 (en) * | 2010-09-30 | 2012-11-13 | Seagate Technology Llc | Planarization method for media |
US8816444B2 (en) | 2011-04-29 | 2014-08-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | System and methods for converting planar design to FinFET design |
US8728934B2 (en) * | 2011-06-24 | 2014-05-20 | Tessera, Inc. | Systems and methods for producing flat surfaces in interconnect structures |
US9236267B2 (en) | 2012-02-09 | 2016-01-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Cut-mask patterning process for fin-like field effect transistor (FinFET) device |
US8785285B2 (en) | 2012-03-08 | 2014-07-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods of manufacture thereof |
US8860148B2 (en) | 2012-04-11 | 2014-10-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for FinFET integrated with capacitor |
US8823065B2 (en) | 2012-11-08 | 2014-09-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure of semiconductor device |
US9105490B2 (en) | 2012-09-27 | 2015-08-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure of semiconductor device |
US8772109B2 (en) | 2012-10-24 | 2014-07-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus and method for forming semiconductor contacts |
US9236300B2 (en) | 2012-11-30 | 2016-01-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact plugs in SRAM cells and the method of forming the same |
US9136106B2 (en) | 2013-12-19 | 2015-09-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for integrated circuit patterning |
EP3099839A4 (en) * | 2014-01-29 | 2017-10-11 | Entegris, Inc. | Post chemical mechanical polishing formulations and method of use |
JP6540430B2 (ja) * | 2015-09-28 | 2019-07-10 | 東京エレクトロン株式会社 | 基板処理方法及び基板処理装置 |
CN106571294B (zh) | 2015-10-13 | 2020-03-10 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件的制造方法 |
US9520482B1 (en) | 2015-11-13 | 2016-12-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of cutting metal gate |
US9748111B2 (en) * | 2016-02-01 | 2017-08-29 | United Microelectronics Corp. | Method of fabricating semiconductor structure using planarization process and cleaning process |
US11094554B2 (en) * | 2017-03-31 | 2021-08-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Polishing process for forming semiconductor device structure |
US10170343B1 (en) * | 2017-06-30 | 2019-01-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Post-CMP cleaning apparatus and method with brush self-cleaning function |
US10636673B2 (en) * | 2017-09-28 | 2020-04-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming semiconductor device structure |
US11292101B2 (en) * | 2017-11-22 | 2022-04-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Chemical mechanical polishing apparatus and method |
-
2018
- 2018-07-05 US US16/027,869 patent/US10636673B2/en active Active
- 2018-09-07 CN CN201811041595.XA patent/CN109585374B/zh active Active
- 2018-09-11 TW TW107131876A patent/TWI801415B/zh active
-
2020
- 2020-04-27 US US16/858,820 patent/US11239092B2/en active Active
-
2021
- 2021-12-20 US US17/556,032 patent/US12009222B2/en active Active
Patent Citations (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5665202A (en) * | 1995-11-24 | 1997-09-09 | Motorola, Inc. | Multi-step planarization process using polishing at two different pad pressures |
CN1479351A (zh) * | 2002-08-27 | 2004-03-03 | 旺宏电子股份有限公司 | 无刮伤化学机械研磨工艺 |
US20040180546A1 (en) * | 2003-03-11 | 2004-09-16 | United Microelectronics Corp. | Polish method for semiconductor device planarization |
CN1680626A (zh) * | 2004-04-09 | 2005-10-12 | 上海月旭半导体科技有限公司 | 半导体芯片化学机械研磨后清洗液 |
CN101770954A (zh) * | 2008-12-29 | 2010-07-07 | 中芯国际集成电路制造(上海)有限公司 | 快闪存储器的形成方法 |
US20120196443A1 (en) * | 2011-01-31 | 2012-08-02 | Semiconductor Manufacturing International (Shanghai) Corporation | Chemical mechanical polishing method |
US20120258596A1 (en) * | 2011-04-06 | 2012-10-11 | Brett Busch | Process of planarizing a wafer with a large step height and/or surface area features |
US20120322265A1 (en) * | 2011-06-17 | 2012-12-20 | United Microelectronics Corp. | Poly opening polish process |
CN104347413A (zh) * | 2013-08-02 | 2015-02-11 | 中芯国际集成电路制造(上海)有限公司 | 一种制作FinFET半导体器件的方法 |
CN103943491A (zh) * | 2014-04-28 | 2014-07-23 | 华进半导体封装先导技术研发中心有限公司 | 在转接板工艺中采用cmp对基板表面进行平坦化的方法 |
CN105575899A (zh) * | 2014-10-30 | 2016-05-11 | 台湾积体电路制造股份有限公司 | 用于具有不同图案密度的半导体器件的等栅极高度控制方法 |
CN105742184A (zh) * | 2014-12-24 | 2016-07-06 | 台湾积体电路制造股份有限公司 | 形成具有栅极的半导体器件结构的方法 |
CN106935493A (zh) * | 2015-12-29 | 2017-07-07 | 台湾积体电路制造股份有限公司 | 形成半导体装置的方法 |
US9773682B1 (en) * | 2016-07-05 | 2017-09-26 | United Microelectronics Corp. | Method of planarizing substrate surface |
CN106115612A (zh) * | 2016-07-11 | 2016-11-16 | 中国电子科技集团公司第四十五研究所 | 一种晶圆平坦化方法 |
Also Published As
Publication number | Publication date |
---|---|
US20200258758A1 (en) | 2020-08-13 |
CN109585374B (zh) | 2024-08-30 |
US11239092B2 (en) | 2022-02-01 |
TWI801415B (zh) | 2023-05-11 |
US10636673B2 (en) | 2020-04-28 |
US12009222B2 (en) | 2024-06-11 |
US20190096693A1 (en) | 2019-03-28 |
US20220115243A1 (en) | 2022-04-14 |
TW201916148A (zh) | 2019-04-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11264484B2 (en) | Structure and formation method of semiconductor device with gate stack | |
US9691876B2 (en) | Enhanced gate replacement process for high-K metal gate technology | |
US8753931B2 (en) | Cost-effective gate replacement process | |
US8415254B2 (en) | Method for removing dummy poly in a gate last process | |
CN105405750A (zh) | 半导体元件、在其中增加表面掺杂浓度的方法及形成方法 | |
US9865697B1 (en) | Semiconductor device structure and method for forming the same | |
US12009222B2 (en) | Method for forming semiconductor device structure | |
US11121130B2 (en) | Structure and formation method of semiconductor device with gate stacks | |
US10083959B2 (en) | Semiconductor device structure and method for forming the same | |
CN106847694A (zh) | 半导体器件的形成方法 | |
US10522393B2 (en) | Devices and methods of forming thereof by post single layer transfer fabrication of device isolation structures | |
US10090397B2 (en) | Semiconductor device structure and method for forming the same | |
EP3087597A1 (en) | Hybrid high-k first and high-k last replacement gate process | |
CN103578953B (zh) | 半导体集成电路制造的方法 | |
US9484207B2 (en) | Semiconductor device structure and method for forming the same | |
US10276469B2 (en) | Method for forming semiconductor device structure | |
CN113539829A (zh) | 半导体结构及其形成方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant | ||
TG01 | Patent term adjustment |