CN105405750A - 半导体元件、在其中增加表面掺杂浓度的方法及形成方法 - Google Patents

半导体元件、在其中增加表面掺杂浓度的方法及形成方法 Download PDF

Info

Publication number
CN105405750A
CN105405750A CN201510822669.3A CN201510822669A CN105405750A CN 105405750 A CN105405750 A CN 105405750A CN 201510822669 A CN201510822669 A CN 201510822669A CN 105405750 A CN105405750 A CN 105405750A
Authority
CN
China
Prior art keywords
doped region
semiconductor element
substrate
boron
doping
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201510822669.3A
Other languages
English (en)
Inventor
黄玉莲
叶茂荣
蔡俊雄
李宗鸿
林大文
郭紫微
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN105405750A publication Critical patent/CN105405750A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location

Abstract

本文公开了一种半导体元件、在其中增加表面掺杂浓度的方法及形成方法。该半导体元件的形成方法包括:提供一基底;进行一掺杂注入工艺,以在该基底内形成一掺杂区;在进行该掺杂注入工艺之后,对该掺杂区进行一硼掺杂注入;对该硼掺杂注入进行退火;以及在该硼掺杂注入退火之后,在该掺杂区之上形成一硅化物层。本发明的方法可以很容易地与CMOS工艺的流程整合,并且不需要额外复杂的步骤去达到所需的结果。

Description

半导体元件、在其中增加表面掺杂浓度的方法及形成方法
本申请是申请号为201010602082.9、申请日为2010年12月17日、发明名称为“半导体元件、在其中增加表面掺杂浓度的方法及形成方法”的发明专利申请的分案申请。
技术领域
本发明涉及集成电路元件,特别涉及高表面掺杂浓度的半导体元件及其制造方法。
背景技术
半导体集成电路工业的发展已经快速地成长,针对集成电路的材料与设计上的技术发展,已经产生许多集成电路世代,每个世代都比先前的世代具有更小且更复杂的电路,这些技术进展使得集成电路的制造与工艺的复杂度增加。可以理解的是,就集成电路的工艺与制造而言,这些技术进展需要有相似的发展。在集成电路发展的演进上,随着几何尺寸(亦即使用工艺可以产生的最小元件或线)缩减的同时,机能密度(亦即每一芯片面积的内连线元件数目)通常也在增加,这种尺寸缩减的工艺通常可借由增加生产效能,以及降低相关成本而提供好处。
然而,这种尺寸缩减需要更小的元件接点,而因为较小的元件接点具有相对较高的电阻,其可能会导致元件的效能降低。传统的方法是使用硅锗(SiGe)制造这种接点,并且使用原位(in-situ)工艺提供硼注入,以降低电阻,这种外延(epitaxy;EPI)原位注入的好处会受到限制,因为其只能产生低浓度的表面轮廓。换言之,传统的离子束线(beamline)注入无法实现高表面浓度的掺杂轮廓。
因此,业界急需改良的高表面掺杂浓度的半导体元件及其制造方法,以克服上述的问题。
发明内容
为克服上述现有技术的缺陷,在此所揭示的一实施例提供高表面掺杂浓度的半导体元件及其制造方法,此方法包含形成半导体元件,其包含提供基底,在基底内形成掺杂区,在掺杂区之上形成应力层,对应力层进行硼掺杂注入,对硼掺杂注入进行退火,以及在硼掺杂注入退火之后,在应力层之上形成硅化物层。
在另一实施例中,提供高表面掺杂浓度的半导体元件及其制造方法,此方法包含提供基底,在基底上形成伪栅极结构,在基底内形成掺杂区,在掺杂区之上形成应力层,对应力层进行硼掺杂注入,对硼掺杂注入进行退火,在硼掺杂注入退火之后,在应力层之上形成硅化物层,以及使用金属栅极结构取代伪栅极结构。
在另一实施例中,提供半导体元件,其包含基底,在基底内形成的掺杂区,在掺杂区之上形成的应力层,注入至应力层中的硼掺杂物,接着注入的硼掺杂物经过退火,以及在硼掺杂物退火之后,在应力层之上形成的硅化物层。
根据本申请的一实施例,提供了一种形成半导体元件的方法,包括:
提供一基底;
进行一掺杂注入工艺,以在该基底内形成一掺杂区;
在进行该掺杂注入工艺之后,对该掺杂区进行一硼掺杂注入;
对该硼掺杂注入进行退火;以及
在该硼掺杂注入退火之后,在该掺杂区之上形成一硅化物层。
根据本申请的另一实施例,提供了一种在半导体元件中增加表面掺杂浓度的方法,包括:
提供一基底;
在该基底上形成一伪栅极结构,其中该伪栅极结构包含一伪栅极;
进行一掺杂注入工艺,以在该基底内形成一掺杂区;
在进行该掺杂注入工艺之后,对该掺杂区进行一硼掺杂注入;
对该硼掺杂注入进行退火;
在该硼掺杂注入退火之后,在该掺杂区之上形成一硅化物层;以及
以一金属栅极取代该伪栅极。
根据本申请的另一实施例,提供了一种半导体元件,包括:
一基底;
一掺杂区,设置于该基底内;
一硼掺杂物,注入至该掺杂区,其中该硼掺杂物具有一大于1E22原子数/立方公分的表面浓度;以及
一硅化物层,设置在该掺杂区之上。
本发明的方法可以很容易地与CMOS工艺的流程整合,并且不需要额外复杂的步骤去达到所需的结果。
为了让本发明的上述目的、特征、及优点能更明显易懂,以下配合附图,作详细说明如下:
附图说明
图1显示依据此揭示,高表面掺杂浓度的半导体元件的一实施例的剖面示意图。
图2显示依据此揭示的各种概念,制造半导体元件的方法的一实施例的流程图。
图3至图5显示依据图2的方法,制造一实施例的半导体元件的各种阶段的剖面示意图。
其中,附图标记说明如下:
100~方法;102、104、106、108、110、112、114、116~方法100的各步骤;200~半导体元件;202~基底;204~浅沟槽隔绝特征;206~nMOS元件;208~pMOS元件;210n、210p~栅极介电层;212n、212p~伪多晶硅栅极;230n、230p~硬掩模层;240n、240p~栅极结构;242~间隙壁;246~源极与漏极(S/D)区;248~SiGe特征;249~注入工艺;250~接点特征;270~介电层;274、278~金属层。
具体实施方式
此揭示有关于在基底上形成集成电路元件,特别有关于制造具有高表面掺杂浓度的元件。在形成SiGe之后,以及沉积硅化物(如NiSix)之前,于元件上进行一个或多个硼(B)注入工艺,此硼注入工艺提供高表面掺杂浓度,其对于降低SiGe(接点)的电阻(Rs)很有效,并且能借此提高元件的效能,以及提供较低的成本。参阅图1,额外的硼注入可包含一个或多个的等离子体掺杂注入工艺、低温注入工艺以和/或集束(cluster)注入工艺。等离子体注入因为具有表面波峰轮廓(peakprofile),因此可提供最大的元件效能提升率(例如增加约6%),而低温注入工艺与集束注入工艺则提供较小的元件效能提升率(例如增加约2-3%)。此元件也可具有栅极结构,以做为集成电路(包含场效应晶体管(FET)元件)的一部分。然而,可以理解的是,以下的揭示提供许多不同的实施例或例子,以实施发明的不同特征,下述元件与排列方式的特定例子用于简化此揭示,这些例子仅作为示范,并非用于限定此揭示。另外,在揭示的各种例子中,可重复使用标号以和/或字母,这些重复的标号是用于使揭示简化以及清楚地显示,并非用于表示各种实施例以和/或各种状态之间的关系。另外,虽然在此揭示中提供后栅极(gatelast)金属栅极工艺作为例子,本领域技术人员当可了解,于平面与鳍式场效应晶体管(finFET)型的元件中,也可以应用其他工艺以和/或使用其他材料。
图2显示依据此揭示,制造半导体元件的方法100的一实施例的流程图。同时参阅图3至图5,其显示依据图2的方法100,在制造的各阶段中,半导体元件200的剖面示意图。半导体元件200可以是集成电路或集成电路的一部分,其可包括静态随机存取存储器(staticrandomaccessmemory;SRAM)以和/或逻辑电路;无源元件,例如电阻器、电容器以及电感器;以及有源元件,例如P沟道场效应晶体管(P-channelfieldeffecttransistors;pFET)、N沟道场效应晶体管(N-channelFET;nFET)、金属氧化物半导体场效应晶体管(metal-oxidesemiconductorfieldeffecttransistors;MOSFET)、互补式金属氧化物半导体(complementarymetal-oxidesemiconductor;CMOS)晶体管或其他元件。
半导体元件200的一些特征可使用CMOS工艺的流程制造,因此,在图2的方法100之前、期间以及之后可以提供额外的工艺,并且一些其他的工艺在此仅简单地描述。例如,图3的半导体元件200所显示为形成硅锗(SiGe)之后,以及在各种掺杂区,例如元件200的源极与漏极区上形成硅化物(如NiSix)接点特征之前的状态。在此所讨论的特定特征如图式中显示,具有以“n”或“p”标示在其后的标号,这些特征除了与实施例中所示的元件的N侧或P侧有关之外,这些“n”或“p”的标示并不具有其他意义。
方法100由步骤102开始,在此提供半导体基底,此基底具有第一区与第二区。例如,在图3中,半导体元件200包含基底202,基底202包含具有结晶结构的硅基底(如晶片)。然而,在其他实施例中,也可以使用其他基底。基底202可包含各种掺杂型态,其取决于设计需求(例如p型基底以和/或n型基底)。此外,基底202可包含各种掺杂区,例如p型阱(p-wells)或n型阱(n-wells)。在其他例子中,基底202也可包含其他元素的半导体,例如锗以及钻石。此外,基底202可包含化合物半导体,例如碳化硅(siliconcarbide)、砷化镓(galliumarsenic)、砷化铟(indiumarsenide)或磷化铟(indiumphosphide)。再者,基底202可选择性地包含外延层(epitaxiallayer;epilayer),其可以形变(strained)以提升效能,以和/或可包含在绝缘层上的硅(silicononinsulator;SOI)结构。
半导体元件200包含隔绝结构,例如在基底202内形成的浅沟槽隔绝(shallowtrenchisolation;STI)特征204,其使得一个或多个元件互相隔绝。在此实施例中,STI特征204可隔绝nMOS元件206与pMOS元件208。STI特征204可包含氧化硅、氮化硅、氮氧化硅、掺氟的硅玻璃(fluoride-dopedsilicateglass;FSG)以和/或低介电常数介电材料,其他的隔绝方法以和/或特征也可以取代STI,或者与STI一起使用。可使用例如反应性离子蚀刻(reactiveionetch;RIE)或感应耦合等离子体(ICP)的工艺在基底202内形成沟槽,然后使用沉积工艺在沟槽内填充绝缘材料,并进行化学机械研磨(CMP)工艺,形成STI特征204。
方法100持续进行至步骤104,在半导体基底之上形成高介电常数介电层。半导体元件200包含形成于基底之上的栅极介电层210,栅极介电层210包含界面层(interfaciallayer),界面层可包含氧化硅层(例如热氧化或化学氧化层),其厚度范围可从约至约栅极介电层210更可包含形成于界面层上的高介电常数(high-k)材料层,在一实施例中,高介电常数介电材料包含HfO2。其他高介电常数介电材料包含HfSiO、HfSiON、HfTaO、HfTaON、HfTiO、HfTiON、HfZrO、HfZrON、前述的组合以和/或其他适合的材料。高介电常数介电层可由原子层沉积(ALD)、化学气相沉积(CVD)或其他合适的技术形成,高介电常数介电层的厚度范围可包含从约至约
可在高介电常数介电层之上形成阻挡层(未绘出),在一些实施例中,阻挡层可选择性地形成于高介电常数介电层之上。阻挡层可包含TiN或TaN,其厚度范围从约至约阻挡层可由各种沉积技术,例如ALD、CVD、物理气相沉积(PVD)或其他合适的工艺形成。
方法100持续进行至步骤106,在此于阻挡层之上形成硅层。半导体元件200更包含多晶硅层212,其借由CVD或其他合适的沉积工艺形成于阻挡层之上。例如,可使用硅烷(silane;SiH4)作为CVD工艺中的化学气体,以形成多晶硅层212。多晶硅层212包含的厚度范围可从约至约此半导体层可另外包含非晶硅层,以取代多晶硅层。
方法100持续进行至步骤108,在此于硅层之上形成硬掩模层。硬掩模层230形成于多晶硅层212之上,硬掩模层230可包含氧化硅,硬掩模层230包含的厚度范围可从约至约此外,硬掩模层230还可选择性地包含氮氧化硅以和/或其他适合的材料,可借由例如CVD、PVD或ALD的方法形成硬掩模层230。另外,可在硬掩模层230上形成抗反射涂层(anti-reflectivecoating;ARC)(未绘出)或底部抗反射涂层(bottomanti-reflectivecoating;BARC)(未绘出),以提升后续公知的图案化工艺。可在硬掩模层230上形成图案化的光致抗蚀剂层(未绘出),图案化的光致抗蚀剂层可包含nMOS元件206的栅极图案以及pMOS元件208的栅极图案,这些栅极图案可借由微影、浸润式微影、离子束写入或其他合适的工艺形成。
方法100持续进行至步骤110,在此将硬掩模层、硅层、阻挡层以及高介电常数介电层图案化,在第一区上形成第一栅极结构,并且在第二区上形成第二栅极结构。可使用图案化的光致抗蚀剂作为掩模,借由干式或湿式蚀刻工艺将硬掩模层230图案化,并且可使用图案化的掩模层将nMOS元件206的栅极结构240n图案化,并且将pMOS元件208的栅极结构240p图案化。栅极结构240n、240p可借由干式或湿式蚀刻工艺形成,或者由结合干式与湿式蚀刻的工艺形成。例如,在干式蚀刻工艺中可使用含氟的等离子体(如包含CF4的蚀刻气体)。另外,蚀刻工艺可包含多重的蚀刻步骤,以蚀刻各种栅极材料层。图案化的光致抗蚀剂层可借由剥离、灰化或其他工艺移除。
nMOS元件206的栅极结构240n包含硬掩模层230n、伪多晶硅栅极212n、阻挡层(如果有提供)以及栅极介电层210n(包含界面层与高介电常数介电层)。pMOS元件208的栅极结构240p包含硬掩模层230p、伪多晶硅栅极212p、阻挡层(如果有提供)以及栅极介电层210p(包含界面层与高介电常数介电层)。
在栅极结构240n、240p的侧壁上形成栅极间隙壁或侧壁间隙壁242,间隙壁242可包含多层型态,在此实施例中,间隙壁242可由氮化硅形成。此外,间隙壁242可由氧化硅、氮氧化硅、碳化硅、掺氟的硅玻璃(FSG)、低介电常数介电材料、前述的组合,以和/或其他合适的材料形成。间隙壁242的形成方法可包含沉积合适的介电材料,以及非等向性地蚀刻这些材料,形成间隙壁242的轮廓。于形成间隙壁242之前,可在栅极结构240n、240p的侧壁上形成衬垫层(未绘出),衬垫层可包含介电材料,例如氧化硅、氮化硅,以和/或其他合适的材料。
在基底202内形成源极与漏极(S/D)区246,S/D区246可包含轻掺杂的源极/漏极区(LDD),以及重掺杂的源极/漏极区。LDD区可在间隙壁242形成之前形成,S/D区246可借由注入p型或n型的掺杂物或不纯物至基底202内而形成,其取决于所需的晶体管型态(例如pMOS或nMOS)。S/D区246的形成方法可包含微影、离子注入、扩散,以和/或其他合适的工艺,之后进行退火工艺将S/D区246活化。退火工艺可借由合适的方法执行,例如快速退火(rapidthermalannealing;RTA)或毫秒退火(minisecondannealing)。另外,pMOS元件208的S/D区246可包含硅锗(SiGe),例如在突起的S/D区的SiGe特征248。在一例子中,SiGe特征248可借由外延(epitaxy)工艺形成,使得SiGe特征可以在基底202内以结晶的状态形成。因此,在pMOS元件208内可达成形变的沟道,借此增加载子的移动率,并提升元件的效能。
方法100持续进行至步骤112,在元件200上进行注入工艺249,借此在靠近元件200的表面处提供额外的掺杂物浓度。在一实施例中,注入工艺249为硼(B)注入工艺。在SiGe(例如246以和/或248)形成之后,以及在硅化物(例如NiSix)沉积(如以下所述)之前,在元件上进行注入工艺249。此硼注入工艺提供高的表面掺杂浓度,对于降低SiGe(接点)的电阻(Rs)很有效,并且可提高元件的效能。在一实施例中,硼注入工艺249为等离子体掺杂注入工艺,此工艺的射频(RF)来源为约2MHz,脉冲式直流电源偏压的脉冲频率范围为约0.5KHz至10KHz。此工艺具有前驱物(例如B2H6以和/或其他类似的前驱物),以及稀释气体(例如H2、Ar以和/或He)。在一实施例中,稀释气体的压力小于约6mtorr至约200mtorr,来源射频(RF)小于约1000W,脉冲式直流电源偏压小于约10KV,并且掺杂的剂量范围为约1E15-1E18,工艺温度小于约100℃。在另一实施例中,硼注入的进行为等离子体掺杂注入,其使用的参数为约5%-10%的B2H6,约90%-95%的H2,总流量为约120sccm至500sccm、6mtorr、725w、5KeV、1-1.5E16,并且退火条件为约900℃至1010℃的快速退火(RTA),所产生的表面硼(B)浓度大于约1E22原子数/立方公分(atoms/cm3)。然而,可以理解的是,在此揭示中也可使用其他参数,例如,在不同的实施例中,于形成NiSix之前,可使用低温注入、集束(cluster)注入,以和/或等离子体注入的注入工具,进行额外的硼注入,提供额外的硼浓度。等离子体注入因为具有表面波峰轮廓,可提供最大的元件效能提升率(例如约6%的增加量),而低温以及集束注入则提供较小的元件效能提升率(例如约2-3%的增加量)。
如图4所示,方法100持续进行至步骤114,在硼注入工艺(例如249)之后,在S/D区246上形成接点(contact)特征250,例如为硅化物(如NiSix),并与S/D区246耦接。可在S/D区246以及SiGe特征248上,借由金属硅化物(salicide)(自行对准的硅化物;self-alignedsilicide)工艺或其他工艺形成接点特征250。例如,可紧邻着硅结构形成金属材料,然后将温度提高至退火温度,使得位于下方的硅与金属材料之间产生反应,形成硅化物,并且可将未反应的金属蚀刻除去。接点250可包含硅化镍(nickelsilicide)、硅化钴(cobaltsilicide)、硅化钨(tungstensilicide)、硅化钽(tantalumsilicide)、硅化钛(titaniumsilicide)、硅化铂(platinumsilicide)、硅化铒(erbiumsilicide)、硅化钯(palladiumsilicide)或前述的组合。硬掩模层230n、230p可在金属硅化物工艺中分别保护伪多晶硅层212n、212p。
方法100持续进行至步骤116,在此使用高介电金属栅极(high-kmetalgate;HKMG)的后栅极工艺完成元件的制造。可以理解的是,此元件的制造包含一个或多个步骤,其可包含下述工艺,以和/或本领域中可理解的其他工艺。
例如,在元件200上形成介电层。在图5中,介电层270例如为层间介电层(inter-layerorinter-leveldielectric;ILD),其可覆盖在栅极结构240n、240p上形成。介电层270大抵上填充在相邻的元件206与208之间的间隙内,可借由高密度等离子体化学气相沉积(HDP-CVD)、旋转涂布(spin-on)、溅镀或其他合适的方法形成介电层270。在一实施例中,介电层270包含高密度等离子体(HDP)介电材料(如HDP氧化物),HDP-CVD工艺包含低溅镀HDP-CVD工艺。此外,介电层270可选择性地包含旋转涂布玻璃(spin-on-glass;SOG)或高深宽比工艺(highaspectratioprocess;HARP)的介电材料。再者,介电层270可包括介电材料,例如氧化硅、氮化硅、氮氧化硅、旋转涂布玻璃(SOG)、掺氟的硅玻璃(FSG)、掺碳的氧化硅(carbondopedsiliconoxide)(如SiCOH)、黑钻(BlackAppliedMaterialsofSantaClara,California)、干凝胶(Xerogel)、气凝胶(Aerogel)、氟化非晶碳(amorphousfluorinatedcarbon)、聚对二甲苯(Parylene)、苯并环丁烯(bis-benzocyclobutenes;BCB)、助燃剂(Flare)、SiLK(DowChemical,Midland,Michigan)、聚酰亚胺(polyimide)、其他合适的多孔高分子材料、其他合适的介电材料,以和/或前述的组合。
在介电层270上进行化学机械研磨(chemicalmechanicalpolishing;CMP),于后栅极工艺中可移除伪多晶硅栅极212p、212n,形成金属栅极结构以取代伪多晶硅栅极。因此,借由化学机械研磨(CMP)工艺将介电层270平坦化,直至分别达到或暴露出nMOS元件206与pMOS元件208的伪多晶硅栅极212p、212n的顶端部分。例如,可使用CMP工艺研磨介电层270与硬掩模层230n、230p,暴露出伪多晶硅栅极212p与212n。
从第一与第二栅极结构中移除多晶硅层,借此分别形成第一沟槽与第二沟槽,换言之,在CMP工艺之后,栅极结构240n、240p中的伪多晶硅栅极212p、212n被移除。在一实施例中,选择性地蚀刻多晶硅,以移除伪多晶硅栅极212p、212n。选择性的移除伪多晶硅栅极212p、212n可提供沟槽,并且可形成金属栅极在沟槽中。可使用湿式蚀刻以和/或干式蚀刻移除伪多晶硅栅极212p、212n,在一实施例中,湿式蚀刻工艺包含暴露在含有氢氧化物(例如氢氧化铵)的溶液、去离子水,以和/或其他合适的蚀刻剂溶液中。
形成第一金属层,其大抵上填充在第一沟槽中,例如,沉积金属层274填充在沟槽中,相当于212p。金属层274可包含适合用于形成金属栅极或其中一部分的任何金属材料,包含功函数层、衬垫层、界面层、晶种层、粘着层、阻挡层等,金属层274可借由PVD、CVD或其他合适的工艺形成。金属层274可包含P型功函数金属(P-金属),其在pMOS元件208中提供适当执行的栅极电极,P-金属材料包含TiN、WN、TaN、导电金属氧化物,以和/或其他合适的材料。金属层274可进一步包含填充金属层,其形成于功函数金属层上,填充金属层可包含铝(Al)或钨(W),或其他合适的材料。在一实施例中,填充金属层可包含Ti层,其作为湿润层;以及Al层,以填充沟槽剩余的部分。可使用CVD、PVD、电镀或其他合适的工艺沉积填充金属层,可进行CMP工艺将半导体元件200平坦化,CMP工艺可移除在沟槽外的金属层274,并提供半导体元件200的P金属栅极结构。
形成第二金属层,其大抵上填充在第二沟槽中,例如,沉积金属层278填充在沟槽中,相当于212n。金属层278可包含适合用于形成金属栅极或其中一部分的任何金属材料,包含功函数层、衬垫层、界面层、晶种层、粘着层、阻挡层等。金属层278可借由PVD、CVD或其他合适的工艺形成,金属层278可包含N型功函数金属(N-金属),其在nMOS元件206中提供适当执行的栅极电极。N型金属材料可包含化合物,例如TiAl、TiAlN、其他铝化物(aluminides),以和/或其他合适的材料。金属层278可进一步包含填充金属层,其形成于功函数金属层上。填充金属层可包含铝(Al)或钨(W),或其他合适的材料。在一实施例中,填充金属层可包含Ti层,其作为湿润层;以及Al层,以填充沟槽剩余的部分。可使用CVD、PVD、电镀或其他合适的工艺沉积填充金属层,可进行CMP工艺将半导体元件200平坦化,CMP工艺可移除在沟槽外的金属层278,并提供半导体元件200的N金属栅极结构。可以理解的是,在一些实施例中,可先形成N金属,接着形成P金属,并且在形成nMOS元件206与pMOS元件208的金属栅极期间,可以实施N/P的图案化,使得一种元件与其他元件互相分开,反之亦然。
在实施例中,方法100可持续包含额外的工艺步骤,例如形成接点、内连线结构(如导线与导孔、金属层以及层间介电层,其提供至元件的电性内连接,此元件包含已形成的金属栅极)、钝化层等。例如,多层的内连接包含垂直的内连线,例如传统的导孔或接点;以及水平的内连线,例如金属线。各种内连接特征可由各种导电材料实施,包含铜、钨以及硅化物。在一例子中,使用镶嵌工艺形成铜相关的多层内连接结构。
可以理解的是,可实施上述的后栅极工艺形成金属栅极结构,然而,其他种类的元件(例如鳍式场效应晶体管(finFET)元件、平面元件等)也可使用上述的工艺形成,在此所述的方法可以很容易地与CMOS工艺的流程整合,并且不需要额外复杂的步骤去达到所需的结果。在此所揭示的实施例提供不同的优点,然而不是所有的实施例都需要具有特定的优点。
依据上述,此揭示提供元件及其制造方法,其包含具有高表面掺杂浓度的半导体元件。虽然本发明已揭露优选实施例如上,然而其并非用以限定本发明,本领域技术人员当可了解,在不脱离本发明的精神和范围内,当可做些许更动与润饰。例如,虽然实施例的方法为后栅极方式,在此所揭示的方法也可使用混合的工艺,其中一种金属栅极用前栅极(gatefirst)工艺的流程形成,并且其他的金属栅极用后栅极工艺的流程形成。因此,本发明的保护范围当视随附的权利要求所界定的范围为准。

Claims (10)

1.一种形成半导体元件的方法,包括:
提供一基底;
进行一掺杂注入工艺,以在该基底内形成一掺杂区;
在进行该掺杂注入工艺之后,对该掺杂区进行一硼掺杂注入;
对该硼掺杂注入进行退火;以及
在该硼掺杂注入退火之后,在该掺杂区之上形成一硅化物层。
2.如权利要求1所述的形成半导体元件的方法,还包括形成位于该掺杂区与该硅化物层之间的一应力层,其中使用硅锗形成该应力层,且使用硅化镍形成该硅化物层。
3.如权利要求1所述的形成半导体元件的方法,其中该硼掺杂注入为一等离子体注入工艺,其中该等离子体注入工艺使用一前驱物气体,包括B2H6,以及一稀释气体,包括H2、Ar、He以及前述的组合。
4.如权利要求3所述的形成半导体元件的方法,其中该等离子体注入工艺使用的气体包括B2H6以及H2,其中B2H6的比例为5%-10%,且H2的比例为90%-95%。
5.一种在半导体元件中增加表面掺杂浓度的方法,包括:
提供一基底;
在该基底上形成一伪栅极结构,其中该伪栅极结构包含一伪栅极;
进行一掺杂注入工艺,以在该基底内形成一掺杂区;
在进行该掺杂注入工艺之后,对该掺杂区进行一硼掺杂注入;
对该硼掺杂注入进行退火;
在该硼掺杂注入退火之后,在该掺杂区之上形成一硅化物层;以及
以一金属栅极取代该伪栅极。
6.如权利要求5所述的在半导体元件中增加表面掺杂浓度的方法,还包括形成位于该掺杂区与该硅化物层之间的一应力层,其中使用硅锗形成该应力层,且该掺杂区为源极或漏极区。
7.如权利要求5所述的在半导体元件中增加表面掺杂浓度的方法,其中该硼掺杂注入为一等离子体注入工艺,具有一来源射频为2MHz,以及一脉冲式直流电源偏压,该脉冲式直流电源偏压具有一脉冲频率为0.5KHz至10KHz。
8.如权利要求7所述的在半导体元件中增加表面掺杂浓度的方法,其中该等离子体注入工艺使用的气体包括B2H6以及H2,其中B2H6的比例为5%-10%,且H2的比例为90%-95%。
9.一种半导体元件,包括:
一基底;
一掺杂区,设置于该基底内;
一硼掺杂物,注入至该掺杂区,其中该硼掺杂物具有一大于1E22原子数/立方公分的表面浓度;以及
一硅化物层,设置在该掺杂区之上。
10.如权利要求9所述的半导体元件,还包括位于该掺杂区与该硅化物层之间的一应力层,其中该应力层包括硅锗,该硅化物层包括硅化镍。
CN201510822669.3A 2010-07-21 2010-12-17 半导体元件、在其中增加表面掺杂浓度的方法及形成方法 Pending CN105405750A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/840,830 US8278196B2 (en) 2010-07-21 2010-07-21 High surface dopant concentration semiconductor device and method of fabricating
US12/840,830 2010-07-21
CN2010106020829A CN102347351A (zh) 2010-07-21 2010-12-17 半导体元件、在其中增加表面掺杂浓度的方法及形成方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2010106020829A Division CN102347351A (zh) 2010-07-21 2010-12-17 半导体元件、在其中增加表面掺杂浓度的方法及形成方法

Publications (1)

Publication Number Publication Date
CN105405750A true CN105405750A (zh) 2016-03-16

Family

ID=45492908

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201510822669.3A Pending CN105405750A (zh) 2010-07-21 2010-12-17 半导体元件、在其中增加表面掺杂浓度的方法及形成方法
CN2010106020829A Pending CN102347351A (zh) 2010-07-21 2010-12-17 半导体元件、在其中增加表面掺杂浓度的方法及形成方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2010106020829A Pending CN102347351A (zh) 2010-07-21 2010-12-17 半导体元件、在其中增加表面掺杂浓度的方法及形成方法

Country Status (2)

Country Link
US (1) US8278196B2 (zh)
CN (2) CN105405750A (zh)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8642135B2 (en) 2005-09-01 2014-02-04 Micron Technology, Inc. Systems and methods for plasma doping microfeature workpieces
US8153493B2 (en) 2008-08-28 2012-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET process compatible native transistor
US8357569B2 (en) 2009-09-29 2013-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating finfet device
US8110466B2 (en) 2009-10-27 2012-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Cross OD FinFET patterning
US8415718B2 (en) 2009-10-30 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming epi film in substrate trench
US8310013B2 (en) 2010-02-11 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US8942030B2 (en) 2010-06-25 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for SRAM cell circuit
US8212295B2 (en) 2010-06-30 2012-07-03 Taiwan Semiconductor Manufacturing Company, Ltd. ROM cell circuit for FinFET devices
US8796759B2 (en) 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US9130058B2 (en) 2010-07-26 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Forming crown active regions for FinFETs
US8367498B2 (en) 2010-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US9472550B2 (en) 2010-11-23 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Adjusted fin width in integrated circuitry
US8633076B2 (en) 2010-11-23 2014-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for adjusting fin width in integrated circuitry
US8796124B2 (en) 2011-10-25 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Doping method in 3D semiconductor device
US9653559B2 (en) * 2011-12-27 2017-05-16 Intel Corporation Methods to enhance doping concentration in near-surface layers of semiconductors and methods of making same
US8691652B2 (en) * 2012-05-03 2014-04-08 United Microelectronics Corp. Semiconductor process
CN103545257A (zh) * 2012-07-12 2014-01-29 中芯国际集成电路制造(上海)有限公司 Cmos晶体管的制作方法
CN103855004B (zh) * 2012-11-28 2016-06-29 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法
US9111801B2 (en) 2013-04-04 2015-08-18 Stmicroelectronics, Inc. Integrated circuit devices and fabrication techniques
US9620621B2 (en) * 2014-02-14 2017-04-11 Taiwan Semiconductor Manufacturing Company Ltd. Gate structure of field effect transistor with footing
US9147683B2 (en) 2014-02-18 2015-09-29 International Business Machines Corporation CMOS transistors including gate spacers of the same thickness
KR102274771B1 (ko) * 2014-03-10 2021-07-09 에스케이하이닉스 주식회사 트랜지스터, 트랜지스터의 제조 방법 및 트랜지스터를 포함하는 전자장치
CN105097437A (zh) * 2014-05-22 2015-11-25 中芯国际集成电路制造(上海)有限公司 形成应变硅层的方法、pmos器件的制作方法及半导体器件
JP6505121B2 (ja) * 2014-10-08 2019-04-24 堺ディスプレイプロダクト株式会社 光源装置及び表示装置
US9324623B1 (en) 2014-11-26 2016-04-26 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device having active fins
US10374088B2 (en) 2015-06-16 2019-08-06 International Business Machines Corporation Low parasitic capacitance and resistance finFET device
US9722081B1 (en) * 2016-01-29 2017-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device and method of forming the same
US10115728B1 (en) 2017-04-27 2018-10-30 International Business Machines Corporation Laser spike annealing for solid phase epitaxy and low contact resistance in an SRAM with a shared PFET and NFET trench
US10964590B2 (en) * 2017-11-15 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Contact metallization process
US11508572B2 (en) * 2020-04-01 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1797783A (zh) * 2004-12-28 2006-07-05 富士通株式会社 半导体器件及其制造方法
CN1883040A (zh) * 2003-12-08 2006-12-20 英特尔公司 用于通过减少自对准硅化物界面电阻改善晶体管性能的方法
US20080006887A1 (en) * 2006-02-01 2008-01-10 Tetsuji Ueno Semiconductor Devices Including Impurity Doped Region and Methods of Forming the Same
CN101728330A (zh) * 2008-11-03 2010-06-09 台湾积体电路制造股份有限公司 制造半导体装置的方法
TW201023251A (en) * 2008-10-31 2010-06-16 Applied Materials Inc Doping profile modification in P3I process

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6812086B2 (en) * 2002-07-16 2004-11-02 Intel Corporation Method of making a semiconductor transistor
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US6706571B1 (en) 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
KR100513405B1 (ko) 2003-12-16 2005-09-09 삼성전자주식회사 핀 트랜지스터의 형성 방법
KR100558011B1 (ko) * 2004-07-12 2006-03-06 삼성전자주식회사 전체실리사이드 금속게이트전극을 갖는 모스 트랜지스터의제조방법
US7244640B2 (en) 2004-10-19 2007-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a body contact in a Finfet structure and a device including the same
US7247887B2 (en) 2005-07-01 2007-07-24 Synopsys, Inc. Segmented channel MOS transistor
US8466490B2 (en) 2005-07-01 2013-06-18 Synopsys, Inc. Enhanced segmented channel MOS transistor with multi layer regions
US7190050B2 (en) 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
US7265008B2 (en) 2005-07-01 2007-09-04 Synopsys, Inc. Method of IC production using corrugated substrate
US7807523B2 (en) 2005-07-01 2010-10-05 Synopsys, Inc. Sequential selective epitaxial growth
US7508031B2 (en) 2005-07-01 2009-03-24 Synopsys, Inc. Enhanced segmented channel MOS transistor with narrowed base regions
US7605449B2 (en) 2005-07-01 2009-10-20 Synopsys, Inc. Enhanced segmented channel MOS transistor with high-permittivity dielectric isolation material
CN1953208A (zh) * 2005-10-20 2007-04-25 联华电子股份有限公司 金属氧化物半导体晶体管元件
US8207523B2 (en) * 2006-04-26 2012-06-26 United Microelectronics Corp. Metal oxide semiconductor field effect transistor with strained source/drain extension layer
US7605407B2 (en) * 2006-09-06 2009-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Composite stressors with variable element atomic concentrations in MOS devices
US7898037B2 (en) 2007-04-18 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Contact scheme for MOSFETs
US7989901B2 (en) * 2007-04-27 2011-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with improved source/drain regions with SiGe
US7939862B2 (en) 2007-05-30 2011-05-10 Synopsys, Inc. Stress-enhanced performance of a FinFet using surface/channel orientations and strained capping layers
US8883597B2 (en) 2007-07-31 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabrication of a FinFET element
US8283231B2 (en) 2008-06-11 2012-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. finFET drive strength modification
US7910453B2 (en) 2008-07-14 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Storage nitride encapsulation for non-planar sonos NAND flash charge retention
US8153493B2 (en) 2008-08-28 2012-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET process compatible native transistor
US7767534B2 (en) * 2008-09-29 2010-08-03 Advanced Micro Devices, Inc. Methods for fabricating MOS devices having highly stressed channels
US7989355B2 (en) 2009-02-12 2011-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of pitch halving
US7862962B2 (en) 2009-01-20 2011-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout design
US8053299B2 (en) 2009-04-17 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabrication of a FinFET element
US8362575B2 (en) * 2009-09-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the shape of source/drain regions in FinFETs
US7994016B2 (en) * 2009-11-11 2011-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method for obtaining quality ultra-shallow doped regions and device having same

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1883040A (zh) * 2003-12-08 2006-12-20 英特尔公司 用于通过减少自对准硅化物界面电阻改善晶体管性能的方法
CN1797783A (zh) * 2004-12-28 2006-07-05 富士通株式会社 半导体器件及其制造方法
US20080006887A1 (en) * 2006-02-01 2008-01-10 Tetsuji Ueno Semiconductor Devices Including Impurity Doped Region and Methods of Forming the Same
TW201023251A (en) * 2008-10-31 2010-06-16 Applied Materials Inc Doping profile modification in P3I process
CN101728330A (zh) * 2008-11-03 2010-06-09 台湾积体电路制造股份有限公司 制造半导体装置的方法

Also Published As

Publication number Publication date
CN102347351A (zh) 2012-02-08
US8278196B2 (en) 2012-10-02
US20120018848A1 (en) 2012-01-26

Similar Documents

Publication Publication Date Title
CN105405750A (zh) 半导体元件、在其中增加表面掺杂浓度的方法及形成方法
US9698057B2 (en) Method of manufacturing strained source/drain structures
US9349831B2 (en) Integrated circuit device with well controlled surface proximity and method of manufacturing same
US7923321B2 (en) Method for gap filling in a gate last process
US7939392B2 (en) Method for gate height control in a gate last process
US8445940B2 (en) Source and drain feature profile for improving device performance
US8202776B2 (en) Method for protecting a gate structure during contact formation
US9893150B2 (en) Structure and method for semiconductor device
US8372755B2 (en) Multilayer hard mask
US8659089B2 (en) Nitrogen passivation of source and drain recesses
US8999794B2 (en) Self-aligned source and drain structures and method of manufacturing same
CN101728273B (zh) Pmos元件及其制造方法
US7253049B2 (en) Method for fabricating dual work function metal gates
US20090294807A1 (en) Methods of Fabricating Transistors and Structures Thereof
US7799628B2 (en) Advanced metal gate method and device
US20130230952A1 (en) Integrated circuit device and method of manufacturing same
KR101803613B1 (ko) 반도체 소자 구조물 및 그 형성 방법
US7087508B2 (en) Method of improving short channel effect and gate oxide reliability by nitrogen plasma treatment before spacer deposition

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20160316