TW201634683A - 後化學機械拋光配方及使用之方法 - Google Patents

後化學機械拋光配方及使用之方法 Download PDF

Info

Publication number
TW201634683A
TW201634683A TW105100194A TW105100194A TW201634683A TW 201634683 A TW201634683 A TW 201634683A TW 105100194 A TW105100194 A TW 105100194A TW 105100194 A TW105100194 A TW 105100194A TW 201634683 A TW201634683 A TW 201634683A
Authority
TW
Taiwan
Prior art keywords
acid
hydroxide
composition
cleaning
cleaning composition
Prior art date
Application number
TW105100194A
Other languages
English (en)
Other versions
TWI726859B (zh
Inventor
伊麗莎白 湯瑪斯
唐納德 弗萊
俊 劉
邁克 懷特
丹尼拉 懷特
王朝鈺
Original Assignee
安堤格里斯公司
先進科材股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 安堤格里斯公司, 先進科材股份有限公司 filed Critical 安堤格里斯公司
Publication of TW201634683A publication Critical patent/TW201634683A/zh
Application granted granted Critical
Publication of TWI726859B publication Critical patent/TWI726859B/zh

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2096Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/34Organic compounds containing sulfur
    • C11D3/349Organic compounds containing sulfur additionally containing nitrogen atoms, e.g. nitro, nitroso, amino, imino, nitrilo, nitrile groups containing compounds or their derivatives or thio urea
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/267Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3227Ethers thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3245Aminoacids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/34Organic compounds containing sulfur
    • C11D3/3472Organic compounds containing sulfur additionally containing -COOH groups or derivatives thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • General Chemical & Material Sciences (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

本發明係關於用於自其上具有後化學機械拋光(CMP)殘留物及污染物之微電子裝置清除該等殘留物及污染物之清潔組成物及方法。該等清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲銨。該組成物達成自微電子裝置之表面高度有效地清除後CMP殘留物及污染物材料,而不會損壞低k介電材料或銅互連材料。

Description

後化學機械拋光配方及使用之方法
本發明大致係關於用於自其上具有殘留物及/或污染物之微電子裝置清除該等物質之不含氫氧化四甲銨的組成物,其中該等組成物具有經改良的鈷相容性。
使用微電子裝置晶圓來形成積體電路。該微電子裝置晶圓包括於其中圖案化出用來沉積具有絕緣、傳導或半傳導性質之不同材料之區域的基板(諸如矽)。
為獲得正確的圖案化,必需將用於在基板上形成層之過剩材料移除。此外,為製造功能性及可靠的電路,應在後續加工之前製備平坦或平面的微電子晶圓表面。因此,需要移除及/或拋光微電子裝置晶圓的特定表面。
化學機械拋光或平面化(「CMP」)係一種將材料自微電子裝置晶圓之表面移除的過程,且藉由偶合諸如研磨之物理過程與諸如氧化或鉗合之化學過程來將表面拋光(更明確言之,平面化)。CMP之最基本形式涉及將漿液(例如,研磨劑及活性化學物質之溶液)施加至拋光墊,該拋光墊擦光微電子裝置晶圓之表面以達成移除、平面化、及拋光過程。並不希望移除或拋光過程包括純粹的物理或純粹的化學作用,而係包括兩者的增效組合以達成快速、 均勻的移除。於積體電路之製造中,CMP漿液亦應可優先移除包括金屬及其他材料之複合層的膜,以致可產生高度平面的表面供後續的微影、或圖案化、蝕刻及薄膜加工用。
近來,愈來愈常將銅用於積體電路中之金屬互連體。在常用於微電子裝置製造中之電路金屬化的銅鑲嵌製程中,必需經移除及平面化的層包括具有約1-1.5微米厚度的銅層及具有約0.05-0.15微米厚度的銅晶種層。此等銅層係藉由通常約50-300埃(Å)厚的阻障材料層與介電材料表面分隔開,其防止銅擴散至氧化物介電材料中。於拋光後跨越晶圓表面獲得良好均勻度的一項關鍵係使用針對各材料具有正確移除選擇性的CMP漿液。
前述加工操作,包括晶圓基板表面製備、沉積、電鍍、蝕刻及化學機械拋光,需要不同的清潔操作來確保微電子裝置產品不含原本將會不利地影響產品之功能,或甚至使其無法用於其預期功能的污染物。此等污染物顆粒通常小於0.3微米。
在此方面的一特定問題係於CMP加工後殘留於微電子裝置基板上的殘留物。此等殘留物包括CMP材料及腐蝕抑制劑化合物諸如苯并三唑(BTA)。若未經移除,則此等殘留物會導致損壞銅線或使銅金屬化嚴重變粗糙,以及導致後CMP施加層於裝置基板上之不良黏著。銅金屬化之嚴重粗糙化尤其成為問題,因過度粗糙的銅會導致產品微電子裝置之不良電效能。
微電子裝置製造常見之另一產生殘留物的製程涉及氣相電漿蝕刻以將經顯影光阻塗層之圖案轉移至可由硬遮罩、層間介電質(ILD)、及蝕刻終止層組成之下方層。可包括存於基板上及電漿氣體中之化學元素的後氣相電漿蝕刻殘留物通常沉積於後段 製程(BEOL)結構上,及若未經移除的話,其會干擾後續的矽化或接點形成。習知之清潔化學物質通常會損壞ILD,吸收至ILD之孔隙中,因而提高介電常數、及/或腐蝕金屬結構。
技藝中持續需要提供自基板有效移除殘留物(例如,後CMP殘留物、後蝕刻殘留物、及後灰化殘留物)的組成物及方法。該等組成物較先前技術組成物對環境更友善,且可包括創新的組分,因此可被視為係先前技術組成物的替代選擇。
本發明大致係關於用於自其上具有殘留物及/或污染物之微電子裝置清除該等殘留物及污染物之組成物及方法。本發明之清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲銨。該殘留物可包括後CMP、後蝕刻、及/或後灰化殘留物。有利地,本文描述之組成物相對於先前技術中之組成物顯現改良的鈷相容性。
在一態樣中,描述一種組成物,該組成物包括至少一種有機胺、至少一種溶劑、至少一種四級鹼、至少一種錯合劑、視需要之至少一種還原劑、視需要之至少一種額外的蝕刻劑、及視需要之至少一種清潔添加劑,其中該清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲銨。
在另一態樣中,描述一種組成物,該組成物包括至少一種有機胺、至少一種溶劑、至少一種四級鹼、至少一種錯合劑、至少一種還原劑、視需要之至少一種額外的蝕刻劑、及視需要之至少一種清潔添加劑,其中該清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲銨。
在又另一態樣中,描述一種組成物,該組成物包括至少一種有機胺、至少一種溶劑、至少一種四級鹼、至少一種錯合劑、至少一種額外的蝕刻劑、視需要之至少一種還原劑、及視需要之至少一種清潔添加劑,其中該清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲銨。
在又另一態樣中,描述一種自其上具有殘留物及污染物之微電子裝置移除該等殘留物及污染物之方法,該方法包括使微電子裝置與清潔組成物接觸足以自微電子裝置至少部分地清除該等殘留物及污染物之時間,其中該清潔組成物包括至少一種有機胺、至少一種溶劑、至少一種四級鹼、至少一種錯合劑、視需要之至少一種還原劑、視需要之至少一種額外的蝕刻劑、及視需要之至少一種清潔添加劑,其中該清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲銨。
其他態樣、特徵及優點將可由隨後之揭示內容及隨附之申請專利範圍而更完整明瞭。
本發明大致係關於適用於自其上具有殘留物及污染物之微電子裝置移除該等材料之組成物。該等組成物尤其適用於移除後CMP、後蝕刻或後灰化殘留物。
為容易參考起見,「微電子裝置」係對應於經製造用於微電子、積體電路、或電腦晶片應用中之半導體基板、平板顯示器、相變記憶體裝置、太陽能面板及包括太陽能基板、光伏打元件、 及微機電系統(MEMS)的其他產品。太陽能基板包括,但不限於,矽、非晶矽、多晶矽、單晶矽、CdTe、硒化銅銦、硫化銅銦、及砷化鎵/鎵。太陽能基板可為經摻雜或未經摻雜。應瞭解術語「微電子裝置」不具任何限制意味,且包括任何最終將成為微電子裝置或微電子組件的基板。
如本文所用之「殘留物」係相當於在微電子裝置之製造期間(包括,但不限於,電漿蝕刻、灰化、化學機械拋光、濕式蝕刻、及其組合)產生的顆粒。
如本文所用之「污染物」係相當於存在於CMP漿液中之化學物質、拋光漿液之反應副產物、存在於濕式蝕刻組成物中之化學物質、濕式蝕刻組成物之反應副產物、及任何其他作為CMP製程、濕式蝕刻、電漿蝕刻或電漿灰化製程之副產物的材料。
如本文所用之「後CMP殘留物」係相當於來自拋光漿液之顆粒(例如,含矽石顆粒)、存在於漿液中之化學物質、拋光漿液之反應副產物、富碳顆粒、拋光墊顆粒、刷的卸載顆粒、設備的構造材料顆粒、金屬、金屬氧化物、有機殘留物、及任何其他作為CMP製程之副產物的材料。如本文所定義,通常經拋光的「金屬」包括銅、鋁及鎢。
如本文所定義之「低k介電材料」係相當於任何在層狀微電子裝置中使用作為介電材料的材料,其中該材料具有小於約3.5之介電常數。低k介電材料較佳包括低極性材料諸如含矽有機聚合物、含矽之有機/無機混合材料、有機矽酸鹽玻璃(OSG)、TEOS、氟化矽酸鹽玻璃(FSG)、二氧化矽、及摻碳氧化物(CDO)玻璃。應明瞭低k介電材料可具有不同密度及不同孔隙度。
如本文所定義,「錯合劑」包括熟悉技藝人士理解為錯合劑、鉗合劑及/或錯隔劑的該等化合物。錯合劑將與待使用本文所述之組成物移除的金屬原子及/或金屬離子化學結合或以物理方式將其固持住。
如本文所定義,術語「阻障材料」係相當於任何在技藝中用來密封金屬線(例如,銅互連體),以使該金屬(例如,銅)之擴散至介電材料中減至最小的材料。較佳的阻障層材料包括鉭、鈦、釕、鉿、鎢、鈷、及其他耐火金屬及其氮化物及矽化物。
如本文所定義,「後蝕刻殘留物」係相當於在氣相電漿蝕刻製程(例如,BEOL雙重鑲嵌加工)、或濕式蝕刻製程後殘留的材料。後蝕刻殘留物之性質可為有機、有機金屬、有機矽、或無機,例如,含矽材料、碳基有機材料、及蝕刻氣體殘留物(諸如氧及氟)。
如本文所定義,本文所使用之「後灰化殘留物」係相當於在用來移除硬化光阻劑及/或底部抗反射塗層(BARC)材料之氧化或還原電漿灰化後殘留的材料。後灰化殘留物之性質可為有機、有機金屬、有機矽、或無機。
「實質上不含」在本文係定義為小於2重量%,較佳小於1重量%,更佳小於0.5重量%,及最佳小於0.1重量%。在一具體例中,「實質上不含」係相當於0%。
如本文所定義,含鈷材料包括基於材料之總重量,包含大於50重量%元素鈷的任何材料。含鈷材料的實例包括,但不限於,純鈷、氮化鈷(包括含有諸如Ta或Li之額外元素的氮化鈷)、CoP、CoSi、及矽化鈷。
如本文所使用之「約」係意指相當於所述值之±5%。
如本文所定義,「反應或降解產物」包括,但不限於,由於在表面處之催化作用、氧化、還原、與組成物組分之反應、或者其他聚合作用所形成之產物或副產物;由於物質或材料(例如,分子、化合物等)與其他物質或材料組合、與其他物質或材料交換組分、分解、重組、或以其他方式化學及/或物理改變之變化或轉變所形成之產物或副產物,包括前述反應、變化及/或轉變之任何前述或任何組合之中間產物或副產物。應明瞭反應或降解產物可具有較原始反應物更大或更小的莫耳質量。
如本文所用,「適用」於自其上具有殘留物及污染物之微電子裝置清潔該等殘留物及污染物係相當於自該微電子裝置至少部分移除該等殘留物/污染物。清潔效力係藉由在微電子裝置上的物體減少來評定。舉例來說,可使用原子力顯微鏡來進行清潔前及清潔後分析。可將樣品上之顆粒登錄為一像數範圍。可應用直方圖(例如,Sigma Scan Pro)來過濾特定強度(例如,231-235)中之像素,且計算顆粒數目。顆粒減少可使用下式來計算:
值得注意地,清潔效力之測定方法僅係提供作為實例,而不意欲對其造成限制。或者,可將清潔效力視為經顆粒物質覆蓋之總表面的百分比。舉例來說,AFM可經程式化以執行z平面掃描,來識別高於一特定高度臨限值之相關形貌面積,然後再計算經該相關面積覆蓋之總表面面積。熟悉技藝人士當可輕易明瞭在清潔後經該相關面積覆蓋的面積愈小,清潔組成物就愈有效。較佳地,使用文中 所述之組成物自微電子裝置移除至少75%之殘留物/污染物,更佳至少90%,再更佳至少95%,及最佳移除至少99%之殘留物/污染物。
本文所述之組成物可以如更完整說明於下文之相當多樣的特定配方具體實施。
在所有此等組成物中,當參照包括零下限之重量百分比範圍論述組成物之特定組分時,當明瞭在組成物之各種特定具體例中可存在或不存在此等組分,且在存在此等組分之情況中,其可以基於其中使用此等組分之組成物之總重量計低至0.001重量百分比之濃度存在。
在第一態樣中,清潔組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種有機胺、至少一種溶劑(例如,水)、至少一種四級鹼、視需要之至少一種錯合劑、視需要之至少一種還原劑、視需要之至少一種額外的蝕刻劑、及視需要之至少一種清潔添加劑,其中該清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲銨。在一具體例中,清潔組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種有機胺、至少一種溶劑(例如,水)、至少一種錯合劑、及至少一種四級鹼,其中該清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲銨。在另一具體例中,清潔組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種有機胺、至少一種溶劑(例如,水)、至少一種四級鹼、及至少一種還原劑,其中該清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲銨。在另一具體例中,清潔組成物包含以下組分,由其所組成, 或基本上由其所組成:至少一種有機胺、至少一種溶劑(例如,水)、至少一種還原劑、至少一種錯合劑、及至少一種四級鹼,其中該清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲銨。在又另一具體例中,清潔組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種有機胺、至少一種溶劑(例如,水)、至少一種錯合劑、至少一種四級鹼、及至少一種額外的蝕刻劑,其中該清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲銨。在又另一具體例中,清潔組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種有機胺、至少一種溶劑(例如,水)、至少一種錯合劑、至少一種四級鹼、及至少一種清潔添加劑,其中該清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲銨。在另一具體例中,清潔組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種有機胺、至少一種溶劑(例如,水)、至少一種還原劑、至少一種錯合劑、至少一種四級鹼、及至少一種額外的蝕刻劑,其中該清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲銨。在又另一具體例中,清潔組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種有機胺、至少一種溶劑(例如,水)、至少一種還原劑、至少一種錯合劑、至少一種四級鹼、及至少一種額外的清潔添加劑,其中該清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲銨。在又另一具體例中,清潔組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種有機胺、至少一種溶劑(例如,水)、至少一種還原劑、至少一種錯合劑、至少一種四級鹼、至少一種額外的蝕刻劑、及至少一 種額外的清潔添加劑,其中該清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲銨。有利地,本文描述之組成物相對於先前技術中之組成物顯現改良的鈷相容性。
在一較佳具體例中,第一態樣之清潔組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種四級鹼、至少一種有機胺、至少一種錯合劑、至少一種溶劑(例如,水)、及至少一種還原劑,其中至少一種錯合劑包含半胱胺酸,其中該清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲銨,其中該清潔組成物係以以下的濃縮具體例調配,其中所有百分比係基於配方之總重量以重量計:
當存在時,半胱胺酸之含量較佳係在約0.00005重量%至約0.2重量%之範圍內。雖然不欲受限於理論,但當半胱胺酸之含量大於約0.2重量%時,會在銅及鈷上形成無法相當輕易地沖洗掉且因此會造成有機殘留物之極厚的鈍化層。重量百分比的比率係如下:有機胺/錯合劑係在約1至約200之範圍內,較佳約10至約150,更佳約50至約120;四級鹼/錯合劑係在約1至約300之範圍內,較佳約10至約250,更佳約50至約200,或較佳約1至約10;及還 原劑/錯合劑係在約1至約200之範圍內,較佳約10至約150,更佳約50至約120。
在另一較佳具體例中,第一態樣之清潔組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種有機胺、至少一種錯合劑、至少一種溶劑(例如,水)、及至少一種四級鹼,其中至少一種錯合劑包含半胱胺酸,其中該清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲銨,其中該清潔組成物係以以下的濃縮具體例調配,其中所有百分比係基於配方之總重量以重量計:
當存在時,半胱胺酸之含量較佳係在約0.00005重量%至約0.2重量%之範圍內。重量百分比的比率係如下:有機胺/錯合劑係在約1至約200之範圍內,較佳約10至約150,更佳約50至約120;及四級鹼/錯合劑係在約1至約300之範圍內,較佳約10至約250,更佳約50至約200,或較佳約1至約10。
在又另一具體例中,清潔組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種有機胺、半胱胺酸、至少一種額外的錯合劑、至少一種四級鹼、水、視需要之至少一種額外的蝕刻劑、及視需要之至少一種清潔添加劑,其中該清潔組成物係 以以下的濃縮具體例調配,其中所有百分比係基於配方之總重量以重量計:
重量百分比的比率係如下:有機胺/半胱胺酸係在約1至約200之範圍內,較佳約10至約150,更佳約50至約120;四級鹼/半胱胺酸係在約0.1至約100之範圍內,較佳約1至約60,更佳約2至約25;及錯合劑/半胱胺酸係在約0.01至約50之範圍內,較佳約0.1至約30,更佳約1至約10。
在又另一較佳具體例中,第一態樣之清潔組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種有機胺、至少一種錯合劑、至少一種溶劑(例如,水)、至少一種四級鹼、及至少一種額外的蝕刻劑,其中至少一種錯合劑包含半胱胺酸,其中該清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲銨,及其中半胱胺酸之含量係基於組成物之總重量在約0.00005重量%至約0.2重量%之範圍內。重量百分比的比率係如下:有機胺/錯合劑係在約1至約200之範圍內,較佳約1至約40,更佳約1至約20;四級鹼/錯合劑係在約1至約300之範圍內,較 佳約10至約100,更佳約20至約80或約1至約10;及額外的蝕刻劑/錯合劑係在約1至約100之範圍內,較佳約10至約80,更佳約10至約50。
可有用於特定組成物中之說明性的有機胺包括具有通式NR1R2R3之物質,其中R1、R2及R3可彼此相同或不同且係選自由氫、直鏈或分支鏈C1-C6烷基(例如,甲基、乙基、丙基、丁基、戊基、及己基)、直鏈或分支鏈C1-C6醇(例如,甲醇、乙醇、丙醇、丁醇、戊醇、及己醇)、及具有式R4-O-R5之直鏈或分支鏈醚(其中R4及R5可彼此相同或不同且係選自由如以上定義之C1-C6烷基所組成之群)所組成之群。最佳地,R1、R2及R3中之至少一者為直鏈或分支鏈C1-C6醇。實例包括,但不限於,烷醇胺諸如烷醇胺諸如胺基乙基乙醇胺、N-甲基胺基乙醇、胺基乙氧基乙醇、二甲胺基乙氧乙醇、二乙醇胺、N-甲基二乙醇胺、單乙醇胺、三乙醇胺、1-胺基-2-丙醇、3-胺基-1-丙醇、二異丙基胺、異丙基胺、2-胺基-1-丁醇、異丁醇胺、其他C1-C8烷醇胺、及其組合;胺諸如三伸乙二胺、乙二胺、六亞甲二胺、二伸乙三胺、三乙胺、三甲胺、及其組合;二甘醇胺;啉;及胺與烷醇胺之組合。當胺包括醚組分時,該胺可被視為烷氧基胺,例如,1-甲氧基-2-胺基乙烷。較佳地,有機胺包括單乙醇胺。
還原劑(當存在時)包括,但不限於,抗壞血酸、L(+)-抗壞血酸、異抗壞血酸、抗壞血酸衍生物、五倍子酸、甲脒亞磺酸、尿酸、酒石酸、半胱胺酸、及其任何組合。較佳地,該還原劑包括抗壞血酸、酒石酸、或其組合。
四級鹼包括具有式NR1R2R3R4OH之化合物,其中 R1、R2、R3及R4可彼此相同或不同且係選自由氫、直鏈或分支鏈C2-C6烷基(例如,甲基、乙基、丙基、丁基、戊基、及己基)、及經取代或未經取代之C6-C10芳基(例如,苄基)所組成之群。可於市面購得之氫氧化四烷基銨包括氫氧化四乙銨(TEAH)、氫氧化四丙銨(TPAH)、氫氧化四丁銨(TBAH)、氫氧化三丁基甲基銨(TBMAH)、氫氧化苄基三甲基銨(BTMAH)、氫氧化膽鹼、氫氧化乙基三甲基銨、氫氧化參(2-羥乙基)甲基銨、氫氧化二乙基二甲基銨、及其組合。不可於市面購得之氫氧化四烷基銨可以類似熟悉技藝人士所知曉之用於製備TEAH、TPAH、TBAH、TBMAH、及BTMAH之經公開合成方法的方式製備得。另一種選擇或除此之外,該至少一種四級鹼可係式(PR1R2R3R4)OH之化合物,其中R1、R2、R3及R4可彼此相同或不同且係選自由氫、直鏈C1-C6烷基(例如,甲基、乙基、丙基、丁基、戊基、己基)、分支鏈C1-C6烷基、C1-C6烷醇(例如,甲醇、乙醇、丙醇、丁醇、戊醇、己醇)、經取代之C6-C10芳基、未經取代之C6-C10芳基(例如,苄基)、及其任何組合所組成之群,諸如氫氧化四丁基鏻(TBPH)、氫氧化四甲基鏻、氫氧化四乙基鏻、氫氧化四丙基鏻、氫氧化苄基三苯基鏻、氫氧化甲基三苯基鏻、氫氧化乙基三苯基鏻、氫氧化N-丙基三苯基鏻。較佳地,該四級鹼包括氫氧化膽鹼。
本文涵蓋的錯合劑包括,但不限於,乙酸、丙酮肟、丙烯酸、己二酸、丙胺酸、精胺酸、天門冬醯胺酸、天門冬酸、甜菜鹼、二甲基乙二肟、甲酸、反丁烯二酸、葡萄糖酸、麩胺酸、麩醯胺酸、戊二酸、甘油酸、甘油、羥乙酸、乙醛酸、組胺酸、亞胺二乙酸、間苯二甲酸、衣康酸、乳酸、白胺酸、離胺酸、順丁烯二 酸、順丁烯二酸酐、蘋果酸、丙二酸、苯乙醇酸、2,4-戊二酮、苯基乙酸、苯基丙胺酸、酞酸、脯胺酸、丙酸、鄰苯二酚、1,2,4,5-苯四甲酸、金雞納酸(quinic acid)、絲胺酸、山梨糖醇、琥珀酸、酒石酸、對苯二甲酸、1,2,4-苯三甲酸、1,3,5-苯三甲酸、酪胺酸、纈胺酸、木糖醇、乙二胺、草酸、單寧酸、苯甲酸、苯甲酸銨、兒茶酚、五倍子酚、間苯二酚、氫醌、三聚氰酸、巴比妥酸及衍生物諸如1,2-二甲基巴比妥酸、α-酮酸諸如丙酮酸、丙硫醇、苯甲羥肟酸、四伸乙五胺(TEPA)、4-(2-羥乙基)啉(HEM)、N-胺乙基哌(N-AEP)、乙二胺四乙酸(EDTA)、1,2-環己烷二胺-N,N,N’,N’-四乙酸(CDTA)、甘胺酸/抗壞血酸、亞胺二乙酸(IDA)、2-(羥乙基)亞胺二乙酸(HIDA)、氮基三乙酸、硫脲、1,1,3,3-四甲基脲、尿素、尿素衍生物、甘胺酸、丙胺酸、精胺酸、天門冬醯胺酸、天門冬胺酸、半胱胺酸、麩胺酸、戊二酸、麩醯胺酸、組胺酸、異白胺酸、白胺酸、離胺酸、甲硫胺酸、苯基丙胺酸、哌啶、N-(2-胺乙基)哌啶、脯胺酸、吡咯啶、絲胺酸、蘇胺酸、色胺酸、酪胺酸、纈胺酸、膦酸及其衍生物諸如1-羥亞乙基-1,1-二膦酸(HEDP)、1,5,9-三吖環十二烷-N,N’,N”-參(亞甲基膦酸)(DOTRP)、1,4,7,10-四吖環十二烷-N,N’,N”,N’”-肆(亞甲基膦酸)(DOTP)、氮基參(亞甲基)三膦酸、二伸乙三胺五(亞甲基膦酸)(DETAP)、胺基三(亞甲基膦酸)、雙(六亞甲基)三胺膦酸、1,4,7-三吖環壬烷-N,N’,N”-參(亞甲基膦酸)(NOTP)、其鹽及衍生物、及其組合。在一較佳具體例中,較佳地,錯合劑包括半胱胺酸、草酸、二甲基乙二肟、酒石酸、或其任何組合。在一較佳具體例中,錯合劑包括半胱胺酸及草酸。
當存在時,該至少一種額外的蝕刻劑包括,但不限 於,啉、二甘醇胺、3-丁氧丙基胺、丙二醇單丁醚(例如,DOWANOL PnB(The Dow Chemical Company)、羥乙基啉、羥丙基啉、胺乙基啉、胺丙基啉、五甲基二伸乙三胺(PMDETA)、三甲基胺乙基乙醇胺、三甲基胺丙基乙醇胺、及其組合。當存在時,該至少一種額外的蝕刻劑包括啉、二甘醇胺、或其組合。
當存在時,該至少一種清潔添加劑包括,但不限於,羥丙基纖維素、羥乙基纖維素、羧甲基纖維素、羧甲基纖維素鈉(Na CMC)、聚乙烯基吡咯啶酮(PVP)、任何使用N-乙烯基吡咯啶酮單體製得之聚合物、聚丙烯酸酯及聚丙烯酸酯之類似物、聚胺基酸(例如,聚丙胺酸、聚白胺酸、聚甘胺酸等)、聚醯胺基羥基胺基甲酸酯、聚內酯、聚丙烯醯胺、黃原膠、幾丁聚醣、聚氧化乙烯、聚乙烯醇、聚乙酸乙烯酯、聚丙烯酸、聚乙烯亞胺、糖醇諸如山梨糖醇及木糖醇、無水山梨糖醇酯、二級醇乙氧化物諸如TERGITOL、及其組合。當存在時,該至少一種清潔添加劑係基於組成物之總重量以約0.0001重量%至約1重量%、較佳約0.0001重量%至約0.2重量%之量存在於第一態樣之清潔組成物中。
在一特佳具體例中,第一態樣之清潔組成物包含以下組分,由其所組成,或基本上由其所組成:氫氧化膽鹼、至少一種胺、至少一種錯合劑、至少一種還原劑、及水,其中該清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲銨。舉例來說,第一態樣之清潔組成物可包含以下組分,由其所組成,或基本上由其所組成:氫氧化膽鹼、至少一種烷醇胺、半胱胺酸、至少一種還原劑、及水,較佳為氫氧化膽鹼、單乙醇胺(MEA)、半胱胺酸、抗壞血酸、及水,其中該清潔組成物實質上不含鹼金屬 氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲銨,且其中半胱胺酸之含量係在約0.00005重量%至約0.2重量%之範圍內。重量百分比的比率係如下:有機胺/錯合劑係在約1至約200之範圍內,較佳約10至約150,更佳約50至約120;氫氧化膽鹼/錯合劑係在約1至約300之範圍內,較佳約10至約250,更佳約50至約200,或較佳約1至約10;及還原劑/錯合劑係在約1至約200之範圍內,較佳約10至約150,更佳約50至約120。
在另一特佳具體例中,第一態樣之清潔組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種胺、半胱胺酸、氫氧化膽鹼、及水,其中該清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲銨。舉例來說,清潔組成物可包含以下組分,由其所組成,或基本上由其所組成:至少一種烷醇胺、半胱胺酸、氫氧化膽鹼、及水,較佳為單乙醇胺、半胱胺酸、氫氧化膽鹼、視需要之酒石酸、及水,其中該清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲銨,且其中半胱胺酸之含量係在約0.00005重量%至約0.2重量%之範圍內。在另一具體例中,第一態樣之清潔組成物可包含以下組分,由其所組成,或基本上由其所組成:至少一種烷醇胺、半胱胺酸、至少一種額外的錯合劑、氫氧化膽鹼、及水,較佳為單乙醇胺、半胱胺酸、氫氧化膽鹼、草酸、及水,其中該清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲銨,且其中半胱胺酸之含量係在約0.00005重量%至約0.2重量%之範圍內。重量百分比的比率係如下:有機胺/錯合劑係在約1至約200之範圍內,較佳約10至約150,更佳約50至約120;及四級鹼/錯合劑係在 約1至約300之範圍內,較佳約10至約250,更佳約50至約200,或較佳約1至約10。
在又另一特佳具體例中,第一態樣之清潔組成物包含以下組分,由其所組成,或基本上由其所組成:至少一種胺、至少一種錯合劑、氫氧化膽鹼、至少一種額外的蝕刻劑、及水,其中該清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲銨。舉例來說,清潔組成物可包含以下組分,由其所組成,或基本上由其所組成:至少一種烷醇胺、半胱胺酸、氫氧化膽鹼、至少一種額外的蝕刻劑、水、及需要之至少一種額外的錯合劑,較佳為(i)單乙醇胺、半胱胺酸、氫氧化膽鹼、啉或二甘醇胺、及水,或(ii)較佳為單乙醇胺、半胱胺酸、草酸、氫氧化膽鹼、啉或二甘醇胺、及水,其中該清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲銨,且其中半胱胺酸之含量係在約0.00005重量%至約0.2重量%之範圍內。重量百分比的比率係如下:有機胺/半胱胺酸係在約1至約100之範圍內,較佳約1至約40,更佳約1至約20;四級鹼/錯合劑係在約1至約300之範圍內,較佳約10至約100,更佳約20至約80或約1至約10;及額外的蝕刻劑/錯合劑係在約1至約100之範圍內,較佳約10至約80,更佳約10至約50。
第一態樣之清潔組成物可進一步包含至少一種金屬腐蝕抑制劑。當存在時,該至少一種金屬腐蝕抑制劑係經添加至第一態樣之清潔組成物中來降低金屬(例如,銅、鋁)之腐蝕速率、以及增進清潔效能。涵蓋的腐蝕抑制劑包括,但不限於:腺苷酸、腺嘌呤、吡唑、1,2,4-三唑、1,2,3-三唑、咪唑、1H-吡唑-4-羧酸、3- 胺基-5-第三丁基-1H-吡唑、5-胺基-1H-四唑、4-甲基吡唑、2-巰基苯并咪唑、2-胺基-5-(乙硫基)-1,3,4-噻二唑、2-胺基-5-乙基-1,3,4-噻二唑、其衍生物、及其組合。該至少一種金屬腐蝕抑制劑之含量較佳係在約0.001重量%至約1重量%之範圍內。
第一態樣之清潔組成物尤其適用於自微電子裝置結構清除殘留物及污染物(例如,後CMP殘留物、後蝕刻殘留物、後灰化殘留物)及污染物,同時仍可與存在於表面上之含鈷材料相容。不管具體例為何,清潔組成物較佳在自微電子裝置移除殘留物材料之前實質上不含(或不含)下列中之至少一者:氧化劑(例如,過氧化氫);含氟化物來源;研磨劑材料;鹼金屬及/或鹼土金屬鹼;氫氧化四甲銨;半胱胺酸之衍生物;表面活性劑;鋶化合物;醯胺肟化合物;及其組合。此外,清潔組成物不應固化形成聚合固體,例如,光阻劑。
第一態樣之清潔組成物之pH係大於7,較佳在約10至大於14之範圍內,最佳在約12至約14之範圍內。
組分之重量百分比比率的範圍將涵蓋第一態樣組成物之所有可能的濃縮或稀釋具體例。為此,在一具體例中,提供可經稀釋用作清潔溶液之濃縮清潔組成物。濃縮清潔組成物或「濃縮物」有利地容許使用者(例如,CMP製程工程師)在使用點將濃縮物稀釋至期望濃度及pH。濃縮清潔組成物之稀釋可在約1:1至約2500:1之範圍內,較佳約5:1至約200:1,及最佳約25:1至約100:1,其中清潔組成物係在工具處或工具之前方才用溶劑(例如,去離子水)稀釋。熟悉技藝人士應明瞭於稀釋後,此處揭示之組分的重量百分比比率範圍應維持不變。
第一態樣之組成物可有用於包括,但不限於,後蝕刻殘留物移除、後灰化殘留物移除表面製備、後電鍍清潔及後CMP殘留物移除的應用。此外,涵蓋清潔組成物可有用於清潔及保護其他金屬(例如,含銅)產品,包括,但不限於,裝飾性金屬、金屬線接合、印刷電路板及其他使用金屬或金屬合金之電子封裝。
在又另一較佳具體例中,第一態樣之清潔組成物進一步包含殘留物及/或污染物。殘留物及污染物可溶解於組成物中。或者,殘留物及污染物可懸浮於組成物中。殘留物較佳包括後CMP殘留物、後蝕刻殘留物、後灰化殘留物、污染物、或其組合。
文中所述之清潔組成物係經由簡單地添加各別成分及混合至均勻狀態而容易地調配得。此外,可輕易地將組成物調配為在使用點處或使用點之前混合的單一包裝調配物或多份調配物,例如,可將多份調配物之個別份於工具處或於工具上游之儲槽中混合。各別成分的濃度可在組成物的特定倍數內寬廣地改變,即更稀或更濃,且當明瞭本文所述之組成物可變化及替代地包含與本文之揭示內容一致之成分的任何組合,由其所組成,或基本上由其所組成。
因此,另一態樣係關於一種套組,其包括存於一或多個容器中之一或多種適於形成本文所述之清潔組成物的組分。套組可包括用於在工廠或使用點處與溶劑(例如,水)結合之存於一或多個容器中之至少一種四級鹼、至少一種胺、至少一種錯合劑、至少一種還原劑、水、視需要之至少一種額外的蝕刻劑、及視需要之至少一種清潔添加劑。在另一具體例中,套組可包括用於在工廠或使用點處與溶劑(例如,水)結合之存於一或多個容器中之至少一種四 級鹼、至少一種胺、至少一種錯合劑、水、視需要之至少一種額外的蝕刻劑、及視需要之至少一種清潔添加劑。或者,套組可包括用於在工廠或使用點處與彼此及與溶劑(例如,水)結合之存於第一容器中之至少一種胺、半胱胺酸、至少一種四級鹼、及水。在另一替代選擇中,套組可包括用於在工廠或使用點處與溶劑(例如,水)結合之存於一或多個容器中之至少一種四級鹼、至少一種胺、半胱胺酸、至少一種額外的錯合劑、水、視需要之至少一種額外的蝕刻劑、及視需要之至少一種清潔添加劑。套組之容器必需適於儲存及運送該清潔組成物,例如,NOWPak®容器(Entegris,Inc.,Billerica,Mass.,USA)。套組容器較佳實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲銨。
當應用至微電子製造操作時,文中所述之清潔組成物可有效用於自微電子裝置之表面清潔後CMP殘留物及/或污染物。清潔組成物不會實質地損壞低k介電材料、含鈷材料,或腐蝕裝置表面上的金屬互連體。清潔組成物較佳移除在殘留物移除前存在於裝置上之殘留物的至少85%,更佳至少90%,再更佳至少95%,及最佳至少99%。
在後CMP殘留物及污染物清潔應用中,文中描述之清潔組成物可配合相當多樣之習知清潔工具諸如超音波震盪(megasonics)及刷洗使用,其包括,但不限於,Verteq單晶圓超音波震盪Goldfinger、OnTrak系統DDS(雙面洗滌器)、SEZ或其他單晶圓噴霧沖洗、Applied Materials Mirra-MesaTM/ReflexionTM/Reflexion LKTM、及Megasonic批式濕式台面系統。
在使用組成物於自其上具有後CMP殘留物、後蝕刻 殘留物、後灰化殘留物及/或污染物之微電子裝置清潔該等物質時,典型上使清潔組成物與裝置在約20℃至約90℃、較佳約20℃至約50℃範圍內之溫度下接觸約5秒至約10分鐘、較佳約1秒至20分鐘、較佳約15秒至約5分鐘之時間。此等接觸時間及溫度係為說明性,在方法的廣泛實務中,可採用任何其他可有效於自裝置至少部分地清潔後CMP殘留物/污染物的適當時間及溫度條件。「至少部分地清潔」及「實質移除」皆係相當於移除在殘留物移除前存在於裝置上之殘留物的至少85%,更佳至少90%,再更佳至少95%,及最佳至少99%。
於達成期望的清潔作用後,可輕易地將清潔組成物自其先前經施用的裝置移除,此可能係在本文所述組成物之指定最終應用中所需且有效。沖洗溶液較佳包括去離子水。其後可使用氮氣或旋轉乾燥循環乾燥裝置。
又另一態樣係關於根據本文所述方法製得之改良的微電子裝置,及含有該等微電子裝置之產品。
另一態樣係關於一種經再循環的清潔組成物,其中該清潔組成物可經再循環直至殘留物及/或污染物載入量達到清潔組成物所可容納之最大量為止,此係可由熟悉技藝人士輕易地決定。
又再一態樣係關於製造包含微電子裝置之物件的方法,該方法包括使用文中所述之清潔組成物,使微電子裝置與清潔組成物接觸足夠的時間,以自其上具有後CMP殘留物及污染物之微電子裝置清潔該等殘留物及污染物,及將該微電子裝置併入至該物件中。
在另一態樣中,描述一種自其上具有後CMP殘留物及污染物之微電子裝置移除該等物質之方法,該方法包括:使用CMP漿液拋光微電子裝置;使微電子裝置與文中描述之清潔組成物接觸足夠的時間,以自微電子裝置移除後CMP殘留物及污染物,而形成含有後CMP殘留物之組成物;及使微電子裝置與含有後CMP殘留物之組成物持續接觸足夠的時間長度,以達成微電子裝置的實質清潔,另一態樣係關於一種包含清潔組成物、微電子裝置、及選自由殘留物、污染物及其組合所組成之群之材料的製造物件,其中該清潔組成物包含至少一種四級鹼、至少一種胺、至少一種錯合劑、視需要之至少一種還原劑、視需要之至少一種額外的蝕刻劑、視需要之至少一種清潔添加劑、及水,且其中該清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲銨,且該殘留物包括後CMP殘留物、後蝕刻殘留物及後灰化殘留物中之至少一者。
另一態樣係關於一種包含清潔組成物、微電子裝置、及選自由殘留物、污染物及其組合所組成之群之材料的製造物件,其中該清潔組成物包含至少一種胺、半胱胺酸、至少一種四級鹼、視需要之至少一種額外的鉗合劑、視需要之至少一種還原劑、視需要之至少一種額外的蝕刻劑、視需要之至少一種清潔添加劑、及水,其中該組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲銨,且該殘留物包括後CMP殘留物、後蝕刻殘留物及後灰化殘留物中之至少一者。
實施例1
製備如下顯示的三種溶液A-D。將此等濃縮物用去離子水以約60:1至250:1之範圍稀釋。其後經由將Co及Cu之PVD試樣於各溶液中在25℃下浸泡30分鐘來測定銅及鈷的蝕刻速率。
經測定配方D具有最低的Co蝕刻速率。不受限於理論,據認為較低的蝕刻速率可能係pH(在約10至約14之範圍內)及/或存在半胱胺酸,從而容許形成CoO及/或Co氫氧化物層,因而鈍化Co金屬的結果。在配方E之情況中,Co蝕刻速率較配方D高,但銅實質上受到保護。
雖然本發明已參照說明性具體例及特徵以不同方式揭示於文中,但當明瞭前文所描述之具體例及特徵並不意欲限制本發明,且熟悉技藝人士基於文中之揭示內容當可明白其他的變化、修改及其他具體例。因此,本發明應經廣泛地解釋為涵蓋於後文陳述之申請專利範圍之精神及範疇內之所有該等變化、修改及替代具體例。

Claims (20)

  1. 一種組成物,其包括至少一種有機胺、至少一種溶劑、至少一種四級鹼、至少一種錯合劑、視需要之至少一種還原劑、視需要之至少一種額外的蝕刻劑、及視需要之至少一種清潔添加劑,其中該清潔組成物實質上不含鹼金屬氫氧化物、鹼土金屬氫氧化物、及氫氧化四甲銨。
  2. 如請求項1之組成物,其中,該至少一種有機胺包括具有通式NR1R2R3之物質,其中R1、R2及R3可彼此相同或不同且係選自由氫、直鏈或分支鏈C1-C6烷基、直鏈或分支鏈C1-C6醇、及具有式R4-O-R5之直鏈或分支鏈醚(其中R4及R5可彼此相同或不同且係選自由C1-C6烷基所組成之群)所組成之群。
  3. 如請求項1之組成物,其中,該至少一種有機胺包括選自由下列所組成之群之物質:胺基乙基乙醇胺、N-甲基胺基乙醇、胺基乙氧基乙醇、二甲胺基乙氧乙醇、二乙醇胺、N-甲基二乙醇胺、單乙醇胺、三乙醇胺、1-胺基-2-丙醇、3-胺基-1-丙醇、二異丙基胺、異丙基胺、2-胺基-1-丁醇、異丁醇胺、其他C1-C8烷醇胺、三伸乙二胺、乙二胺、六亞甲二胺、二伸乙三胺、三乙胺、三甲胺、1-甲氧基-2-胺基乙烷、二甘醇胺、啉、及其組合,較佳為單乙醇胺。
  4. 如請求項1至3中任一項之組成物,其中,該至少一種四級鹼具有式NR1R2R3R4OH或PR1R2R3R4OH,其中R1、R2、R3及R4可彼此相同或不同且係選自由氫、直鏈或分支鏈C2-C6烷基、及經取代或未經取代之C6-C10芳基所組成之群。
  5. 如請求項1至3中任一項之組成物,其中,該至少一種四級鹼包括選自由下列所組成之群之物質:氫氧化四乙銨(TEAH)、氫氧化 四丙銨(TPAH)、氫氧化四丁銨(TBAH)、氫氧化三丁基甲基銨(TBMAH)、氫氧化苄基三甲基銨(BTMAH)、氫氧化乙基三甲基銨、氫氧化膽鹼、氫氧化參(2-羥乙基)甲基銨、氫氧化二乙基二甲基銨、氫氧化四丁基鏻(TBPH)、氫氧化四甲基鏻、氫氧化四乙基鏻、氫氧化四丙基鏻、氫氧化苄基三苯基鏻、氫氧化甲基三苯基鏻、氫氧化乙基三苯基鏻、氫氧化N-丙基三苯基鏻、及其組合,較佳為氫氧化膽鹼。
  6. 如請求項1至3中任一項之組成物,其中,該至少一種錯合劑包括選自由下列所組成之群之物質:乙酸、丙酮肟、丙烯酸、己二酸、丙胺酸、精胺酸、天門冬醯胺酸、天門冬酸、甜菜鹼、二甲基乙二肟、甲酸、反丁烯二酸、葡萄糖酸、麩胺酸、麩醯胺酸、戊二酸、甘油酸、甘油、羥乙酸、乙醛酸、組胺酸、亞胺二乙酸、間苯二甲酸、衣康酸、乳酸、白胺酸、離胺酸、順丁烯二酸、順丁烯二酸酐、蘋果酸、丙二酸、苯乙醇酸、2,4-戊二酮、苯基乙酸、苯基丙胺酸、酞酸、脯胺酸、丙酸、鄰苯二酚、1,2,4,5-苯四甲酸、金雞納酸(quinic acid)、絲胺酸、山梨糖醇、琥珀酸、酒石酸、對苯二甲酸、1,2,4-苯三甲酸、1,3,5-苯三甲酸、酪胺酸、纈胺酸、木糖醇、乙二胺、草酸、單寧酸、苯甲酸、苯甲酸銨、兒茶酚、五倍子酚、間苯二酚、氫醌、三聚氰酸、巴比妥酸、1,2-二甲基巴比妥酸、丙酮酸、丙硫醇、苯甲羥肟酸、四伸乙五胺(TEPA)、4-(2-羥乙基)啉(HEM)、N-胺乙基哌(N-AEP)、乙二胺四乙酸(EDTA)、1,2-環己烷二胺-N,N,N’,N’-四乙酸(CDTA)、甘胺酸/抗壞血酸、亞胺二乙酸(IDA)、2-(羥乙基)亞胺二乙酸(HIDA)、氮基三乙酸、硫脲、1,1,3,3-四甲基脲、尿素、尿素衍生物、甘胺酸、丙胺酸、精胺酸、天門冬 醯胺酸、天門冬胺酸、半胱胺酸、戊二酸、麩胺酸、麩醯胺酸、組胺酸、異白胺酸、白胺酸、離胺酸、甲硫胺酸、苯基丙胺酸、哌啶、N-(2-胺乙基)哌啶、脯胺酸、吡咯啶、絲胺酸、蘇胺酸、色胺酸、酪胺酸、纈胺酸、膦酸、1-羥亞乙基-1,1-二膦酸(HEDP)、1,5,9-三吖環十二烷-N,N’,N”-參(亞甲基膦酸)(DOTRP)、1,4,7,10-四吖環十二烷-N,N’,N”,N’”-肆(亞甲基膦酸)(DOTP)、氮基參(亞甲基)三膦酸、二伸乙三胺五(亞甲基膦酸)(DETAP)、胺基三(亞甲基膦酸)、雙(六亞甲基)三胺膦酸、1,4,7-三吖環壬烷-N,N’,N”-參(亞甲基膦酸)(NOTP)、其鹽及衍生物、及其組合,較佳為半胱胺酸、二甲基乙二肟、酒石酸、草酸、或其任何組合。
  7. 如請求項6之組成物,其中,該至少一種錯合劑包括半胱胺酸、草酸、或半胱胺酸及草酸之組合。
  8. 如請求項1至3中任一項之組成物,其包括至少一種還原劑,其中該至少一種還原劑包括選自由下列所組成之群之物質:抗壞血酸、L(+)-抗壞血酸、異抗壞血酸、抗壞血酸衍生物、五倍子酸、甲脒亞磺酸、尿酸、酒石酸、半胱胺酸、及其任何組合,較佳為抗壞血酸。
  9. 如請求項1至3中任一項之組成物,其包括該至少一種額外的蝕刻劑,其中該至少一種額外的蝕刻劑係選自由下列所組成之群:啉、二甘醇胺、3-丁氧丙基胺、丙二醇單丁醚、羥乙基啉、羥丙基啉、胺乙基啉、胺丙基啉、五甲基二伸乙三胺(PMDETA)、三甲基胺乙基乙醇胺、三甲基胺丙基乙醇胺、及其組合,較佳為啉、二甘醇胺、或啉及二甘醇胺之組合。
  10. 如請求項1至3中任一項之組成物,其包括該至少一種清潔 添加劑,其中該至少一種清潔添加劑係選自由下列所組成之群:羥丙基纖維素、羥乙基纖維素、羧甲基纖維素、羧甲基纖維素鈉(Na CMC)、聚乙烯基吡咯啶酮(PVP)、任何使用N-乙烯基吡咯啶酮單體製得之聚合物、聚丙烯酸酯及聚丙烯酸酯之類似物、聚丙胺酸、聚白胺酸、聚甘胺酸、聚醯胺基羥基胺基甲酸酯、聚內酯、聚丙烯醯胺、黃原膠、幾丁聚醣、聚氧化乙烯、聚乙烯醇、聚乙酸乙烯酯、聚丙烯酸、聚乙烯亞胺、山梨糖醇、木糖醇、無水山梨糖醇酯、二級醇乙氧化物、及其組合。
  11. 如請求項1至3中任一項之組成物,其進一步包括至少一種選自由下列所組成之群之金屬腐蝕抑制劑:腺苷酸、腺嘌呤、吡唑、1,2,4-三唑、1,2,3-三唑、咪唑、1H-吡唑-4-羧酸、3-胺基-5-第三丁基-1H-吡唑、5-胺基-1H-四唑、4-甲基吡唑、2-巰基苯并咪唑、2-胺基-5-(乙硫基)-1,3,4-噻二唑、2-胺基-5-乙基-1,3,4-噻二唑、其衍生物、及其組合。
  12. 如請求項1至3中任一項之組成物,其包括基於該組成物之總重量在約0.00005重量%至約0.2重量%之範圍內的半胱胺酸。
  13. 如請求項1至3中任一項之清潔組成物,其中,該至少一種溶劑包括水。
  14. 如請求項1至3中任一項之清潔組成物,其中,該組成物實質上不含下列中之至少一者:氧化劑(例如,過氧化氫);含氟化物來源;研磨劑材料;鹼金屬及/或鹼土金屬鹼;氫氧化四甲銨;半胱胺酸之衍生物;表面活性劑;鋶化合物;醯胺肟化合物;及其組合。
  15. 如請求項1至3中任一項之清潔組成物,其中,該組成物具 有在約10至大於14範圍內之pH。
  16. 如請求項1至3中任一項之清潔組成物,其中,該組成物包含半胱胺酸且具有在約10至約14範圍內之pH。
  17. 如請求項1至3中任一項之清潔組成物,其進一步包含殘留物及污染物,其中該殘留物包括後CMP殘留物、後蝕刻殘留物、後灰化殘留物、或其組合。
  18. 如請求項1至3中任一項之清潔組成物,其中,該組成物係以約5:1至約250:1之範圍稀釋。
  19. 如請求項18之清潔組成物,其中,該稀釋劑包括水。
  20. 一種自其上具有殘留物及污染物之微電子裝置移除該等殘留物及污染物之方法,該方法包括使該微電子裝置與請求項1至3中任一項之清潔組成物接觸足夠的時間,以自該微電子裝置至少部分地清除該等殘留物及污染物。
TW105100194A 2015-01-05 2016-01-05 後化學機械拋光配方及使用之方法 TWI726859B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562099844P 2015-01-05 2015-01-05
US62/099,844 2015-01-05
US201562236116P 2015-10-01 2015-10-01
US62/236,116 2015-10-01

Publications (2)

Publication Number Publication Date
TW201634683A true TW201634683A (zh) 2016-10-01
TWI726859B TWI726859B (zh) 2021-05-11

Family

ID=56356345

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105100194A TWI726859B (zh) 2015-01-05 2016-01-05 後化學機械拋光配方及使用之方法

Country Status (7)

Country Link
US (1) US10351809B2 (zh)
EP (1) EP3243213A4 (zh)
JP (3) JP6599464B2 (zh)
KR (1) KR102058426B1 (zh)
CN (2) CN107208007A (zh)
TW (1) TWI726859B (zh)
WO (1) WO2016111990A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10731109B2 (en) 2017-04-11 2020-08-04 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
TWI734149B (zh) * 2018-07-24 2021-07-21 美商慧盛材料美國責任有限公司 後蝕刻殘留物的清潔組合物及其使用方法
TWI752196B (zh) * 2017-03-17 2022-01-11 日商三菱化學股份有限公司 半導體裝置用基板之清潔劑組合物、半導體裝置用基板之清潔方法、半導體裝置用基板之製造方法及半導體裝置用基板

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107208007A (zh) 2015-01-05 2017-09-26 恩特格里斯公司 化学机械抛光后调配物及其使用方法
US10988718B2 (en) 2016-03-09 2021-04-27 Entegris, Inc. Tungsten post-CMP cleaning composition
JP6991711B2 (ja) 2016-12-27 2022-01-12 関東化学株式会社 洗浄液組成物
US11035044B2 (en) * 2017-01-23 2021-06-15 Versum Materials Us, Llc Etching solution for tungsten and GST films
KR101789251B1 (ko) * 2017-03-17 2017-10-26 영창케미칼 주식회사 화학적 기계적 연마 후 세정용 조성물
WO2018217628A1 (en) * 2017-05-25 2018-11-29 Fujifilm Planar Solutions, LLC Chemical mechanical polishing slurry for cobalt applications
US11446708B2 (en) 2017-12-04 2022-09-20 Entegris, Inc. Compositions and methods for reducing interaction between abrasive particles and a cleaning brush
IL268216B2 (en) * 2018-07-24 2023-02-01 Versum Mat Us Llc Cleaning products for residues after burning and methods of using them
US11085011B2 (en) 2018-08-28 2021-08-10 Entegris, Inc. Post CMP cleaning compositions for ceria particles
US11060051B2 (en) 2018-10-12 2021-07-13 Fujimi Incorporated Composition for rinsing or cleaning a surface with ceria particles adhered
CN112996893A (zh) * 2018-11-08 2021-06-18 恩特格里斯公司 化学机械研磨后(post cmp)清洁组合物
TWI821455B (zh) 2018-12-10 2023-11-11 美商恩特葛瑞斯股份有限公司 化學機械研磨後清潔組合物
KR102625498B1 (ko) * 2018-12-21 2024-01-17 엔테그리스, 아이엔씨. 코발트 기판의 cmp-후 세정을 위한 조성물 및 방법
KR102612416B1 (ko) 2018-12-24 2023-12-08 삼성전자주식회사 세정 장치 및 세정 장치의 구동 방법
EP3921400A4 (en) * 2019-02-08 2022-10-19 Entegris, Inc. CEROXIDE REMOVAL COMPOSITIONS
KR101988481B1 (ko) * 2019-03-19 2019-06-12 제이엘켐 주식회사 반도체 기판용 세정액
CN110004449A (zh) * 2019-04-24 2019-07-12 上海新阳半导体材料股份有限公司 稳定型化学机械抛光后清洗液、其制备方法和应用
CN114846573A (zh) 2019-12-03 2022-08-02 Nec网络和传感器系统株式会社 微波管及控制微波管的方法
CN111041539B (zh) * 2020-01-02 2021-07-30 杭州和韵科技有限公司 一种铝阳极氧化染色前双步表调剂及其制备与应用
CN113430064B (zh) * 2020-03-23 2024-04-26 上海新阳半导体材料股份有限公司 一种无羟胺水基清洗液、其制备方法及应用
CN111621369A (zh) * 2020-06-11 2020-09-04 中山翰华锡业有限公司 高端集成电路板用中性环保水基清洗剂及其制备方法
WO2022014287A1 (ja) * 2020-07-14 2022-01-20 富士フイルムエレクトロニクスマテリアルズ株式会社 半導体基板用洗浄液
KR102562321B1 (ko) * 2020-11-13 2023-08-01 인하대학교 산학협력단 구리 박막의 건식 식각방법
KR20230155441A (ko) * 2021-03-08 2023-11-10 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 반도체기판 세정용 조성물 및 세정방법
KR20230141864A (ko) 2021-03-11 2023-10-10 후지필름 가부시키가이샤 반도체 처리용 조성물, 피처리물의 처리 방법
CN113462491A (zh) * 2021-05-21 2021-10-01 万华化学集团电子材料有限公司 一种化学机械抛光清洗液及其使用方法
JPWO2022255220A1 (zh) * 2021-06-02 2022-12-08
WO2023282287A1 (ja) * 2021-07-08 2023-01-12 株式会社日本触媒 Cmp工程用後洗浄剤組成物
CN113652317A (zh) * 2021-07-16 2021-11-16 张家港安储科技有限公司 一种用于在半导体晶圆清洗过程中的化学机械研磨后的清洗组合物
US20230295537A1 (en) * 2022-03-15 2023-09-21 Entegris, Inc. Microelectronic device cleaning composition
CN116042099B (zh) * 2023-02-14 2024-04-09 大连奥首科技有限公司 一种高润湿、高分散、高悬浮、易清洗的研磨助剂、制备方法、用途及包含其的研磨液

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7144848B2 (en) 1992-07-09 2006-12-05 Ekc Technology, Inc. Cleaning compositions containing hydroxylamine derivatives and processes using same for residue removal
US8003587B2 (en) * 2002-06-06 2011-08-23 Ekc Technology, Inc. Semiconductor process residue removal composition and process
US20050205835A1 (en) * 2004-03-19 2005-09-22 Tamboli Dnyanesh C Alkaline post-chemical mechanical planarization cleaning compositions
US7923423B2 (en) * 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
JP2008060377A (ja) 2006-08-31 2008-03-13 Sanyo Chem Ind Ltd 半導体洗浄用洗浄剤
US9074170B2 (en) * 2008-10-21 2015-07-07 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
JP5858597B2 (ja) * 2010-01-29 2016-02-10 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド タングステン配線半導体用洗浄剤
JP5508130B2 (ja) 2010-05-14 2014-05-28 富士フイルム株式会社 洗浄組成物、半導体装置の製造方法及び洗浄方法
WO2012011020A2 (en) 2010-07-19 2012-01-26 Basf Se Aqueous alkaline cleaning compositions and methods of their use
JP2013157516A (ja) 2012-01-31 2013-08-15 Advanced Technology Materials Inc 銅配線半導体用洗浄剤
SG11201405737VA (en) * 2012-03-18 2014-10-30 Entegris Inc Post-cmp formulation having improved barrier layer compatibility and cleaning performance
CN104395989A (zh) * 2012-05-18 2015-03-04 高级技术材料公司 用于改进有机残余物去除的具有低铜蚀刻速率的水性清洁溶液
WO2014123126A1 (ja) * 2013-02-06 2014-08-14 三菱化学株式会社 半導体デバイス用基板洗浄液及び半導体デバイス用基板の洗浄方法
JP6751015B2 (ja) * 2013-03-15 2020-09-02 キャボット マイクロエレクトロニクス コーポレイション 銅の化学的機械的平坦化後のための水性清浄化組成物
JP6203525B2 (ja) * 2013-04-19 2017-09-27 関東化學株式会社 洗浄液組成物
US20160122696A1 (en) 2013-05-17 2016-05-05 Advanced Technology Materials, Inc. Compositions and methods for removing ceria particles from a surface
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
CN107208007A (zh) 2015-01-05 2017-09-26 恩特格里斯公司 化学机械抛光后调配物及其使用方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI752196B (zh) * 2017-03-17 2022-01-11 日商三菱化學股份有限公司 半導體裝置用基板之清潔劑組合物、半導體裝置用基板之清潔方法、半導體裝置用基板之製造方法及半導體裝置用基板
US10731109B2 (en) 2017-04-11 2020-08-04 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
TWI703210B (zh) * 2017-04-11 2020-09-01 美商恩特葛瑞斯股份有限公司 化學機械研磨後調配物及使用方法
TWI734149B (zh) * 2018-07-24 2021-07-21 美商慧盛材料美國責任有限公司 後蝕刻殘留物的清潔組合物及其使用方法

Also Published As

Publication number Publication date
JP2019218548A (ja) 2019-12-26
KR20170137702A (ko) 2017-12-13
JP2018503723A (ja) 2018-02-08
CN118085973A (zh) 2024-05-28
EP3243213A1 (en) 2017-11-15
US10351809B2 (en) 2019-07-16
EP3243213A4 (en) 2018-08-08
KR102058426B1 (ko) 2019-12-24
WO2016111990A1 (en) 2016-07-14
TWI726859B (zh) 2021-05-11
CN107208007A (zh) 2017-09-26
JP2022003127A (ja) 2022-01-11
JP7005562B2 (ja) 2022-02-10
JP6599464B2 (ja) 2019-10-30
US20180037852A1 (en) 2018-02-08

Similar Documents

Publication Publication Date Title
JP7005562B2 (ja) 化学機械研磨後製剤および使用方法
US10557107B2 (en) Post chemical mechanical polishing formulations and method of use
TWI703210B (zh) 化學機械研磨後調配物及使用方法
US9074170B2 (en) Copper cleaning and protection formulations
US9340760B2 (en) Non-amine post-CMP composition and method of use
TWI498422B (zh) 用於化學機械研磨後(post-CMP)清洗配方之新穎抗氧化劑
TW201348438A (zh) 具有增進之阻障層相容性及清潔效能之後cmp調配物
EP2768920A1 (en) Non-amine post-cmp composition and method of use