CN103003923A - 用于移除蚀刻后残余物的水性清洁剂 - Google Patents

用于移除蚀刻后残余物的水性清洁剂 Download PDF

Info

Publication number
CN103003923A
CN103003923A CN2011800350635A CN201180035063A CN103003923A CN 103003923 A CN103003923 A CN 103003923A CN 2011800350635 A CN2011800350635 A CN 2011800350635A CN 201180035063 A CN201180035063 A CN 201180035063A CN 103003923 A CN103003923 A CN 103003923A
Authority
CN
China
Prior art keywords
acid
residue
optional
composition
cleasing compositions
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2011800350635A
Other languages
English (en)
Inventor
杰弗里·巴恩斯
斯蒂芬·里皮
张鹏
里卡·拉贾拉姆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Advanced Technology Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials Inc filed Critical Advanced Technology Materials Inc
Publication of CN103003923A publication Critical patent/CN103003923A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/042Acids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • C11D2111/20

Abstract

本发明涉及用于自其上具有等离子体蚀刻后残余物的微电子器件清洁该残余物的清洁组合物及方法。该组合物实现包括含钛、含铜、含钨、和/或含钴蚀刻后残余物的残余材料自微电子器件的高度有效清洁,同时不会损坏层间介电质、金属互连材料、和/或覆盖层。

Description

用于移除蚀刻后残余物的水性清洁剂
技术领域
本发明涉及用于自微电子器件移除蚀刻后残余物(包括含钛、含铜和/或含钨蚀刻后残余物)的组合物,及其制造及使用方法。
背景技术
半导体电路中的互连电路是由经绝缘介电材料包围的导电金属电路所组成。过去普遍使用自原硅酸四乙酯(TEOS)气相沉积的硅酸盐玻璃作为介电材料,同时将铝合金用于金属互连。对较高加工速度的需求导致电路组件的尺寸变小,及以较高性能材料替代TEOS及铝合金。由于铜的较高传导性,铝合金已被铜或铜合金取代。TEOS及氟化硅酸盐玻璃(FSG)已被所谓的低k介电质(包括低极性材料诸如有机聚合物、杂合有机/无机材料、有机硅酸盐玻璃(OSG)、及掺碳氧化物(CDO)玻璃)取代。将孔隙度(即填充空气的孔隙)并入此等材料中进一步降低材料的介电常数。
于集成电路的双重金属镶嵌(dual-damascene)加工期间,使用微影术(photolithography)于将图案成像于器件晶圆上。微影技术包括涂布、曝光、及显影的步骤。将晶圆涂布正或负型光阻物质,及随后覆盖界定要在后续制程中保留或移除的图案的掩膜。在将掩膜适当定位后,将单色辐射(诸如紫外(UV)光或深UV(DUV)光(约250纳米或193纳米))的光束导引穿过掩膜,以使经曝光的光阻材料更可溶于或更不可溶于选定的漂洗溶液中。可溶的光阻材料随后经移除或「显影」,而留下与掩膜相同的图案。
其后使用气相等离子体蚀刻将经显影的光阻涂层的图案转移至下方层,该下方层可包括硬掩膜、层间介电质(ILD)、和/或蚀刻终止层。等离子体蚀刻后残余物一般会沉积于后段制程(back-end-of-the-line,BEOL)结构上,若未将其移除,则其会干扰随后的硅化或接点形成。等离子体蚀刻后残余物一般包括存在于基板上及等离子体气体中的化学元素。举例来说,如使用TiN硬掩膜(例如,作为ILD上的覆盖层),则等离子体蚀刻后残余物包括含钛物质,其难以使用常规的湿式清洁化学品移除。此外,常规的清洁化学品通常会损坏ILD,吸收至ILD的孔隙中,因而增加介电常数、和/或腐蚀金属结构。举例来说,以经缓冲氟化物及溶剂为主的化学品无法完全移除含Ti残余物,而含羟胺及氨-过氧化物化学品则会腐蚀铜。
除了含钛等离子体蚀刻后残余物的期望移除外,还优选将在等离子体蚀刻后制程期间沉积的额外材料(诸如在图案化器件的侧壁上的聚合物性残余物、在器件的敞开信道结构中的含铜残余物、及含钨残余物)移除。迄今为止,尚无单一的湿式清洁组合物可成功地移除所有残余材料,同时仍可与ILD、其它低k介电材料、及金属互连材料相容。
将新材料(诸如低k介电质)整合至微电子器件中对清洁效能产生新的需求。同时,减小的器件尺寸使对临界尺寸变化及器件组件损伤的耐受度减小。可修改蚀刻条件以满足新材料的需求。同样地,必需修改等离子体蚀刻后清洁组合物。清洁剂不应损伤下层介电材料或腐蚀器件上的金属互连材料(例如,铜、钨、钴、铝、钌、钛及其氮化物和硅化物)。
为此,本发明的一个目的为提供用于自微电子器件有效移除等离子体蚀刻后残余物(包括,但不限于,含钛残余物、聚合物性侧壁残余物、含铜通道残余物、含钨残余物、和/或含钴残余物)的改良组合物,该等组合物可与ILD、金属互连材料、和/或覆盖层相容。
发明概述
本发明大致上涉及清洁组合物及其制造与使用方法。本发明的一个方面涉及一种用于自其上具有等离子体蚀刻后残余物的微电子器件清洁该残余物,同时不会损害微电子器件表面上的金属及ILD材料的组合物及方法。
在一个方面中,描述一种水性清洁组合物,该组合物包含至少一种腐蚀抑制剂、水、任选的至少一种螯合剂、任选的至少一种蚀刻剂、任选的至少一种钝化剂、及任选的至少一种复合剂。该水性清洁组合物适用于自其上具有等离子体蚀刻后残余物的微电子器件清洁该残余物。
在另一个方面中,描述一种水性清洁组合物,该组合物包含至少一种腐蚀抑制剂、水、至少一种蚀刻剂、至少一种钝化剂、任选的至少一种螯合剂、及任选的至少一种复合剂。该水性清洁组合物适用于自其上具有等离子体蚀刻后残余物的微电子器件清洁该残余物。
在又一个方面中,描述一种套组(kit),该套组包括存于一个或多个容器中的用于形成水性清洁组合物的一种或多种下列试剂,该一种或多种试剂选自由至少一种腐蚀抑制剂、水、任选的至少一种螯合剂、任选的至少一种蚀刻剂、任选的至少一种钝化剂、及任选的至少一种复合剂所组成的组,且其中该套组适于形成适用于自其上具有等离子体蚀刻后残余物的微电子器件清洁该残余物的水性清洁组合物。
在又一个方面中,描述一种自其上具有材料的微电子器件移除该材料的方法,该方法包括使微电子器件与水性清洁组合物接触足够的时间以自微电子器件至少部分移除该材料,其中该水性清洁组合物包括至少一种腐蚀抑制剂、水、任选的至少一种螯合剂、任选的至少一种蚀刻剂、任选的至少一种钝化剂、及任选的至少一种复合剂。
在另一个方面中,描述一种自其上具有材料的微电子器件移除该材料的方法,该方法包括使微电子器件与水性清洁组合物接触足够的时间以自微电子器件至少部分移除该材料,其中该水性清洁组合物包括至少一种腐蚀抑制剂、水、至少一种蚀刻剂、至少一种钝化剂、任选的至少一种螯合剂、及任选的至少一种复合剂。
本发明的其他方面、特征及优点将可自随后的公开及权利要求书而更加完全明白。
具体实施方式
本发明大致涉及用于自其上具有残余物(优选蚀刻后残余物,更优选含钛蚀刻后残余物、聚合物性侧壁残余物、含铜通道及线路残余物和/或含钨蚀刻后残余物)的微电子器件移除该残余物的组合物,该等组合物优选可与微电子器件表面上的超低k(ULK)ILD材料(诸如OSG及多孔CDO)、金属互连材料(例如,铜及钨)、掩膜覆盖层(例如,TiN)、及钴覆盖层(例如,CoWP)兼容。此外,本发明大致涉及使用组合物自其上具有残余物(优选蚀刻后残余物,更优选含钛蚀刻后残余物、聚合物性侧壁残余物、含铜通道及线路残余物、含钨蚀刻后残余物、和/或含钴蚀刻后残余物)的微电子器件移除该残余物的方法,该等组合物优选可与微电子器件表面上的超低k(ULK)ILD材料、金属互连材料、及覆盖层相容。
为容易参考起见,“微电子器件”相当于经制造用于微电子、集成电路、能量收集、或计算机芯片应用中的半导体基板、平板显示器、相变记忆装置、太阳能面板及包括太阳能电池装置、光伏打组件、及微机电系统(MEMS)的其它产品。应明了术语“微电子器件”并不具任何限制意味,且其包括任何最终将成为微电子器件或微电子组件的基板或结构。值得注意地,微电子器件基板可为图案化、毯覆式和/或测试基板。
如本文所用的“蚀刻后残余物”及“等离子体蚀刻后残余物”相当于在气相等离子体蚀刻制程(例如,BEOL双重金属镶嵌加工)后残留的材料。蚀刻后残余物的性质可为有机的、有机金属的、有机硅的、或无机的,例如,含硅材料、含钛材料、含氮材料、含氧材料、聚合残余材料、含铜残余材料(包括氧化铜残余物)、含钨残余材料、含钴残余材料、蚀刻气体残余物诸如氯及氟、及其组合。
如本文所定义的“低k介电材料”及ULK相当于任何在层状微电子器件中使用作为介电材料的材料,其中该材料具有小于约3.5的介电常数。低k介电材料优选包括低极性材料诸如含硅有机聚合物、含硅的有机/无机杂合材料、有机硅酸盐玻璃(OSG)、TEOS、氟化硅酸盐玻璃(FSG)、二氧化硅、及掺碳氧化物(CDO)玻璃。低k介电材料最优选使用有机硅烷和/或有机硅氧烷前体沉积。应明了低k介电材料可具有不同密度及不同孔隙度。
如本文所定义的术语“聚合物性侧壁残余物”相当于在等离子体蚀刻后制程之后残留于图案化器件的侧壁上的残余物。该残余物的性质实质上为聚合物性,然而,应明了无机物质(例如,含钛、硅、钨、钴和/或铜的物质)亦可能存在于侧壁残余物中。
如本文所使用的“约”意指相当于所述值的±5%。
如本文所使用的“适用”于自其上具有蚀刻后残余物的微电子器件清洁该残余物相当于自微电子器件至少部分移除该残余物。优选自微电子器件移除至少约90%的一种或多种待移除材料,更优选移除至少95%的一种或多种待移除材料,及最优选移除至少99%的一种或多种待移除材料。
如本文所使用的“覆盖层”相当于沉积于介电材料和/或金属材料上,以在等离子体蚀刻步骤期间对其提供保护的材料(例如,钴)。硬掩膜覆盖层传统上为硅、氮化硅、氧氮化硅、氮化钛、氧氮化钛、钛、钽、氮化钽、钼、钨、其组合、及其它类似化合物。钴覆盖层包括CoWP及其它含钴材料或含钨材料。
“实质上不含”在本文被定义为小于2重量%,优选小于1重量%,更优选小于0.5重量%,及最优选小于0.1重量%。
如本文所使用的术语“半水性”是指水与有机组分的混合物。
如本文所定义的“复合剂”包括本领域技术人员所理解的复合剂、螯合剂、封锁剂(sequestering agent)、及其组合的该化合物。复合剂将与待使用本文所述的组合物移除的金属原子和/或金属离子化学结合或以物理方式将其固持住。
如本文所定义的“强碱”包括碱金属及碱土金属的氢氧化物盐诸如LiOH、NaOH、KOH、RbOH、CsOH、Mg(OH)2、Ca(OH)2、Sr(OH)2及Ba(OH)2,以及式NR1R2R3R4OH所示的四级铵氢氧化物,其中R1、R2、R3及R4彼此相同或不同且选自由C1-C6烷基、C6-C10芳基、及其组合组成的组。
本发明的组合物可以如更完整说明于下文的相当多样的特定调配物具体实施。
在所有这些组合物中,当参照包括零下限的重量百分比范围论述组合物的特定组分时,当明了在组合物的各种特定实施方案中可存在或不存在这些组分,且在存在这些组分的情况中,其可以基于其中使用这些组分的组合物的总重量计低至0.001重量百分比的浓度存在。
常规含钛蚀刻后残余材料难以使用现有技术的含氨组合物移除。本发明人发现一种实质上不含氨和/或强碱(例如,NaOH、KOH等等),且优选实质上不含氧化剂的清洁组合物,其可有效及选择性地自其上具有含钛残余物的微电子器件的表面将其移除。此外,该组合物将实质上地移除聚合物性侧壁残余物、含铜残余物、含钴残余物、和/或含钨残余物,而不会实质上地损坏下方的ILD、金属互连材料(例如,Cu、Al、Co及W)、和/或覆盖层。此外,不管是沟槽或通道先蚀刻(即先沟槽或先通道方案),皆可使用该组合物。此外,可调配该组合物,以自其上具有TiN层的微电子器件的表面将其实质上地移除。
在第一个方面中,文中所述的清洁组合物是水性或半水性的且包含至少一种腐蚀抑制剂、水、任选的至少一种蚀刻剂来源、任选的至少一种金属螯合剂、任选的至少一种复合剂、及任选的至少一种钝化剂,以用于自其上具有等离子体蚀刻后残余物的微电子器件的表面将其移除,其中该等离子体蚀刻后残余物包含选自由含钛残余物、聚合物性残余物、含铜残余物、含钨残余物、含钴残余物、及其组合所组成的组的物质。在另一实施方案中,文中所述的清洁组合物包含至少一种腐蚀抑制剂、水、至少一种蚀刻剂来源、任选的至少一种金属螯合剂、任选的至少一种复合剂、及任选的至少一种钝化剂。在又一实施方案中,清洁组合物包含至少一种腐蚀抑制剂、水、至少一种金属螯合剂、任选的至少一种蚀刻剂来源、任选的至少一种复合剂、及任选的至少一种钝化剂。在又一实施方案中,清洁组合物包含至少一种腐蚀抑制剂、水、至少一种复合剂、任选的至少一种蚀刻剂来源、任选的至少一种钝化剂、及任选的至少一种金属螯合剂。在另一实施方案中,清洁组合物包含至少一种腐蚀抑制剂、水、至少一种钝化剂、任选的至少一种金属螯合剂、任选的至少一种复合剂、及任选的至少一种蚀刻剂来源。在另一实施方案中,清洁组合物包含至少一种腐蚀抑制剂、水、至少一种蚀刻剂来源、至少一种金属螯合剂、任选的至少一种钝化剂、及任选的至少一种复合剂。在又一实施方案中,清洁组合物包含至少一种腐蚀抑制剂、水、至少一种蚀刻剂来源、至少一种复合剂、任选的至少一种钝化剂、及任选的至少一种金属螯合剂。在另一实施方案中,清洁组合物包含至少一种腐蚀抑制剂、水、至少一种复合剂、至少一种金属螯合剂、任选的至少一种钝化剂、及任选的至少一种蚀刻剂来源。在另一实施方案中,清洁组合物包含至少一种腐蚀抑制剂、水、至少一种蚀刻剂来源、至少一种钝化剂、任选的至少一种复合剂、及任选的至少一种金属螯合剂。在又一实施方案中,清洁组合物包含至少一种腐蚀抑制剂、水、至少一种复合剂、至少一种金属螯合剂、及至少一种蚀刻剂来源。优选地,基于组合物的总重量,水的存在量在约50重量%至约99重量%的范围内。在各实施方案中,可添加至少一种表面活性剂、二氧化硅来源、和/或至少一种有机溶剂。
在一实施方案中,用于清洁选自由含钛残余物、聚合物性残余物、含铜残余物、含钨残余物、含钴残余物、及其组合所组成的组的等离子体蚀刻后残余物的水性组合物包含至少一种腐蚀抑制剂、水、任选的至少一种蚀刻剂、任选的至少一种金属螯合剂、任选的至少一种钝化剂、及任选的至少一种复合剂,其基于组合物的总重量以下列范围存在。
Figure BDA00002742359900081
在广泛实践中,清洁组合物可包含下列成分,由其所组成,或基本上由其所组成:(i)至少一种腐蚀抑制剂、水、任选的至少一种蚀刻剂来源、任选的至少一种金属螯合剂、任选的至少一种钝化剂、及任选的至少一种复合剂;(ii)至少一种腐蚀抑制剂、水、至少一种蚀刻剂来源、任选的至少一种金属螯合剂、任选的至少一种钝化剂、及任选的至少一种复合剂;(iii)至少一种腐蚀抑制剂、水、至少一种金属螯合剂、任选的至少一种蚀刻剂来源、任选的至少一种钝化剂、及任选的至少一种复合剂;(iv)至少一种腐蚀抑制剂、水、至少一种复合剂、任选的至少一种蚀刻剂来源、任选的至少一种钝化剂、及任选的至少一种金属螯合剂;(v)至少一种腐蚀抑制剂、水、至少一种蚀刻剂来源、至少一种金属螯合剂、任选的至少一种钝化剂及任选的至少一种复合剂;(vi)至少一种腐蚀抑制剂、水、至少一种蚀刻剂来源、至少一种复合剂、任选的至少一种钝化剂及任选的至少一种金属螯合剂;(vii)至少一种腐蚀抑制剂、水、至少一种复合剂、至少一种金属螯合剂、任选的至少一种钝化剂及任选的至少一种蚀刻剂来源;(viii)至少一种腐蚀抑制剂、水、至少一种复合剂、至少一种金属螯合剂、及至少一种蚀刻剂来源;(ix)至少一种腐蚀抑制剂、水、至少一种钝化剂、任选的至少一种金属螯合剂、任选的至少一种复合剂、及任选的至少一种蚀刻剂来源;(x)至少一种腐蚀抑制剂、水、至少一种蚀刻剂来源、至少一种钝化剂、任选的至少一种复合剂、及任选的至少一种金属螯合剂。
包含水是要充作溶剂及帮助溶解残余物(例如,水溶性氧化铜残余物)。水优选经去离子化。
在一优选实施方案中,水性清洁组合物实质上不含氧化剂诸如含过氧化物的化合物及硝酸。在另一优选实施方案中,水性清洁组合物在与待清洁基板接触之前实质上不含研磨材料。
水性清洁组合物的pH范围为约0至约7,优选约0至约5,更优选约0至约4,及最优选约0至约3。
蚀刻剂来源促进分解及溶解蚀刻后残余物质,促进聚合物性侧壁残余物移除及轻度蚀刻TiN硬掩膜。此处涵盖的蚀刻剂来源包括,但不限于:氢氟酸(HF);氟硅酸(H2SiF6);氟硼酸;氟硅酸铵盐((NH4)2SiF6);六氟磷酸四甲基铵;氟化铵盐;氟化氢铵盐;分别具有式NR4BF4及PR4BF4的四氟硼酸四级铵及四氟硼酸四级鏻,其中R可彼此相同或不同且选自由下列组成的组:氢、直链、支链、或环状C1-C6烷基(例如,甲基、乙基、丙基、丁基、戊基、己基)、及直链或支链C6-C10芳基(例如,苄基);四氟硼酸四丁铵(TBA-BF4);重量比为约90:10至约99:1,优选约93:7至约98:2的丙二醇/HF;重量比为约75:25至约95:5,优选约80:20至约90:10的丙二醇/氟化四烷基铵,其中该烷基可彼此相同或不同且选自由直链或支链C1-C6烷基(例如,甲基、乙基、丙基、丁基、戊基、己基)组成的组;重量比为约75:25至约95:5,优选约80:20至约90:10的丙二醇/氟化四丁铵;重量比为约75:25至约95:5,优选约80:20至约90:10的丙二醇/氟化苄基三甲铵;及其组合。该蚀刻剂来源优选包括氟化氢铵、四氟硼酸四级铵(例如,四氟硼酸四甲铵、四氟硼酸四乙铵、四氟硼酸四丙铵、四氟硼酸四丁铵)、四氟硼酸四级鏻、或其组合。该蚀刻剂来源优选包括氟化氢铵、四氟硼酸四丁铵、或其组合。本领域技术人员应明了四氟硼酸四级铵及四氟硼酸四级鏻可于原位产生。
有机溶剂(当存在时)促进水性清洁组合物的组分及有机残余物的溶解,润湿微电子器件结构的表面以利于残余物移除,防止残余物再沉积,和/或使下方材料(例如,ULK)钝化。此处涵盖的有机溶剂包括,但不限于:醇、醚、吡咯烷酮、二醇、胺、及二醇醚,包括,但不限于,甲醇、乙醇、异丙醇、丁醇、及高级醇(诸如C2-C4二醇及C2-C4三醇)、四氢呋喃甲醇(THFA)、卤化醇(诸如3-氯-1,2-丙二醇、3-氯-1-丙硫醇、1-氯-2-丙醇、2-氯-1-丙醇、3-氯-1-丙醇、3-溴-1,2-丙二醇、1-溴-2-丙醇、3-溴-1-丙醇、3-碘-1-丙醇、4-氯-1-丁醇、2-氯乙醇)、二氯甲烷、氯仿、乙酸、丙酸、三氟乙酸、四氢呋喃(THF)、N-甲基吡咯烷酮(NMP)、环己基吡咯烷酮、N-辛基吡咯烷酮、N-苯基吡咯烷酮、甲基二乙醇胺、甲酸甲酯、二甲基甲酰胺(DMF)、二甲亚砜(DMSO)、四亚甲砜(环丁砜)、乙醚、苯氧基-2-丙醇(PPh)、苯丙酮、乳酸乙酯、乙酸乙酯、苯甲酸乙酯、乙腈、丙酮、乙二醇、丙二醇(PG)、1,3-丙二醇、1,4-丙二醇、二
Figure BDA00002742359900101
烷、丁内酯、碳酸丁二酯、碳酸乙二酯、碳酸丙二酯、二丙二醇、二甘醇单甲醚、三甘醇单甲醚、二甘醇单乙醚、三甘醇单乙醚、乙二醇单丙醚、乙二醇单丁醚、二甘醇单丁醚(即丁基卡必醇)、三甘醇单丁醚、乙二醇单己醚、二甘醇单己醚、乙二醇苯基醚、丙二醇甲基醚、二丙二醇甲基醚(DPGME)、三丙二醇甲基醚(TPGME)、二丙二醇二甲醚、二丙二醇乙基醚、丙二醇正丙基醚、二丙二醇正丙基醚(DPGPE)、三丙二醇正丙基醚、丙二醇正丁基醚、二丙二醇正丁基醚、三丙二醇正丁基醚、丙二醇苯基醚、二丙二醇甲基醚乙酸酯、二元酯、甘油碳酸酯、N-甲酰基吗啉、磷酸三乙酯、及其组合。此外,有机溶剂可包含其它两亲性物质,即类似于表面活性剂同时包含亲水性及疏水性部分的物质。疏水性质一般可藉由包含由烃或氟碳基团所组成的分子基团而赋与,及亲水性质一般可藉由包含离子或不带电的极性官能基而赋与。有机溶剂优选包括三丙二醇甲基醚(TPGME)、二丙二醇甲基醚(DPGME)、丙二醇、及其组合。当存在时,基于组合物的总重量,组合物包含至少0.01重量%的有机溶剂。
金属腐蚀抑制剂可消除金属(例如,铜、钨、和/或钴互连金属)的过度蚀刻。适宜的腐蚀抑制剂包括,但不限于,唑类诸如苯并三唑(BTA)、1,2,4-三唑(TAZ)、5-氨基四唑(ATA)、1-羟基苯并三唑、5-氨基-1,3,4-噻二唑-2-硫醇、3-氨基-1H-1,2,4-三唑、3,5-二氨基-1,2,4-三唑、甲苯基三唑、5-苯基苯并三唑、5-硝基苯并三唑、3-氨基-5-巯基-1,2,4-三唑、1-氨基-1,2,4-三唑、2-(5-氨基-戊基)-苯并三唑、1-氨基-1,2,3-三唑、1-氨基-5-甲基-1,2,3-三唑、3-巯基-1,2,4-三唑、3-异丙基-1,2,4-三唑、5-苯基硫醇-苯并三唑、卤基苯并三唑(卤基=F、Cl、Br或I)、萘并三唑、1H-四唑-5-乙酸、2-巯基苯并噻唑(2-MBT)、1-苯基-2-四唑啉-5-硫酮、2-巯基苯并咪唑(2-MBI)、4-甲基-2-苯基咪唑、2-巯基噻唑啉、2,4-二氨基-6-甲基-1,3,5-三嗪、噻唑、咪唑、苯并咪唑、三嗪、甲基四唑、铋硫醇I(Bismuthiol I)、1,3-二甲基-2-咪唑烷酮、1,5-五亚甲基四唑、1-苯基-5-巯基四唑、二氨基甲基三嗪、咪唑啉硫酮、4-甲基-4H-1,2,4-三唑-3-硫醇、5-氨基-1,3,4-噻二唑-2-硫醇、苯并噻唑、磷酸三甲苯酯、吲唑、DNA碱(例如,腺嘌呤、胞嘧啶、鸟嘌呤、胸嘧啶)、磷酸盐抑制剂、胺、吡唑、亚氨基二乙酸(IDA)、丙硫醇、硅烷、仲胺、苯并异羟肟酸、杂环氮抑制剂、柠檬酸、抗坏血酸、硫脲、1,1,3,3-四甲基脲、脲、脲衍生物、尿酸、乙基黄原酸钾、甘氨酸、十二烷基膦酸(DDPA)、及其混合物。二羧酸,诸如草酸、丙二酸、琥珀酸、次氮基三乙酸、及其组合,亦有用的铜钝化剂物质。一般认为唑类会化学吸附于铜表面上并形成不可溶性的亚铜表面复合物。适宜的钨腐蚀抑制剂包括,但不限于,环丁砜、2-巯基噻唑啉、2,3,5-三甲基吡嗪、2-乙基-3,5-二甲基吡嗪、喹喔啉、乙酰基吡咯、哒嗪、组氨酸、吡嗪、甘氨酸、苯并咪唑、苯并三唑(BTA)、亚氨基二乙酸(IDA)、谷胱甘肽(还原型)、半胱氨酸、2-巯基苯并咪唑、胱氨酸、噻吩、巯基吡啶N-氧化物、硫胺HCl、四乙基秋兰姆二硫化物(tetraethyl thiuram disulfide)、1,2,4-三唑、2,5-二巯基-1,3-噻二唑抗坏血酸、抗坏血酸、及其组合,优选环丁砜、吡嗪、甘氨酸、组氨酸、抗坏血酸、及其组合。优选地,腐蚀抑制剂包括BTA、TAZ、5-氨基-1,3,4-噻二唑-2-硫醇、十二烷基膦酸、BTA与TAZ的组合或其任何其它组合。当存在时,基于组合物的总重量,组合物包含至少0.01重量%的腐蚀抑制剂。
包含螯合剂可螯合蚀刻后残余物质中的经氧化的铜和/或钨金属和/或与TiN和/或含钛残余物反应。适宜的螯合剂包括,但不限于:氟化β-二酮螯合剂诸如1,1,1,5,5,5-六氟-2,4-戊二酮(hfacH)、1,1,1-三氟-2,4-戊二酮(tfac)、及乙酰丙酮盐(acac);亚氨基二乙酸;吡唑盐;脒盐;胍盐;酮亚胺;二烯;聚胺;乙二胺四乙酸(EDTA);1,2-环己烷二胺-N,N,N’,N’-四乙酸(CDTA);依替膦酸(etidronic acid);甲磺酸;盐酸;醋酸;乙酰丙酮;烷基胺;芳基胺;二醇胺;烷醇胺;三唑;噻唑;四唑;咪唑;1,4-苯醌;8-羟基喹啉;水杨醛缩苯胺;四氯-1,4-苯醌;2-(2-羟苯基)苯并
Figure BDA00002742359900121
唑;2-(2-羟苯基)苯并噻唑;羟基喹啉磺酸(HQSA);磺基水杨酸(SSA);水杨酸(SA);卤化(例如,氟化、氯化、溴化、碘化)四甲铵;及胺及胺-N-氧化物,包括,但不限于:吡啶、2-乙基吡啶、2-甲氧基吡啶及其衍生物诸如3-甲氧基吡啶、2-甲吡啶、吡啶衍生物、二甲吡啶、哌啶、哌嗪、三乙胺、三乙醇胺、乙胺、甲胺、异丁胺、叔丁胺、三丁胺、二丙胺、二甲胺、二甘醇胺、单乙醇胺、甲基二乙醇胺、吡咯、异
Figure BDA00002742359900131
唑、1,2,4-三唑、联吡啶、嘧啶、吡嗪、哒嗪、喹啉、异喹啉、吲哚、咪唑、N-甲基吗啉-N-氧化物(NMMO)、三甲胺-N-氧化物、三乙胺-N-氧化物、吡啶-N-氧化物、N-乙基吗啉-N-氧化物、N-甲基吡咯烷-N-氧化物、N-乙基吡咯烷-N-氧化物、1-甲基咪唑、二异丙胺、二异丁胺、苯胺、苯胺衍生物、五甲基二亚乙基三胺(PMDETA)及以上任何物质的组合。螯合剂优选甲磺酸、盐酸、PMDETA、及其组合。当存在时,基于组合物的总重量,组合物包含至少0.01重量%的螯合剂。
复合剂优选对含铝残余物具有高亲和力。涵盖的复合剂包括,但不限于,氨基羧酸、有机酸及其衍生物、膦酸及其衍生物、及其组合,包括:丁二胺四乙酸、二亚乙基三胺五乙酸(DTPA)、乙二胺四丙酸、(羟乙基)乙二胺三乙酸(HEDTA)、N,N,N’,N’-乙二胺四(亚甲基膦酸)(EDTMP)、三亚乙基四胺六乙酸(TTHA)、1,3-二氨基-2-羟丙烷-N,N,N’,N’-四乙酸(DHPTA)、甲基亚氨基二乙酸、丙二胺四乙酸、1,5,9-三氮杂环十二烷-N,N’,N”-三(亚甲基膦酸)(DOTRP)、1,4,7,10-四氮杂环十二烷-N,N’,N”,N’”-四(亚甲基膦酸)(DOTP)、次氮基三(亚甲基)三膦酸、二亚乙基三胺五(亚甲基膦酸)(DETAP)、氨基三(亚甲基膦酸)、1-羟亚乙基-1,1-二膦酸(HEDP)、双(六亚甲基)三胺膦酸、1,4,7-三氮杂环壬烷-N,N’,N”-三(亚甲基膦酸)(NOTP)、2-膦酸丁烷-1,2,4-三羧酸、次氮基三乙酸(NTA)、柠檬酸、酒石酸、葡萄糖酸、葡萄糖二酸、甘油酸、草酸、酞酸、顺丁烯二酸、苯乙醇酸、丙二酸、乳酸、二羟基苯甲酸、儿茶酚、五倍子酸、五倍子酸丙酯、五倍子酚、半胱氨酸、二羟基水杨酸、嘉磷塞(glyphosphate)、N-(膦酸甲基)亚氨基二乙酸、甲酸、丙酸、丁酸、硫酸根离子、N-(2-羟乙基)亚氨基二乙酸、吡啶-2,5-二羧酸、吡啶-2,6-二羧酸、7-碘基-8-羟基喹啉-5-磺酸、2-氨基-2-丙基膦酸、1,2-二羟基苯-4-磺酸、4,5-二羟基-1,3-苯二磺酸(Tiron)、搔洛铬紫R(solochrome violet R)、3-羟基-2-萘甲酸、变色酸(chromotropic acid)、硝乙酸、氧二乙酸、硫二乙酸、8-羟基-7-(芳基偶氮)喹啉-5-磺酸、2-氧代丁酸、乙酰乙酸、苯基丝氨酸、L-抗坏血酸、方形酸、乙酰羟肟酸、3-羟基-5,7-二磺基-2-萘甲酸、2,3-二羟基萘-6-磺酸、磺胺二甲氧嘧啶(sulfoxine)、奥辛(oxine)、琥珀酸、3,4-二羟基苯甲酸、2-(3,4-二羟苯基)-2-(1,1-苯并吡喃)-3,5,7-三醇、3-羟基-7-磺基-2-萘甲酸、1,2-二羟基萘-4-磺酸、N,N-双(2-羟乙基)甘氨酸、N-(膦酸甲基)亚氨基二乙酸、亚氨基双(亚甲基膦酸)、D-葡萄糖酸、酒石酸、1-氧代丙烷-1,2-二羧酸、丙烷-1,2,3-三羧酸、N,N’,N”-三[2-(N-羟基胺甲酰基)乙基]-1,3,5-苯三羧酰胺(BAMTPH)、去铁胺-B(desferriferrioxamine-B)、1,7-二羟基-4-磺基-2-萘甲酸、天冬氨酸、谷氨酸、吡哆醛-5-(二氢磷酸酯)、吡哆醛、氨基(苯基)亚甲基二磷酸、乙二醇四乙酸(EGTA)、亚乙基基双(亚氨基(2-羟苯基)亚甲基(甲基)膦酸)、N-(2-羟乙基)亚乙基二次氮基-N,N’,N’-三乙酸、三亚甲基二次氮基四乙酸、(2-二羟基三亚甲基)二次氮基四乙酸、二甲苯酚橙、甲基瑞香草酚蓝、3-羟基谷氨酸、L-磷丝氨酸、DL-氨基-3-磷丙酸、及其组合。
该等组合物可视需要进一步包括表面活性剂以促进残余物移除、润湿表面、和/或防止残余物再沉积。说明性的表面活性剂包括,但不限于,两性盐、阳离子性表面活性剂、阴离子性表面活性剂、氟烷基表面活性剂、
Figure BDA00002742359900141
104、
Figure BDA00002742359900142
CF-21、
Figure BDA00002742359900143
UR、
Figure BDA00002742359900144
FSO-100、FSN-100、3M Fluorad氟表面活性剂(即FC-4430及FC-4432)、二辛基磺基琥珀酸盐、2,3-二巯基-1-丙磺酸盐、十二烷基苯磺酸、聚乙二醇、聚丙二醇、聚乙二醇或聚丙二醇醚、羧酸盐、R1苯磺酸或其盐(其中R1是直链或支链C8-C18烷基)、两亲性氟聚合物、聚乙二醇、聚丙二醇、聚乙二醇或聚丙二醇醚、羧酸盐、十二烷基苯磺酸、聚丙烯酸酯聚合物、二壬苯基聚氧乙烯、聚硅氧或经改性的聚硅氧聚合物、炔属二醇或经改性的炔属二醇、烷基铵或经改性的烷基铵盐,以及包含至少一种前述表面活性剂的组合、十二烷基硫酸钠、两性离子表面活性剂、气溶胶-OT(AOT)及其氟化类似物、烷基铵、全氟聚醚表面活性剂、2-磺基酸琥珀酸盐、磷酸酯基表面活性剂、硫基表面活性剂、及乙酰乙酸酯基聚合物。当存在时,基于组合物的总重量,组合物包含至少0.01重量%的表面活性剂。
组合物可进一步包含二氧化硅来源。该二氧化硅可作为微细二氧化硅粉末,或作为四烷氧基硅烷(诸如TEOS)添加至组合物,优选以蚀刻剂对二氧化硅来源为约4:1至约5:1的比例。在一特别优选实施方案中,蚀刻剂来源是氟硅酸及二氧化硅来源是TEOS。该优选实施方案进一步包括二醇基溶剂以促进二氧化硅来源溶解于组合物中。当存在时,基于组合物的总重量,组合物包含至少0.01重量%的二氧化硅。
可包含低k钝化剂以降低低k层的化学侵蚀及保护晶圆免于额外氧化。硼酸是目前优选的低k钝化剂,虽然其它羟基添加剂亦可有利地用于此用途,例如,3-羟基-2-萘甲酸、丙二酸、亚氨基二乙酸及其混合物。低k钝化剂优选包含亚氨基二乙酸、硼酸、或其组合。当存在时,基于组合物的总重量,组合物包含至少0.01重量%的低k钝化剂。优选地,基于下层低k材料的总重量,使用文中所述的移除组合物蚀刻/移除少于2重量%的下层低k材料,更优选少于1重量%,最优选少于0.5重量%。
在一特别优选实施方案中,水性组合物包含BTA、TAZ、氟化氢铵、硼酸、及水,由其所组成,或基本上由其所组成。在另一特别优选实施方案中,水性组合物包含BTA、TAZ、氟化氢铵、四氟硼酸四丁铵、硼酸、及水,由其所组成,或基本上由其所组成。在又一特别优选实施方案中,水性组合物包含氟化氢铵、硼酸、十二烷基膦酸、及水,由其所组成,或基本上由其所组成。
文中所述的水性组合物优选不含研磨材料(例如,二氧化硅、氧化铝、在化学机械抛光制程期间使用的其它研磨剂)、氧化剂、氨、强碱、及偕胺肟复合剂。虽然经公开为任选的组分,但水性组合物最优选实质上不含有机溶剂及二氧化硅来源。
在另一实施方案中,文中所述的水性组合物进一步包含等离子体蚀刻后残余物,其中该等离子体蚀刻后残余物包含选自由含钛残余物、聚合物性残余物、含铜残余物、含钨残余物、含钴残余物、及其组合组成的组的残余材料。该残余材料可溶解和/或悬浮于水性组合物中。
在又一实施方案中,文中所述的水性组合物进一步包含氮化钛材料。TiN材料可溶解和/或悬浮于水性组合物中。
在一实施方案中,该等组合物适用于选择性地移除TiN、侧壁残余物、和/或蚀刻后残余物,而不会实质上地蚀刻图案化或毯覆钨层、铜层和/或ULK层。在另一实施方案中,该等组合物适用于选择性地移除侧壁残余物、和/或蚀刻后残余物,而不会实质上地蚀刻图案化或毯覆钨层、TiN、铜层和/或ULK层。
除了液体溶液外,此处亦涵盖可将本发明两个方面的组合物调配成为泡沫、雾剂、次临界或超临界流体(即其中该溶剂是CO2等,而非水)。
有利地,文中所述的清洁组合物自微电子器件的顶表面、侧壁、及通道及线路有效地移除等离子体蚀刻后残余物,而不会损害存在于器件上的ILD、覆盖层、和/或金属互连层。此外,无论是先蚀刻沟槽或通道,皆可使用该等组合物。
当明了在一般的清洁应用中,一般实践是要制造高度浓缩形式以在极端稀释下使用。举例来说,可以更为浓缩的形式制造清洁组合物(包含至少约20重量%水以供溶解用),其后再在制造商处、在使用前、和/或在工厂在使用期间用额外溶剂(例如,水和/或有机溶剂)稀释。稀释比可在约0.1份稀释剂:1份移除组合物浓缩物至约100份稀释剂:1份移除组合物浓缩物的范围内。当明了在稀释后,移除组合物的许多组分的重量百分比比率将维持不变。
文中所述的组合物经由简单地添加各别成分及混合至均匀状态而容易地调配得。此外,可轻易地将组合物调配为在使用点处混合的单一包装调配物或多份调配物,优选多份调配物。可将多份调配物的个别份于工具处或于工具上游的储槽中混合。各别成分的浓度可在组合物的特定倍数内宽广地改变,即更稀或更浓,且当明了文中所述的组合物可变化及替代地包含与本文的揭示内容一致的成分的任何组合,由其所组成,或基本上由其所组成。
因此,另一个方面涉及一种套组,其包括存于一个或多个容器中的一种或多种适于形成文中所述的组合物的组分。套组优选包括用于在工厂或使用点处与水结合的存于一个或多个容器中的至少一种腐蚀抑制剂、任选的水、任选的至少一种蚀刻剂、任选的至少一种螯合剂、任选的至少一种钝化剂、及任选的至少一种复合剂的优选组合。套组的容器必需适于储存及运送该清洁组合物组分,例如,
Figure BDA00002742359900171
容器(Advanced Technology Materials,Inc.,Danbury,Conn.,USA)。容纳移除组合物的组分的一个或多个容器优选包括用于使该一个或多个容器中的组分流体相通,以进行掺混及配送的构件。举例来说,参照
Figure BDA00002742359900172
容器,可对该一个或多个容器中的衬里的外侧施加气体压力,以导致衬里的至少一部分的内容物排出,且因此可流体相通而进行掺混及配送。或者,可对常规的可加压容器的顶部空间施加气体压力,或可使用泵以达成流体相通。此外,系统优选包括用于将经掺混的清洁组合物配送至制程工具的配送口。
优选使用实质上化学惰性、不含杂质、挠性及弹性的聚合薄膜材料,诸如高密度聚乙烯,来制造该一个或多个容器的衬里。理想的衬里材料不需要共挤出或障壁层以进行加工,且不含任何会不利影响待置于衬里中的组分的纯度需求的颜料、UV抑制剂、或加工剂。理想衬里材料的清单包括含纯粹(无添加剂)聚乙烯、纯粹聚四氟乙烯(PTFE)、聚丙烯、聚氨酯、聚偏二氯乙烯、聚氯乙烯、聚缩醛、聚苯乙烯、聚丙烯腈、聚丁烯等等的薄膜。该衬里材料的优选厚度在约5密尔(mil)(0.005英寸)至约30密尔(0.030英寸)的范围内,例如,20密尔(0.020英寸)的厚度。
关于套组的容器,将以下专利及专利申请案的公开内容的各别全体并入本文为参考数据:美国专利第7,188,644号,标题“使超纯液体中的颗粒产生减至最小的器件及方法(APPARATUS AND METHODFOR MINIMIZING THE GENERATION OF PARTICLES INULTRAPURE LIQUIDS)”;美国专利第6,698,619号,标题“可回收及再利用的桶中袋流体储存及配送容器系统(RETURNABLE ANDREUSABLE,BAG-IN-DRUM FLUID STORAGE AND DISPENSINGCONTAINER SYSTEM)”;及2007年5月9日以John E.Q.Hughes的名义提出申请的美国专利申请案第60/916,966号,标题“材料掺混及分布用的系统及方法(SYSTEMS AND METHODS FOR MATERIALBLENDING AND DISTRIBUTION)”,及2008年5月9日以AdvancedTechnology Materials,Inc.的名义提出申请的PCT/US08/63276,标题“材料掺混及分布用的系统及方法(SYSTEMS AND METHODS FORMATERIAL BLENDING AND DISTRIBUTION)”。
当应用至微电子制造操作时,清洁组合物可有效用于自微电子器件的表面清洁等离子体蚀刻后残余物,及可在施用经调配用于自器件表面移除另类材料的其它组合物之前或之后应用于该表面。文中所述的组合物不会损坏器件表面上的ILD材料,且优选移除在移除加工前存在于器件上的残余物的至少90%,更优选至少95%,及最优选移除待移除残余物的至少99%。
在等离子体蚀刻后残余物的移除应用中,可以任何适当方式将组合物施加至待清洁的器件,例如,经由将组合物喷涂于待清洁器件的表面上,经由将待清洁器件浸泡于静态或动态体积的组合物中,经由使待清洁器件与其上吸收有组合物的另一材料(例如,垫、或纤维吸收性涂布器组件)接触,或藉由任何其它藉以使组合物与待清洁器件进行移除接触的适当手段、方式或技术。此外,此处涵盖批式或单一晶圆加工。
在使用组合物在自其上具有等离子体蚀刻后残余物的微电子器件移除该等物质时,典型上使组合物与器件在约20℃至约90℃范围内的温度下(优选约40℃至约70℃,及最优选约50℃至约60℃)静态或动态接触约1分钟至约30分钟的时间(优选约1分钟至10分钟)。优选静态接触。该接触时间及温度是说明性,可采用任何其它可有效地自器件至少部分地移除蚀刻后残余材料的适当时间及温度条件。自微电子器件“至少部分移除”残余材料相当于移除至少90%的材料,优选移除至少95%。最优选使用文中所述的组合物移除至少99%的该残余材料。
在实现期望的移除作用后,可轻易地将组合物自其先前经施用的器件移除(例如,经由漂洗、洗涤、或其它移除步骤),此可能是在本文所述组合物的指定最终应用中所需且有效。举例来说,器件可用包含去离子水的漂洗溶液漂洗和/或经干燥(例如,旋转干燥、N2、蒸气干燥等等)。
必要时,可能需要清洁后烘烤步骤和/或异丙醇蒸气干燥步骤来移除可能吸收至ILD材料的孔隙中的非挥发性材料,以不改变低k介电材料的电容。
另一个方面涉及根据文中所述的方法制得的改良的微电子器件,及含有该等微电子器件的产品。
又一个方面涉及制造包含微电子器件的制品的方法,该方法包括使微电子器件与组合物接触足够的时间,以自其上具有等离子体蚀刻后残余物的微电子器件清洁该残余物,及将该微电子器件并入至该制品中,其中该组合物包含至少一种腐蚀抑制剂、水、任选的至少一种螯合剂、任选的至少一种蚀刻剂、任选的至少一种钝化剂、及任选的至少一种复合剂。
又一个方面涉及制造包含微电子器件的制品的方法,该方法包括使微电子器件与组合物接触足够的时间,以自其上具有等离子体蚀刻后残余物的微电子器件清洁该残余物,及将该微电子器件并入至该制品中,其中该组合物包含至少一种腐蚀抑制剂、水、任选的至少一种螯合剂、任选的至少一种蚀刻剂、任选的至少一种钝化剂、及任选的至少一种复合剂。
在又一个方面中,可将文中所述的组合物利用于微电子器件制程的其它方面中,即在等离子体蚀刻后残余物清洁步骤之后。举例来说,可使用组合物来移除灰化后残余物和/或可将其稀释并用作化学机械抛光(CMP)后清洁。或者,可使用文中所述的组合物以自光罩材料移除污染材料以将其再利用。
在又一个方面中,描述一种制造制品,该制品包括微电子器件基板、残余材料、及清洁组合物,其中该清洁组合物可为文中所述的任何组合物,且其中该残余材料选自由含钛残余物、聚合物性残余物、含铜残余物、含钨残余物、含钴残余物、及其组合所组成的组。
虽然本发明已参照说明实施方案及特征以不同方式公开于文中,但当明了前文所述的实施方案及特征并非要限制本发明,且本领域技术人员当可基于文中的公开内容明白其它的变化、修改及其它实施方案。因此,本发明应被广泛解释为涵盖权利要求书的精神及范畴内的所有变化、修改及其他实施方案。

Claims (20)

1.水性清洁组合物,其包含至少一种腐蚀抑制剂、水、任选的至少一种螯合剂、任选的至少一种蚀刻剂、任选的至少一种钝化剂、及任选的至少一种复合剂,其中,该水性清洁组合物适用于自其上具有等离子体蚀刻后残余物的微电子器件清洁该残余物。
2.权利要求1的清洁组合物,其中,该等离子体蚀刻后残余物包含选自由含钛化合物、聚合物性化合物、含铜化合物、含钨化合物、含钴化合物、及其组合所组成的组的残余物。
3.权利要求1或2的清洁组合物,其包含该至少一种蚀刻剂。
4.权利要求3的清洁组合物,其中,该至少一种蚀刻剂包含选自由下列所组成的组的氟化物物质:氢氟酸、氟硼酸、六氟磷酸四甲铵、氟化铵盐、氟化氢铵盐、四氟硼酸四丁铵、四氟硼酸四甲铵、四氟硼酸四乙铵、四氟硼酸四丙铵、四氟硼酸四丁铵、丙二醇/HF、丙二醇/氟化四烷基铵、丙二醇/氟化苄基三甲铵、及其组合。
5.权利要求3的清洁组合物,其中,该至少一种蚀刻剂包含选自由氟化氢铵、四氟硼酸四丁铵、及其组合所组成的组的氟化物。
6.前述权利要求任一项的清洁组合物,其包含该至少一种钝化剂。
7.权利要求6的清洁组合物,其中,该至少一种钝化剂包含选自由硼酸、3-羟基-2-萘甲酸、丙二酸、亚氨基二乙酸、及其混合物所组成的组的物质。
8.权利要求6的清洁组合物,其中,该至少一种钝化剂包含硼酸。
9.前述权利要求任一项的清洁组合物,其中,该至少一种金属腐蚀抑制剂包含选自由下列所组成的组的物质:苯并三唑(BTA)、1,2,4-三唑(TAZ)、5-氨基四唑(ATA)、1-羟基苯并三唑、5-氨基-1,3,4-噻二唑-2-硫醇、3-氨基-1H-1,2,4-三唑、3,5-二氨基-1,2,4-三唑、甲苯基三唑、5-苯基苯并三唑、5-硝基苯并三唑、3-氨基-5-巯基-1,2,4-三唑、1-氨基-1,2,4-三唑、2-(5-氨基戊基)-苯并三唑、1-氨基-1,2,3-三唑、1-氨基-5-甲基-1,2,3-三唑、3-巯基-1,2,4-三唑、3-异丙基-1,2,4-三唑、5-苯基硫醇-苯并三唑、卤基苯并三唑(卤基=F、Cl、Br、I)、萘并三唑、1H-四唑-5-乙酸、2-巯基苯并噻唑(2-MBT)、1-苯基-2-四唑啉-5-硫酮、2-巯基苯并咪唑(2-MBI)、4-甲基-2-苯基咪唑、2-巯基噻唑啉、2,4-二氨基-6-甲基-1,3,5-三嗪、噻唑、咪唑、苯并咪唑、三嗪、甲基四唑、铋硫醇I、1,3-二甲基-2-咪唑烷酮、1,5-五亚甲基四唑、1-苯基-5-巯基四唑、二氨基甲基三嗪、咪唑啉硫酮、4-甲基-4H-1,2,4-三唑-3-硫醇、5-氨基-1,3,4-噻二唑-2-硫醇、苯并噻唑、磷酸三甲苯酯、吲唑、腺嘌呤、胞嘧啶、鸟嘌呤、胸嘧啶、磷酸盐抑制剂、胺、吡唑、丙硫醇、硅烷、仲胺、苯并异羟肟酸、杂环氮抑制剂、柠檬酸、抗坏血酸、硫脲、1,1,3,3-四甲基脲、脲、脲衍生物、尿酸、乙基黄原酸钾、甘氨酸、十二烷基膦酸、亚氨基二乙酸、酸、硼酸、丙二酸、琥珀酸、次氮基三乙酸、环丁砜、2,3,5-三甲基吡嗪、2-乙基-3,5-二甲基吡嗪、喹喔啉、乙酰基吡咯、哒嗪、组氨酸、吡嗪、谷胱甘肽(还原型)、半胱氨酸、胱氨酸、噻吩、巯基吡啶N-氧化物、硫胺HCl、四乙基秋兰姆二硫化物、2,5-二巯基-1,3-噻二唑抗坏血酸、抗坏血酸、及其组合。
10.前述权利要求任一项的清洁组合物,其包含该至少一种蚀刻剂及该至少一种钝化剂。
11.前述权利要求任一项的清洁组合物,其中,基于组合物的总重量,水的量在约50重量%至约99重量%的范围内。
12.前述权利要求任一项的清洁组合物,其中,pH在约0至约7的范围内。
13.前述权利要求任一项的清洁组合物,其中,该组合物实质上不含研磨材料、氧化剂、氨、强碱、及偕胺肟复合剂。
14.前述权利要求任一项的清洁组合物,其中,该组合物实质上不含有机溶剂。
15.前述权利要求任一项的清洁组合物,其中,该组合物实质上不含二氧化硅来源。
16.前述权利要求任一项的清洁组合物,其中,该组合物进一步包含选自由含钛残余物、聚合物性残余物、含铜残余物、含钨残余物、含钴残余物、及其组合所组成的组的等离子体蚀刻后残余物。
17.套组,其包括存于一个或多个容器中的用于形成水性清洁组合物的一种或多种下列试剂,该一种或多种试剂选自由至少一种腐蚀抑制剂、水、任选的至少一种螯合剂、任选的至少一种蚀刻剂、任选的至少一种钝化剂、及任选的至少一种复合剂所组成的组,且其中该套组适于形成适用于自其上具有等离子体蚀刻后残余物的微电子器件清洁该残余物的水性清洁组合物。
18.自其上具有材料的微电子器件移除该材料的方法,该方法包括使该微电子器件与水性清洁组合物接触足够的时间以自该微电子器件至少部分移除该材料,其中,该水性清洁组合物包括至少一种腐蚀抑制剂、水、任选的至少一种螯合剂、任选的至少一种蚀刻剂、任选的至少一种钝化剂、及任选的至少一种复合剂。
19.权利要求18的方法,其中,该材料包含等离子体蚀刻后残余物,其包括选自由含钛化合物、聚合物性化合物、含铜化合物、含钨化合物、含钴化合物、及其组合所组成的组的残余物。
20.权利要求18或19的方法,其中,该接触包括选自由下列所组成的组的条件:约1分钟至约30分钟的时间;约40℃至约70℃范围内的温度;及其组合。
CN2011800350635A 2010-07-16 2011-07-15 用于移除蚀刻后残余物的水性清洁剂 Pending CN103003923A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US36503410P 2010-07-16 2010-07-16
US61/365,034 2010-07-16
PCT/US2011/044191 WO2012009639A2 (en) 2010-07-16 2011-07-15 Aqueous cleaner for the removal of post-etch residues

Publications (1)

Publication Number Publication Date
CN103003923A true CN103003923A (zh) 2013-03-27

Family

ID=45470097

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2011800350635A Pending CN103003923A (zh) 2010-07-16 2011-07-15 用于移除蚀刻后残余物的水性清洁剂

Country Status (8)

Country Link
US (2) US9063431B2 (zh)
EP (1) EP2593964A4 (zh)
JP (1) JP2013533631A (zh)
KR (1) KR20130088847A (zh)
CN (1) CN103003923A (zh)
SG (2) SG10201505535VA (zh)
TW (1) TWI548738B (zh)
WO (1) WO2012009639A2 (zh)

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104238287A (zh) * 2013-06-20 2014-12-24 安集微电子科技(上海)有限公司 一种去除光阻残留物的清洗液
CN104345583A (zh) * 2013-08-02 2015-02-11 安集微电子科技(上海)有限公司 一种用于去除光阻残留物的清洗液
CN104630800A (zh) * 2015-02-11 2015-05-20 佛山市顺德区宝铜金属科技有限公司 铜制品清洁光亮剂
CN104630780A (zh) * 2015-02-11 2015-05-20 佛山市顺德区宝铜金属科技有限公司 一种铜器清洗光亮液
CN104630776A (zh) * 2015-02-11 2015-05-20 佛山市顺德区宝铜金属科技有限公司 一种金属制品清洁光亮剂
CN104630802A (zh) * 2015-02-11 2015-05-20 佛山市顺德区宝铜金属科技有限公司 一种金属制品清洁光亮剂
CN104651843A (zh) * 2015-02-11 2015-05-27 佛山市顺德区宝铜金属科技有限公司 不锈钢器皿清洗擦亮剂
CN104674223A (zh) * 2015-02-11 2015-06-03 佛山市顺德区宝铜金属科技有限公司 有色金属清洁擦亮剂
CN105683336A (zh) * 2013-06-06 2016-06-15 高级技术材料公司 用于选择性蚀刻氮化钛的组合物和方法
CN107533971A (zh) * 2015-07-23 2018-01-02 中央硝子株式会社 湿式蚀刻方法和蚀刻液
CN107689322A (zh) * 2016-08-05 2018-02-13 台湾积体电路制造股份有限公司 制造一半导体元件的方法
CN108139693A (zh) * 2016-09-28 2018-06-08 陶氏环球技术有限责任公司 用于电子工业的基于亚砜/二醇醚的溶剂
CN108227392A (zh) * 2016-12-15 2018-06-29 台湾积体电路制造股份有限公司 微影图案化的方法
WO2019019533A1 (zh) * 2017-07-25 2019-01-31 上海新阳半导体材料股份有限公司 清洗剂及其制备方法和应用
CN110240907A (zh) * 2018-03-09 2019-09-17 弗萨姆材料美国有限责任公司 用于在半导体器件制造期间从硅-锗/锗叠层选择性除去硅-锗合金的蚀刻溶液
CN112424327A (zh) * 2018-07-20 2021-02-26 恩特格里斯公司 含腐蚀抑制剂的清洗组合物
CN112941516A (zh) * 2020-12-29 2021-06-11 苏州运宏电子有限公司 一种精控式蚀刻液及其蚀刻方法
WO2021135804A1 (zh) * 2019-12-31 2021-07-08 安集微电子科技(上海)股份有限公司 一种等离子体刻蚀残留物清洗液
CN113150884A (zh) * 2021-04-27 2021-07-23 上海新阳半导体材料股份有限公司 一种含氟清洗液组合物的制备方法
CN113186539A (zh) * 2021-04-27 2021-07-30 上海新阳半导体材料股份有限公司 一种化学机械抛光后清洗液及其制备方法
CN113214920A (zh) * 2015-03-31 2021-08-06 弗萨姆材料美国有限责任公司 清洁制剂
CN113860914A (zh) * 2021-09-16 2021-12-31 湖北文理学院 去除白色鹅卵石锈黄斑的浸泡液及其制备方法、白色鹅卵石锈黄斑的去除方法
US11390943B2 (en) 2017-12-18 2022-07-19 Entegris, Inc. Chemical resistant multi-layer coatings applied by atomic layer deposition
CN115368982A (zh) * 2014-06-04 2022-11-22 恩特格里斯公司 具有金属、电介质及氮化物兼容性的抗反射涂层清洗及蚀刻后残留物去除组成物

Families Citing this family (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8685909B2 (en) * 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
US8802609B2 (en) * 2007-10-29 2014-08-12 Ekc Technology Inc Nitrile and amidoxime compounds and methods of preparation for semiconductor processing
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
CN103249849B (zh) 2010-08-20 2015-11-25 安格斯公司 从电子垃圾回收贵金属和贱金属的可持续方法
KR101827031B1 (ko) 2010-10-06 2018-02-07 엔테그리스, 아이엔씨. 질화 금속을 선택적으로 에칭하기 위한 조성물 및 방법
US20140318584A1 (en) 2011-01-13 2014-10-30 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium-containing solutions
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
JP6033314B2 (ja) * 2011-10-05 2016-11-30 アバンター・パフォーマンス・マテリアルズ・インコーポレイテッド 銅/アゾールポリマー阻害剤を含むマイクロ電子基板洗浄組成物
SG11201404930SA (en) 2012-02-15 2014-09-26 Advanced Tech Materials Post-cmp removal using compositions and method of use
CN102677072B (zh) * 2012-05-03 2016-06-15 中国石油大学(华东) 一种热浸镀锌及锌铝合金钢材用缓蚀清洗剂
JP2015517691A (ja) 2012-05-18 2015-06-22 インテグリス,インコーポレイテッド 窒化チタンを含む表面からフォトレジストを剥離するための組成物およびプロセス
WO2013187313A1 (ja) * 2012-06-13 2013-12-19 三菱瓦斯化学株式会社 洗浄用液体組成物、半導体素子の洗浄方法、および半導体素子の製造方法
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
US9102901B2 (en) 2012-12-20 2015-08-11 Rohm And Haas Electronic Materials Llc Methods and compositions for removal of metal hardmasks
US8987133B2 (en) 2013-01-15 2015-03-24 International Business Machines Corporation Titanium oxynitride hard mask for lithographic patterning
EP2964725B1 (en) 2013-03-04 2021-06-23 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
JP6088999B2 (ja) * 2013-05-02 2017-03-01 富士フイルム株式会社 エッチング液およびエッチング液のキット、これをもちいたエッチング方法および半導体基板製品の製造方法
WO2014178426A1 (ja) * 2013-05-02 2014-11-06 富士フイルム株式会社 エッチング方法、これに用いるエッチング液およびエッチング液のキット、ならびに半導体基板製品の製造方法
US20160118264A1 (en) * 2013-05-02 2016-04-28 Fujifilm Corporation Etching method, etching solution used in same, etching solution kit, and method for manufacturing semiconductor substrate product
CN105247663B (zh) * 2013-05-31 2018-03-23 日立化成株式会社 蚀刻组合物
TWI683889B (zh) * 2013-07-31 2020-02-01 美商恩特葛瑞斯股份有限公司 用於移除金屬硬遮罩及蝕刻後殘餘物之具有Cu/W相容性的水性配方
KR102340516B1 (ko) 2013-08-30 2021-12-21 엔테그리스, 아이엔씨. 티타늄 니트라이드를 선택적으로 에칭하기 위한 조성물 및 방법
US20150104952A1 (en) * 2013-10-11 2015-04-16 Ekc Technology, Inc. Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper
WO2015060954A1 (en) 2013-10-21 2015-04-30 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulations for removing residues on surfaces
CN105873691B (zh) 2013-12-06 2018-04-20 富士胶片电子材料美国有限公司 用于去除表面上的残余物的清洗调配物
KR102283723B1 (ko) * 2013-12-11 2021-07-30 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 표면 잔류물 제거용 세정 제형
CN103710180B (zh) * 2013-12-12 2016-04-13 内蒙古河西航天科技发展有限公司 一种硅酸盐污垢去除剂
TWI654340B (zh) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
US20160322232A1 (en) 2013-12-20 2016-11-03 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
US9472420B2 (en) 2013-12-20 2016-10-18 Air Products And Chemicals, Inc. Composition for titanium nitride hard mask and etch residue removal
WO2015103146A1 (en) 2013-12-31 2015-07-09 Advanced Technology Materials, Inc. Formulations to selectively etch silicon and germanium
TWI659098B (zh) 2014-01-29 2019-05-11 美商恩特葛瑞斯股份有限公司 化學機械研磨後配方及其使用方法
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
US10035978B2 (en) 2014-05-02 2018-07-31 Mitsubishi Gas Chemical Company, Inc. Semiconductor element cleaning liquid and cleaning method
WO2015173730A1 (en) * 2014-05-13 2015-11-19 Basf Se Tin pull-back and cleaning composition
US20150368557A1 (en) * 2014-06-23 2015-12-24 Hyosan Lee Metal etchant compositions and methods of fabricating a semiconductor device using the same
KR102405063B1 (ko) * 2014-06-30 2022-06-07 엔테그리스, 아이엔씨. 텅스텐 및 코발트 상용성을 갖는 에치후 잔류물을 제거하기 위한 수성 및 반-수성 세정제
US9580672B2 (en) * 2014-09-26 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning composition and method for semiconductor device fabrication
WO2016069576A1 (en) * 2014-10-31 2016-05-06 Entegris, Inc. Non-amine post-cmp compositions and method of use
JP6555273B2 (ja) 2014-11-13 2019-08-07 三菱瓦斯化学株式会社 タングステンを含む材料のダメージを抑制した半導体素子の洗浄液、およびこれを用いた半導体素子の洗浄方法
WO2016076034A1 (ja) 2014-11-13 2016-05-19 三菱瓦斯化学株式会社 半導体素子を洗浄するためのアルカリ土類金属を含む洗浄液、およびそれを用いた半導体素子の洗浄方法
KR102398801B1 (ko) 2014-11-13 2022-05-17 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 코발트의 데미지를 억제한 반도체 소자의 세정액, 및 이것을 이용한 반도체 소자의 세정방법
TWI690780B (zh) * 2014-12-30 2020-04-11 美商富士軟片電子材料美國股份有限公司 用於自半導體基板去除光阻之剝離組成物
KR102269329B1 (ko) * 2015-03-20 2021-06-25 동우 화인켐 주식회사 구리계 금속막의 식각액 조성물 및 이를 이용한 액정표시장치용 어레이 기판의 제조방법
KR102427699B1 (ko) 2015-04-27 2022-08-01 삼성전자주식회사 포토레지스트 제거용 조성물 및 이를 이용한 반도체 장치의 제조 방법
JP6681750B2 (ja) * 2016-03-04 2020-04-15 東京応化工業株式会社 洗浄液及び洗浄方法
CN109195720B (zh) * 2016-05-23 2021-10-29 富士胶片电子材料美国有限公司 用于从半导体基板去除光刻胶的剥离组合物
US10866516B2 (en) * 2016-08-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
CN106479696A (zh) * 2016-08-31 2017-03-08 惠晶显示科技(苏州)有限公司 用于液晶显示面板玻璃酸刻所生结垢物的清洗液及其制备方法
KR102434147B1 (ko) * 2016-10-06 2022-08-19 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 반도체 기판상의 잔류물을 제거하기 위한 세정 제형
JP2020513440A (ja) 2016-11-25 2020-05-14 インテグリス・インコーポレーテッド エッチング後残留物を除去するための洗浄組成物
WO2018125673A2 (en) * 2016-12-28 2018-07-05 Invensas Bonding Technologies, Inc Processing stacked substrates
US9786489B1 (en) * 2017-03-17 2017-10-10 United Microelectronics Corp. Method of cleaning post-etch residues on a copper line
KR102490840B1 (ko) * 2017-03-24 2023-01-20 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 반도체 기판상의 잔류물을 제거하기 위한 세정 조성물
CN110997643B (zh) * 2017-08-22 2023-06-06 富士胶片电子材料美国有限公司 清洁组合物
CN111033697B (zh) * 2017-08-31 2023-10-10 富士胶片株式会社 处理液、试剂盒、基板的清洗方法
KR20200058428A (ko) 2017-10-10 2020-05-27 미쯔비시 케미컬 주식회사 세정액, 세정 방법 및 반도체 웨이퍼의 제조 방법
US10889757B2 (en) 2017-10-19 2021-01-12 Fujifilm Electronic Materials U.S.A., Inc. Etching compositions
US11300878B2 (en) 2017-11-13 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist developer and method of developing photoresist
EP3720989A2 (en) * 2017-12-08 2020-10-14 Basf Se Composition and process for selectively etching a layer comprising an aluminium compound in the presence of layers of low-k materials, copper and/or cobalt
CN111465679A (zh) * 2017-12-08 2020-07-28 巴斯夫欧洲公司 用于从半导体基板及对应方法中移除蚀刻后或灰化后残余物的清洁组合物
US20190226094A1 (en) * 2018-01-19 2019-07-25 Baker Hughes, A Ge Company, Llc Phosphorous-free, and iron activating agent-free rust removal, inhibition, and passivation
KR20200138742A (ko) 2018-03-28 2020-12-10 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 세정 조성물
EP3787010B1 (en) * 2018-04-27 2024-04-10 Mitsubishi Gas Chemical Company, Inc. Aqueous cleaning composition and cleaning method using same
KR20210003730A (ko) 2018-04-27 2021-01-12 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 수성 조성물 및 이것을 이용한 세정방법
TW201945531A (zh) * 2018-04-27 2019-12-01 日商三菱瓦斯化學股份有限公司 水性組成物及使用此組成物之清洗方法
IL268216B2 (en) * 2018-07-24 2023-02-01 Versum Mat Us Llc Cleaning products for residues after burning and methods of using them
US11091727B2 (en) * 2018-07-24 2021-08-17 Versum Materials Us, Llc Post etch residue cleaning compositions and methods of using the same
KR102531512B1 (ko) * 2018-11-08 2023-05-12 엔테그리스, 아이엔씨. Cmp 후 세정 조성물
KR20200056539A (ko) * 2018-11-14 2020-05-25 삼성디스플레이 주식회사 식각 조성물, 이를 이용한 패턴 형성 방법 및 표시 장치의 제조 방법
US11180697B2 (en) * 2018-11-19 2021-11-23 Versum Materials Us, Llc Etching solution having silicon oxide corrosion inhibitor and method of using the same
SG11202111643QA (en) 2019-04-24 2021-11-29 Fujifilm Electronic Materials U S A Inc Stripping compositions for removing photoresists from semiconductor substrates
KR20220011701A (ko) 2019-05-23 2022-01-28 바스프 에스이 로우-k 재료, 구리, 코발트 및/또는 텅스텐의 층의 존재 하에 하드 마스크 및/또는 에치-스탑 층을 선택적으로 에칭하기 위한 조성물 및 프로세스
US11694896B2 (en) 2019-10-31 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist developer and method of developing photoresist
KR20220012521A (ko) 2020-07-23 2022-02-04 주식회사 케이씨텍 세정액 조성물 및 이를 이용한 세정 방법
TW202208607A (zh) * 2020-08-27 2022-03-01 美商富士軟片電子材料美國股份有限公司 清潔組成物
US11605544B2 (en) * 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures
CN116761869A (zh) * 2020-12-29 2023-09-15 恩特格里斯公司 选择性移除金属氧化物硬掩模
EP4323491A1 (en) * 2021-04-16 2024-02-21 Entegris, Inc. Cleaning composition
WO2024004980A1 (ja) * 2022-07-01 2024-01-04 三菱瓦斯化学株式会社 半導体基板洗浄用組成物、半導体基板の洗浄方法、及び半導体基板の製造方法
CN115725369B (zh) * 2022-11-03 2024-03-08 上海新阳半导体材料股份有限公司 一种清洗液组合物的应用

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA2536159A1 (en) * 2003-08-19 2005-03-03 Mallinckrodt Baker Inc. Stripping and cleaning compositions for microelectronics
WO2008080097A2 (en) * 2006-12-21 2008-07-03 Advanced Technology Materials, Inc. Liquid cleaner for the removal of post-etch residues
CN101685273A (zh) * 2008-09-26 2010-03-31 安集微电子(上海)有限公司 一种去除光阻层残留物的清洗液
WO2010048139A2 (en) * 2008-10-21 2010-04-29 Advanced Technology Materials, Inc. Copper cleaning and protection formulations

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5279771A (en) 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
JP3160344B2 (ja) 1991-01-25 2001-04-25 アシュランド インコーポレーテッド 有機ストリッピング組成物
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
US5421906A (en) 1993-04-05 1995-06-06 Enclean Environmental Services Group, Inc. Methods for removal of contaminants from surfaces
US6326130B1 (en) 1993-10-07 2001-12-04 Mallinckrodt Baker, Inc. Photoresist strippers containing reducing agents to reduce metal corrosion
US5571447A (en) 1995-03-20 1996-11-05 Ashland Inc. Stripping and cleaning composition
US7534752B2 (en) 1996-07-03 2009-05-19 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US5698503A (en) 1996-11-08 1997-12-16 Ashland Inc. Stripping and cleaning composition
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6224785B1 (en) 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
US6755989B2 (en) 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
EP1125168A1 (en) 1998-05-18 2001-08-22 Advanced Technology Materials, Inc. Stripping compositions for semiconductor substrates
US6875733B1 (en) 1998-10-14 2005-04-05 Advanced Technology Materials, Inc. Ammonium borate containing compositions for stripping residues from semiconductor substrates
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
KR100400030B1 (ko) 2000-06-05 2003-09-29 삼성전자주식회사 금속막의 화학 및 기계적 연마용 슬러리 및 그 제조방법과상기 슬러리를 이용한 반도체 소자의 금속 배선 형성 방법
US6599370B2 (en) 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
MY143399A (en) 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
US7399365B2 (en) 2003-04-18 2008-07-15 Ekc Technology, Inc. Aqueous fluoride compositions for cleaning semiconductor devices
JP2005097715A (ja) 2003-08-19 2005-04-14 Mitsubishi Chemicals Corp チタン含有層用エッチング液及びチタン含有層のエッチング方法
EP1692572A2 (en) * 2003-10-29 2006-08-23 Mallinckrodt Baker, Inc. Alkaline, post plasma etch/ash residue removers and photoresist stripping compositions containing metal-halide corrosion inhibitors
WO2005057281A2 (en) 2003-12-02 2005-06-23 Advanced Technology Materials, Inc. Resist, barc and gap fill material stripping chemical and method
KR100795364B1 (ko) * 2004-02-10 2008-01-17 삼성전자주식회사 반도체 기판용 세정액 조성물, 이를 이용한 세정 방법 및도전성 구조물의 제조 방법
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
JP4456424B2 (ja) * 2004-06-29 2010-04-28 関東化学株式会社 フォトレジスト残渣及びポリマー残渣除去組成物
US20060063687A1 (en) 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060148666A1 (en) 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US7718590B2 (en) 2005-02-25 2010-05-18 Ekc Technology, Inc. Method to remove resist, etch residue, and copper oxide from substrates having copper and low-k dielectric material
WO2006110645A2 (en) 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
US20070251551A1 (en) 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
CN101198683B (zh) 2005-04-15 2011-09-14 高级技术材料公司 使用溶剂体系内的自组装单层除去高剂量离子注入光致抗蚀剂
KR101431406B1 (ko) 2005-06-07 2014-08-18 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 및 유전체 상용성 희생 반사 방지 코팅 세정 및 제거 조성물
EP1894230A2 (en) 2005-06-13 2008-03-05 Advanced Technology Materials, Inc. Compositions and methods for selective removal of metal or metal alloy after metal silicide formation
WO2007027522A2 (en) 2005-08-29 2007-03-08 Advanced Technology Materials, Inc. Composition and method for removing thick film photoresist
WO2007044446A1 (en) 2005-10-05 2007-04-19 Advanced Technology Materials, Inc. Oxidizing aqueous cleaner for the removal of post-etch residues
US20090032766A1 (en) 2005-10-05 2009-02-05 Advanced Technology Materials, Inc. Composition and method for selectively etching gate spacer oxide material
JP2009516360A (ja) 2005-10-13 2009-04-16 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 金属適合フォトレジスト及び/又は犠牲反射防止コーティング除去組成物
US20090301996A1 (en) * 2005-11-08 2009-12-10 Advanced Technology Materials, Inc. Formulations for removing cooper-containing post-etch residue from microelectronic devices
KR20080072905A (ko) 2005-11-09 2008-08-07 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 표면에 저유전 물질이 있는 반도체 웨이퍼를 재생하기 위한조성물 및 방법
TW200734448A (en) 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US7947637B2 (en) * 2006-06-30 2011-05-24 Fujifilm Electronic Materials, U.S.A., Inc. Cleaning formulation for removing residues on surfaces
US20080076688A1 (en) * 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US20080125342A1 (en) 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
SG177201A1 (en) 2006-12-21 2012-01-30 Advanced Tech Materials Compositions and methods for the selective removal of silicon nitride
US20100081595A1 (en) * 2007-01-22 2010-04-01 Freescale Semiconductor, Inc Liquid cleaning composition and method for cleaning semiconductor devices
TWI516573B (zh) 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
US20100112728A1 (en) 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
WO2008157345A2 (en) 2007-06-13 2008-12-24 Advanced Technology Materials, Inc. Wafer reclamation compositions and methods
KR20100044777A (ko) * 2007-07-26 2010-04-30 미츠비시 가스 가가쿠 가부시키가이샤 세정 방식용 조성물 및 반도체소자 또는 표시소자의 제조 방법
US20100261632A1 (en) 2007-08-02 2010-10-14 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of residue from a microelectronic device
KR20100056537A (ko) 2007-08-20 2010-05-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 이온-주입된 포토레지스트를 제거하기 위한 조성물 및 방법
TW200934865A (en) 2007-11-30 2009-08-16 Advanced Tech Materials Formulations for cleaning memory device structures
TWI591158B (zh) 2008-03-07 2017-07-11 恩特葛瑞斯股份有限公司 非選擇性氧化物蝕刻濕清潔組合物及使用方法
US8026200B2 (en) 2008-05-01 2011-09-27 Advanced Technology Materials, Inc. Low pH mixtures for the removal of high density implanted resist
CN101597548A (zh) * 2008-06-06 2009-12-09 安集微电子科技(上海)有限公司 一种等离子刻蚀残留物清洗液
CN102216854A (zh) 2008-08-04 2011-10-12 高级技术材料公司 环境友好型聚合物剥离组合物
JP2012516380A (ja) 2009-01-28 2012-07-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド リソグラフツールの原位置(insitu)清浄化用配合物
WO2010086745A1 (en) 2009-02-02 2010-08-05 Atmi Taiwan Co., Ltd. Method of etching lanthanum-containing oxide layers
WO2010091045A2 (en) 2009-02-05 2010-08-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of polymers and other organic material from a surface
US20140318584A1 (en) 2011-01-13 2014-10-30 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium-containing solutions

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA2536159A1 (en) * 2003-08-19 2005-03-03 Mallinckrodt Baker Inc. Stripping and cleaning compositions for microelectronics
WO2008080097A2 (en) * 2006-12-21 2008-07-03 Advanced Technology Materials, Inc. Liquid cleaner for the removal of post-etch residues
CN101685273A (zh) * 2008-09-26 2010-03-31 安集微电子(上海)有限公司 一种去除光阻层残留物的清洗液
WO2010048139A2 (en) * 2008-10-21 2010-04-29 Advanced Technology Materials, Inc. Copper cleaning and protection formulations

Cited By (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111394100A (zh) * 2013-06-06 2020-07-10 恩特格里斯公司 用于选择性蚀刻氮化钛的组合物和方法
US10920141B2 (en) 2013-06-06 2021-02-16 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
CN105683336A (zh) * 2013-06-06 2016-06-15 高级技术材料公司 用于选择性蚀刻氮化钛的组合物和方法
CN104238287A (zh) * 2013-06-20 2014-12-24 安集微电子科技(上海)有限公司 一种去除光阻残留物的清洗液
CN104345583A (zh) * 2013-08-02 2015-02-11 安集微电子科技(上海)有限公司 一种用于去除光阻残留物的清洗液
CN104345583B (zh) * 2013-08-02 2020-07-03 安集微电子科技(上海)股份有限公司 一种用于去除光阻残留物的清洗液
CN115368982A (zh) * 2014-06-04 2022-11-22 恩特格里斯公司 具有金属、电介质及氮化物兼容性的抗反射涂层清洗及蚀刻后残留物去除组成物
CN104630800A (zh) * 2015-02-11 2015-05-20 佛山市顺德区宝铜金属科技有限公司 铜制品清洁光亮剂
CN104630776A (zh) * 2015-02-11 2015-05-20 佛山市顺德区宝铜金属科技有限公司 一种金属制品清洁光亮剂
CN104630802A (zh) * 2015-02-11 2015-05-20 佛山市顺德区宝铜金属科技有限公司 一种金属制品清洁光亮剂
CN104651843A (zh) * 2015-02-11 2015-05-27 佛山市顺德区宝铜金属科技有限公司 不锈钢器皿清洗擦亮剂
CN104674223A (zh) * 2015-02-11 2015-06-03 佛山市顺德区宝铜金属科技有限公司 有色金属清洁擦亮剂
CN104630780A (zh) * 2015-02-11 2015-05-20 佛山市顺德区宝铜金属科技有限公司 一种铜器清洗光亮液
CN113214920A (zh) * 2015-03-31 2021-08-06 弗萨姆材料美国有限责任公司 清洁制剂
CN107533971B (zh) * 2015-07-23 2021-01-26 中央硝子株式会社 湿式蚀刻方法和蚀刻液
CN107533971A (zh) * 2015-07-23 2018-01-02 中央硝子株式会社 湿式蚀刻方法和蚀刻液
CN107689322B (zh) * 2016-08-05 2021-07-16 台湾积体电路制造股份有限公司 制造一半导体元件的方法及其系统
CN107689322A (zh) * 2016-08-05 2018-02-13 台湾积体电路制造股份有限公司 制造一半导体元件的方法
CN108139693A (zh) * 2016-09-28 2018-06-08 陶氏环球技术有限责任公司 用于电子工业的基于亚砜/二醇醚的溶剂
CN108227392A (zh) * 2016-12-15 2018-06-29 台湾积体电路制造股份有限公司 微影图案化的方法
US11822238B2 (en) 2016-12-15 2023-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with developer composition
WO2019019533A1 (zh) * 2017-07-25 2019-01-31 上海新阳半导体材料股份有限公司 清洗剂及其制备方法和应用
US11549086B2 (en) 2017-07-25 2023-01-10 Shanghai Sinyang Semiconductor Materials Co., Ltd. Cleaning agent and preparation method and use thereof
US11390943B2 (en) 2017-12-18 2022-07-19 Entegris, Inc. Chemical resistant multi-layer coatings applied by atomic layer deposition
US11713504B2 (en) 2017-12-18 2023-08-01 Entegris, Inc. Chemical resistant multi-layer coatings applied by atomic layer deposition
CN110240907B (zh) * 2018-03-09 2021-09-17 弗萨姆材料美国有限责任公司 用于在半导体器件制造期间从硅-锗/锗叠层选择性除去硅-锗合金的蚀刻溶液
CN110240907A (zh) * 2018-03-09 2019-09-17 弗萨姆材料美国有限责任公司 用于在半导体器件制造期间从硅-锗/锗叠层选择性除去硅-锗合金的蚀刻溶液
CN112424327A (zh) * 2018-07-20 2021-02-26 恩特格里斯公司 含腐蚀抑制剂的清洗组合物
WO2021135804A1 (zh) * 2019-12-31 2021-07-08 安集微电子科技(上海)股份有限公司 一种等离子体刻蚀残留物清洗液
CN112941516A (zh) * 2020-12-29 2021-06-11 苏州运宏电子有限公司 一种精控式蚀刻液及其蚀刻方法
CN113150884A (zh) * 2021-04-27 2021-07-23 上海新阳半导体材料股份有限公司 一种含氟清洗液组合物的制备方法
CN113186539A (zh) * 2021-04-27 2021-07-30 上海新阳半导体材料股份有限公司 一种化学机械抛光后清洗液及其制备方法
CN113860914A (zh) * 2021-09-16 2021-12-31 湖北文理学院 去除白色鹅卵石锈黄斑的浸泡液及其制备方法、白色鹅卵石锈黄斑的去除方法

Also Published As

Publication number Publication date
US9063431B2 (en) 2015-06-23
EP2593964A4 (en) 2017-12-06
WO2012009639A9 (en) 2012-05-24
WO2012009639A3 (en) 2012-04-26
SG187551A1 (en) 2013-03-28
JP2013533631A (ja) 2013-08-22
US20150307818A1 (en) 2015-10-29
TW201209156A (en) 2012-03-01
US20130296214A1 (en) 2013-11-07
EP2593964A2 (en) 2013-05-22
SG10201505535VA (en) 2015-09-29
KR20130088847A (ko) 2013-08-08
TWI548738B (zh) 2016-09-11
WO2012009639A2 (en) 2012-01-19

Similar Documents

Publication Publication Date Title
CN103003923A (zh) 用于移除蚀刻后残余物的水性清洁剂
TWI572746B (zh) 用以移除蝕刻後殘餘物之液體清洗劑
USRE46427E1 (en) Antioxidants for post-CMP cleaning formulations
KR102405063B1 (ko) 텅스텐 및 코발트 상용성을 갖는 에치후 잔류물을 제거하기 위한 수성 및 반-수성 세정제
JP2015524165A (ja) 有機残渣除去を改良するための銅エッチングレートの低い水性洗浄溶液
SG175559A1 (en) Compositions and methods for the removal of photoresist for a wafer rework application
KR20100051839A (ko) 마이크로전자 장치로부터 잔사를 제거하기 위한 플루오라이드 비-함유 조성물
WO2008144501A2 (en) New antioxidants for post-cmp cleaning formulations
TW201610102A (zh) 具有金屬、電介質及氮化物相容性之抗反射塗層清洗及蝕刻後殘留物移除組成物
WO2008036823A2 (en) Uric acid additive for cleaning formulations
TWI718742B (zh) 化學機械研磨後(post cmp)清潔組合物

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
ASS Succession or assignment of patent right

Owner name: ANGES INC.

Free format text: FORMER OWNER: ADVANCED TECHNOLOGY MATERIALS, INC.

Effective date: 20150420

C41 Transfer of patent application or patent right or utility model
TA01 Transfer of patent application right

Effective date of registration: 20150420

Address after: Massachusetts, USA

Applicant after: MYKROLIS Corp.

Address before: American Connecticut

Applicant before: Advanced Technology Materials, Inc.

C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20130327