US20150307818A1 - Aqueous cleaner for the removal of post-etch residues - Google Patents

Aqueous cleaner for the removal of post-etch residues Download PDF

Info

Publication number
US20150307818A1
US20150307818A1 US14/746,115 US201514746115A US2015307818A1 US 20150307818 A1 US20150307818 A1 US 20150307818A1 US 201514746115 A US201514746115 A US 201514746115A US 2015307818 A1 US2015307818 A1 US 2015307818A1
Authority
US
United States
Prior art keywords
acid
residue
optionally
cleaning composition
amino
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/746,115
Inventor
Jeffrey A. Barnes
Steven Lippy
Peng Zhang
Rekha Rajaram
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Technology Materials Inc
Original Assignee
Advanced Technology Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials Inc filed Critical Advanced Technology Materials Inc
Priority to US14/746,115 priority Critical patent/US20150307818A1/en
Publication of US20150307818A1 publication Critical patent/US20150307818A1/en
Abandoned legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D11/00Special methods for preparing compositions containing mixtures of detergents ; Methods for using cleaning compositions
    • C11D11/0005Special cleaning or washing methods
    • C11D11/0011Special cleaning or washing methods characterised by the objects to be cleaned
    • C11D11/0023"Hard" surfaces
    • C11D11/0041Industrial or commercial equipment, e.g. reactors, tubes or engines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/042Acids
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • C11D2111/20

Definitions

  • the present invention relates to compositions for the removal of post-etch residue, including titanium-containing, copper-containing and/or tungsten-containing post-etch residue, from microelectronic devices and methods of making and using the same.
  • Interconnect circuitry in semiconductor circuits consists of conductive metallic circuitry surrounded by insulating dielectric material.
  • silicate glass vapor-deposited from tetraethylorthosilicate (TEOS) was widely used as the dielectric material, while alloys of aluminum were used for metallic interconnects.
  • TEOS tetraethylorthosilicate
  • Aluminum alloys have been replaced by copper or copper alloys due to the higher conductivity of copper.
  • TEOS and fluorinated silicate glass have been replaced by the so-called low-k dielectrics, including low-polarity materials such as organic polymers, hybrid organic/inorganic materials, organosilicate glass (OSG), and carbon-doped oxide (CDO) glass.
  • low-polarity materials such as organic polymers, hybrid organic/inorganic materials, organosilicate glass (OSG), and carbon-doped oxide (CDO) glass.
  • OSG organosilicate glass
  • CDO carbon-doped oxide
  • Photolithography is used to image a pattern onto a device wafer.
  • Photolithography techniques comprise the steps of coating, exposure, and development.
  • a wafer is coated with a positive or negative photoresist substance and subsequently covered with a mask that defines patterns to be retained or removed in subsequent processes.
  • the mask has directed therethrough a beam of monochromatic radiation, such as ultraviolet (UV) light or deep UV (DUV) light ( ⁇ 250 nm or 193 nm), to make the exposed photoresist material more or less soluble in a selected rinsing solution.
  • UV ultraviolet
  • DUV deep UV
  • the soluble photoresist material is then removed, or “developed,” leaving behind a pattern identical to the mask.
  • gas-phase plasma etching is used to transfer the patterns of the developed photoresist coating to the underlying layers, which may include hardmask, interlevel dielectric (ILD), and/or etch stop layers.
  • Post-plasma etch residues are typically deposited on the back-end-of-the-line (BEOL) structures and if not removed, may interfere with subsequent silicidation or contact formation.
  • Post-plasma etch residues typically include chemical elements present on the substrate and in the plasma gases. For example, if a TiN hardmask is employed, e.g., as a capping layer over ILD, the post-plasma etch residues include titanium-containing species, which are difficult to remove using conventional wet cleaning chemistries.
  • compositions for the effective removal of post-plasma etch residue including, but not limited to, titanium-containing residue, polymeric sidewall residue, copper-containing via residue, tungsten-containing residue, and/or cobalt-containing residue from microelectronic devices, said compositions being compatible with ILD, metal interconnect materials, and/or capping layers.
  • the present invention generally relates to cleaning compositions and methods of making and using same.
  • One aspect of the invention relates to a composition and process for cleaning post-plasma etch residue from microelectronic devices having said residue thereon, while simultaneously not compromising the metallic and ILD materials on the microelectronic device surface.
  • an aqueous cleaning composition comprising at least one corrosion inhibitor, water, optionally at least one chelating agent, optionally at least one etchant, optionally at least one passivating agent, and optionally at least one complexing agent.
  • the aqueous cleaning composition is suitable for cleaning post-plasma etch residue from a microelectronic device having said residue thereon.
  • an aqueous cleaning composition comprising at least one corrosion inhibitor, water, at least one etchant, at least one passivating agent, optionally at least one chelating agent, and optionally at least one complexing agent.
  • the aqueous cleaning composition is suitable for cleaning post-plasma etch residue from a microelectronic device having said residue thereon.
  • kits comprising, in one or more containers, one or more of the following reagents for forming an aqueous cleaning composition, said one or more reagents selected from the group consisting of at least one corrosion inhibitor, water, optionally at least one chelating agent, optionally at least one etchant, optionally at least one passivating agent, and optionally at least one complexing agent and wherein the kit is adapted to form an aqueous cleaning composition suitable for cleaning post-plasma etch residue from a microelectronic device having said residue thereon.
  • a method of removing material from a microelectronic device having said material thereon comprising contacting the microelectronic device with an aqueous cleaning composition for sufficient time to at least partially remove said material from the microelectronic device, wherein the aqueous cleaning composition includes at least one corrosion inhibitor, water, optionally at least one chelating agent, optionally at least one etchant, optionally at least one passivating agent, and optionally at least one complexing agent.
  • a method of removing material from a microelectronic device having said material thereon comprising contacting the microelectronic device with an aqueous cleaning composition for sufficient time to at least partially remove said material from the microelectronic device, wherein the aqueous cleaning composition includes at least one corrosion inhibitor, water, at least one etchant, at least one passivating agent, optionally at least one chelating agent, and optionally at least one complexing agent.
  • the present invention generally relates to compositions for removing residue, preferably post-etch residue, more preferably titanium-containing post-etch residue, polymeric sidewall residue, copper-containing via and line residue and/or tungsten-containing post-etch residue from microelectronic devices having said residue thereon, said compositions preferably being compatible with ultra low-k (ULK) ILD materials, such as OSG and porous-CDO, the metallic interconnect materials, e.g., copper and tungsten, the hardmask capping layers, e.g., TiN, and cobalt capping layers, e.g., CoWP, on the microelectronic device surface.
  • ULK ultra low-k
  • the present invention generally relates to methods of removing residue, preferably post-etch residue, more preferably titanium-containing post-etch residue, polymeric sidewall residue, copper-containing via and line residue, tungsten-containing post-etch residue, and/or cobalt-containing post-etch residue, from microelectronic devices having said residue thereon, using compositions, said compositions preferably being compatible with ultra low-k (ULK) ILD materials, the metallic interconnect materials, and the capping layers, on the microelectronic device surface.
  • ULK ultra low-k
  • microelectronic device corresponds to semiconductor substrates, flat panel displays, phase change memory devices, solar panels and other products including solar cell devices, photovoltaics, and microelectromechanical systems (MEMS), manufactured for use in microelectronic, integrated circuit, energy collection, or computer chip applications.
  • MEMS microelectromechanical systems
  • the term “microelectronic device” is not meant to be limiting in any way and includes any substrate or structure that will eventually become a microelectronic device or microelectronic assembly.
  • the microelectronic device substrate may be patterned, blanketed and/or a test substrate.
  • post-etch residue and “post-plasma etch residue,” as used herein, corresponds to material remaining following gas-phase plasma etching processes, e.g., BEOL dual-damascene processing.
  • the post-etch residue may be organic, organometallic, organosilicic, or inorganic in nature, for example, silicon-containing material, titanium-containing material, nitrogen-containing material, oxygen-containing material, polymeric residue material, copper-containing residue material (including copper oxide residue), tungsten-containing residue material, cobalt-containing residue material, etch gas residue such as chlorine and fluorine, and combinations thereof.
  • low-k dielectric material and ULK corresponds to any material used as a dielectric material in a layered microelectronic device, wherein the material has a dielectric constant less than about 3.5.
  • the low-k dielectric materials include low-polarity materials such as silicon-containing organic polymers, silicon-containing hybrid organic/inorganic materials, organosilicate glass (OSG), TEOS, fluorinated silicate glass (FSG), silicon dioxide, and carbon-doped oxide (CDO) glass.
  • the low-k dielectric material is deposited using organosilane and/or organosiloxane precursors. It is to be appreciated that the low-k dielectric materials may have varying densities and varying porosities.
  • polymeric sidewall residue corresponds to the residue that remains on the sidewalls of the patterned device subsequent to post-plasma etching processes.
  • the residue is substantially polymeric in nature however, it should be appreciated that inorganic species, e.g., titanium, silicon, tungsten, cobalt and/or copper-containing species, may be present in the sidewall residue as well.
  • suitable for cleaning post-etch residue from a microelectronic device having said residue thereon corresponds to at least partial removal of said residue from the microelectronic device.
  • at least about 90% of one or more of the materials, more preferably at least 95% of one or more of the materials, and most preferably at least 99% of one or more of the materials to be removed are removed from the microelectronic device.
  • “Capping layer” as used herein corresponds to materials deposited over dielectric material and/or metal material, e.g., cobalt, to protect same during the plasma etch step.
  • Hardmask capping layers are traditionally silicon, silicon nitrides, silicon oxynitrides, titanium nitride, titanium oxynitride, titanium, tantalum, tantalum nitride, molybdenum, tungsten, combinations thereof, and other similar compounds.
  • Cobalt capping layers include CoWP and other cobalt-containing materials or tungsten-containing materials.
  • substantially devoid is defined herein as less than 2 wt. %, preferably less than 1 wt. %, more preferably less than 0.5 wt. %, and most preferably less than 0.1 wt. %.
  • si-aqueous refers to a mixture of water and organic components.
  • complexing agent includes those compounds that are understood by one skilled in the art to be complexing agents, chelating agents, sequestering agents, and combinations thereof. Complexing agents will chemically combine with or physically hold the metal atom and/or metal ion to be removed using the compositions described herein.
  • a “strong base” includes alkali and alkaline earth metal hydroxide salts such as LiOH, NaOH, KOH, RbOH, CsOH, Mg(OH) 2 , Ca(OH) 2 , Sr(OH) 2 and Ba(OH) 2 , as well as quaternary ammonium hydroxides having the formula NR 1 R 2 R 3 R 4 OH, where R 1 , R 2 , R 3 and R 4 are the same as or different from one another and are selected from the group consisting of C 1 -C 6 alkyls, C 6 -C 10 aryls, and combinations thereof.
  • compositions of the invention may be embodied in a wide variety of specific formulations, as hereinafter more fully described.
  • compositions wherein specific components of the composition are discussed in reference to weight percentage ranges including a zero lower limit, it will be understood that such components may be present or absent in various specific embodiments of the composition, and that in instances where such components are present, they may be present at concentrations as low as 0.001 weight percent, based on the total weight of the composition in which such components are employed.
  • Titanium-containing post-etch residue materials are notoriously difficult to remove using the ammonia-containing compositions of the prior art.
  • the present inventors discovered a cleaning composition that is substantially devoid of ammonia and/or strong bases (e.g., NaOH, KOH, etc.) and preferably, substantially devoid of oxidizing agents, which effectively and selectively removes titanium-containing residues from the surface of a microelectronic device having same thereon.
  • the composition will substantially remove polymeric sidewall residue, copper-containing residue, cobalt-containing residue, and/or tungsten-containing residue without substantially damaging the underlying ILD, metal interconnect materials, e.g., Cu, Al, Co and W, and/or the capping layers.
  • compositions may be used regardless of whether the trench or via is etched first (i.e., a trench-first or via-first scheme). Further, the composition can be formulated to substantially remove TiN layers from the surface of a microelectronic device having same thereon.
  • the cleaning compositions described herein are aqueous or semi-aqueous and include at least one corrosion inhibitor, water, optionally at least one etchant source, optionally at least one metal-chelating agent, optionally at least one complexing agent, and optionally at least one passivating agent, for removing post-plasma etch residues from the surface of a microelectronic device having same thereon, wherein the post-plasma etch residue comprises a species selected from the group consisting of titanium-containing residues, polymeric residues, copper-containing residues, tungsten-containing residues, cobalt-containing residues, and combinations thereof.
  • the cleaning compositions described herein include at least one corrosion inhibitor, water, at least one etchant source, optionally at least one metal-chelating agent, optionally at least one complexing agent, and optionally at least one passivating agent.
  • the cleaning compositions include at least one corrosion inhibitor, water, at least one metal-chelating agent, optionally at least one etchant source, optionally at least one complexing agent, and optionally at least one passivating agent.
  • the cleaning compositions include at least one corrosion inhibitor, water, at least one complexing agent, optionally at least one etchant source, optionally at least one passivating agent, and optionally at least one metal-chelating agent.
  • the cleaning compositions include at least one corrosion inhibitor, water, at least one passivating agent, optionally at least one metal-chelating agent, optionally at least one complexing agent, and optionally at least one etchant source.
  • the cleaning compositions include at least one corrosion inhibitor, water, at least one etchant source, at least one metal-chelating agent, optionally at least one passivating agent, and optionally at least one complexing agent.
  • the cleaning compositions include at least one corrosion inhibitor, water, at least one etchant source, at least one complexing agent, optionally at least one passivating agent, and optionally at least one metal-chelating agent.
  • the cleaning compositions include at least one corrosion inhibitor, water, at least one complexing agent, at least one metal-chelating agent, optionally at least one passivating agent, and optionally at least one etchant source.
  • the cleaning compositions include at least one corrosion inhibitor, water, at least one etchant source, at least one passivating agent, optionally at least one complexing agent, and optionally at least one metal-chelating agent.
  • the cleaning compositions include at least one corrosion inhibitor, water, at least one complexing agent, at least one metal-chelating agent, and at least one etchant source.
  • the amount of water present is in a range from about 50 wt % to about 99 wt %, based on the total weight of the composition.
  • at least one surfactant, a source of silica, and/or at least one organic solvent may be added.
  • the aqueous composition for cleaning post-plasma etch residues selected from the group consisting of titanium-containing residues, polymeric residues, copper-containing residues, tungsten-containing residues, cobalt-containing residues, and combinations thereof, includes at least one corrosion inhibitor, water, optionally at least one etchant, optionally at least one metal chelating agent, optionally at least one passivating agent, and optionally at least one complexing agent, present in the following ranges, based on the total weight of the composition.
  • component % by weight corrosion inhibitor(s) about 0.01% to about 20% water about 50% to about 97% etchant source(s) 0% to about 50 wt. % chelating agent(s) 0% to about 10% passivating agent(s) 0 to about 5% complexing agent(s) 0 to about 10% surfactant(s) 0 to about 10% organic solvent(s) 0 to about 10%
  • the cleaning composition may comprise, consist of, or consist essentially of: (i) at least one corrosion inhibitor, water, optionally at least one etchant source, optionally at least one metal-chelating agent, optionally at least one passivating agent, and optionally at least one complexing agent; (ii) at least one corrosion inhibitor, water, at least one etchant source, optionally at least one metal-chelating agent, optionally at least one passivating agent, and optionally at least one complexing agent; (iii) at least one corrosion inhibitor, water, at least one metal-chelating agent, optionally at least one etchant source, optionally at least one passivating agent, and optionally at least one complexing agent; (iv) at least one corrosion inhibitor, water, at least one complexing agent, optionally at least one etchant source, optionally at least one passivating agent, and optionally at least one metal-chelating agent; (v) at least one corrosion inhibitor, water, at least one etchant source, optionally at least one passivating agent
  • the water is included to serve as a solvent and assist in the dissolution of residues, e.g., water-soluble copper oxide residues.
  • the water is preferably deionized.
  • the aqueous cleaning composition is substantially devoid of oxidizing agents such as peroxide-containing compounds and nitric acid. In another preferred embodiment, the aqueous cleaning composition is substantially devoid of abrasive material prior to contact with the substrate to be cleaned.
  • the pH range of the aqueous cleaning composition is about 0 to about 7, preferably about 0 to about 5, even more preferably about 0 to about 4, and most preferably about 0 to about 3.
  • Etchant sources assist in breaking up and solubilizing the post-etch residue species, aiding in polymer sidewall residue removal and slightly etching of the TiN hardmask.
  • Etchant sources contemplated herein include, but are not limited to: hydrofluoric acid (HF); fluorosilicic acid (H 2 SiF 6 ); fluoroboric acid; ammonium fluorosilicate salt ((NH 4 ) 2 SiF 6 ); tetramethylammonium hexafluorophosphate; ammonium fluoride salts; ammonium bifluoride salts; quaternary ammonium tetrafluoroborates and quaternary phosphonium tetrafluoroborates having the formula NR 4 BF 4 and PR 4 BF 4 , respectively, wherein R may be the same as or different from one another and is selected from the group consisting of hydrogen, straight-chained, branched, or cyclic C 1 -C 6 alkyl (e.g., methyl,
  • the etchant source comprises ammonium bifluoride, quaternary ammonium tetrafluoroborates (e.g., tetramethylammonium tetrafluoroborate, tetraethylammonium tetrafluoroborate, tetrapropylammonium tetrafluoroborate, tetrabutylammonium tetrafluoroborate), quaternary phosphonium tetrafluoroborates, or combinations thereof.
  • the etchant source comprises ammonium bifluoride, tetrabutylammonium tetrafluoroborate, or a combination thereof. It should be appreciated by the skilled artisan that quaternary ammonium tetrafluoroborates and quaternary phosphonium tetrafluoroborates may be generated in situ.
  • organic solvents when present, assist in solubilization of the components of the aqueous cleaning composition and organic residues, wet the surface of the microelectronic device structure to facilitate residue removal, prevent residue redeposition, and/or passivate the underlying materials, e.g., ULK.
  • Organic solvents contemplated herein include, but are not limited to, alcohols, ethers, pyrrolidinones, glycols, amines, and glycol ethers, including, but not limited to, methanol, ethanol, isopropanol, butanol, and higher alcohols (such as C 2 -C 4 diols and C 2 -C 4 triols), tetrahydrofurfuryl alcohol (THFA), halogenated alcohols (such as 3-chloro-1,2-propanediol, 3-chloro-1-propanethiol, 1-chloro-2-propanol, 2-chloro-1-propanol, 3-chloro-1-propanol, 3-bromo-1,2-propanediol, 1-bromo-2-propanol, 3-bromo-1-propanol, 3-iodo-1-propanol, 4-chloro-1-butanol, 2-chloroethanol), dichlorome
  • the organic solvent may comprise other amphiphilic species, i.e., species that contain both hydrophilic and hydrophobic moieties similar to surfactants. Hydrophobic properties may generally be imparted by inclusion of a molecular group consisting of hydrocarbon or fluorocarbon groups and the hydrophilic properties may generally be imparted by inclusion of either ionic or uncharged polar functional groups.
  • the organic solvent includes tripropylene glycol methyl ether (TPGME), dipropylene glycol methyl ether (DPGME), propylene glycol, and combinations thereof.
  • TPGME tripropylene glycol methyl ether
  • DPGME dipropylene glycol methyl ether
  • propylene glycol and combinations thereof.
  • the composition includes at least 0.01 wt % organic solvent, based on the total weight of the composition.
  • the metal corrosion inhibitors serve to eliminate over-etching of metals, e.g., copper, tungsten, and/or cobalt interconnect metals.
  • Suitable corrosion inhibitors include, but are not limited to, azoles such as benzotriazole (BTA), 1,2,4-triazole (TAZ), 5-aminotetrazole (ATA), 1-hydroxybenzotriazole, 5-amino-1,3,4-thiadiazol-2-thiol, 3-amino-1H-1,2,4 triazole, 3,5-diamino-1,2,4-triazole, tolyltriazole, 5-phenyl-benzotriazole, 5-nitro-benzotriazole, 3-amino-5-mercapto-1,2,4-triazole, 1-amino-1,2,4-triazole, 2-(5-amino-pentyl)-benzotriazole, 1-amino-1,2,3-triazole, 1-amino-5-methyl-1,2,3-triazole, 3-mercap
  • Dicarboxylic acids such as oxalic acid, malonic acid, succinic acid, nitrilotriacetic acid, and combinations thereof are also useful copper passivator species. It is generally accepted that azoles chemisorb onto the copper surface and form an insoluble cuprous surface complex.
  • Suitable tungsten corrosion inhibitor include, but are not limited to, sulfolane, 2-mercaptothiazoline, 2,3,5-trimethylpyrazine, 2-ethyl-3,5-dimethylpyrazine, quinoxaline, acetyl pyrrole, pyridazine, histidine, pyrazine, glycine, benzimidazole, benzotriazole (BTA), iminodiacetic acid (IDA), glutathione (reduced), cysteine, 2-mercaptobenzimidazole, cystine, thiophene, mercapto pyridine N-oxide, thiamine HCl, tetraethyl thiuram disulfide, 1,2,4-triazole, 2,5-dimercapto-1,3-thiadiazoleascorbic acid, ascorbic acid, and combinations thereof, preferably sulfolane, pyrazine, glycine, histidine, ascor
  • the corrosion inhibitor includes BTA, TAZ, 5-amino-1,3,4-thiadiazol-2-thiol, dodecylphosphonic acid, a combination of BTA and TAZ or any other combination thereof.
  • the composition includes at least 0.01 wt % corrosion inhibitor, based on the total weight of the composition.
  • chelating agent serves to chelate the oxidized copper and/or tungsten metals in the post-etch residue species and/or react with TiN and/or titanium-containing residues.
  • Suitable chelating agents include, but are not limited to: fluorinated ⁇ -diketone chelating agents such as 1,1,1,5,5,5-hexafluoro-2,4-pentanedione (hfacH), 1,1,1-trifluoro-2,4-pentanedione (tfac), and acetylacetonate (acac); iminodiacetic acid; pyrazolates; amidinates; guanidinates; ketoimines; dienes; polyamines; ethylenediaminetetraacetic acid (EDTA); 1,2-cyclohexanediamine-N,N,N′,N′-tetraacetic acid (CDTA); etidronic acid; methanesulfonic acid; hydrochloric acid; acetic salt
  • the complexing agents preferably have a high affinity for aluminum-containing residues.
  • Complexing agents contemplated include, but are not limited to, aminocarboxylic acids, organic acids and derivatives thereof, phosphonic acids and derivatives thereof, and combinations thereof including: butylenediaminetetraacetic acid, diethylenetriaminepentaacetic acid (DTPA), ethylenediaminetetrapropionic acid, (hydroxyethyl)ethylenediaminetriacetic acid (HEDTA), N,N,N′,N′-ethylenediaminetetra(methylenephosphonic) acid (EDTMP), triethylenetetraminehexaacetic acid (TTHA), 1,3-diamino-2-hydroxypropane-N,N,N′,N′-tetraacetic acid (DHPTA), methyliminodiacetic acid, propylenediaminetetraacetic acid, 1,5,9-triazacyclododecane-N,N′,N′′-tris(methylenephosphonic
  • compositions may optionally further include a surfactant to assist in residue removal, wet the surface, and/or prevent residue redeposition.
  • a surfactant include, but are not limited to, amphoteric salts, cationic surfactants, anionic surfactants, fluoroalkyl surfactants, SURFONYL® 104, TRITON® CF-21, ZONYL® UR, ZONYL® FSO-100, ZONYL® FSN-100, 3M Fluorad fluorosurfactants (i.e., FC-4430 and FC-4432), dioctylsulfosuccinate salt, 2,3-dimercapto-1-propanesulfonic acid salt, dodecylbenzenesulfonic acid, polyethylene glycols, polypropylene glycols, polyethylene or polypropylene glycol ethers, carboxylic acid salts, R 1 benzene sulfonic acids or salts thereof (where the R 1 is a
  • the compositions may further include a source of silica.
  • the silica may be added to the composition as a fine silica powder, or as a tetraalkoxysilane such as TEOS, preferably at a ratio of etchant to silica source of about 4:1 to about 5:1.
  • the etchant source is fluorosilicic acid and the silica source is TEOS.
  • the preferred embodiment further includes a glycol based solvent to facilitate the dissolution of the silica source in the composition.
  • the composition includes at least 0.01 wt % silica, based on the total weight of the composition.
  • the low-k passivating agents may be included to reduce the chemical attack of the low-k layers and to protect the wafer from additional oxidation.
  • Boric acid is a presently preferred low-k passivating agent, although other hydroxyl additives may also be advantageously employed for such purpose, e.g., 3-hydroxy-2-naphthoic acid, malonic acid, iminodiacetic acid, and mixtures thereof.
  • the low-k passivating agent comprises iminodiacetic acid, boric acid, or a combination thereof.
  • the composition includes at least 0.01 wt % low-k passivating agent, based on the total weight of the composition. Preferably, less than 2 wt.
  • % of the underlying low-k material is etched/removed using the removal compositions described herein, more preferably less than 1 wt. %, most preferably less than 0.5 wt. %, based on the total weight of the underlying low-k material.
  • the aqueous composition comprises, consists of, or consists essentially of BTA, TAZ, ammonium bifluoride, boric acid, and water.
  • the aqueous composition comprises, consists of, or consists essentially of BTA, TAZ, ammonium bifluoride, tetrabutylammonium tetrafluoroborate, boric acid, and water.
  • the aqueous composition comprises, consists of, or consists essentially of ammonium bifluoride, boric acid, dodecylphosphonic acid, and water.
  • aqueous compositions described herein are preferably devoid of abrasive material (e.g., silica, alumina, other abrasives used during chemical mechanical polishing processes), oxidizing agents, ammonia, strong bases, and an amidoxime complexing agent. Although disclosed as an optional component, most preferably the aqueous compositions are substantially devoid of organic solvents and silica sources.
  • the aqueous compositions described herein further include post-plasma etch residue, wherein the post-plasma etch residue comprises residue material selected from the group consisting of titanium-containing residue, polymeric-residue, copper-containing residue, tungsten-containing residue, cobalt-containing residue, and combinations thereof.
  • the residue material may be dissolved and/or suspended in the aqueous compositions.
  • the aqueous compositions described herein further include titanium nitride material.
  • the TiN material may be dissolved and/or suspended in the aqueous compositions.
  • compositions are useful for the selective removal of TiN, sidewall residue, and/or post-etch residue without substantially etching patterned or blanket tungsten layers, copper layers and/or ULK layers. In another embodiment, the compositions are useful for the selective removal of sidewall residue, and/or post-etch residue without substantially etching patterned or blanket tungsten layers, TiN, copper layers and/or ULK layers.
  • compositions of both aspects of the invention may be formulated as foams, fogs, subcritical or supercritical fluids (i.e., wherein the solvent is CO 2 , etc., instead of water).
  • the cleaning compositions described herein effectively remove post-plasma etch residue from the top surface, the sidewalls, and the vias and lines of the microelectronic device without compromising the ILD, capping layers, and/or the metal interconnect layers present on the device.
  • the compositions may be used regardless of whether the trench or the via is etched first.
  • the cleaning compositions may be manufactured in a more concentrated form, including at least about 20 wt % water for solubility purposes, and thereafter diluted with additional solvent (e.g., water and/or organic solvent) at the manufacturer, before use, and/or during use at the fab.
  • additional solvent e.g., water and/or organic solvent
  • Dilution ratios may be in a range from about 0.1 part diluent:1 part removal composition concentrate to about 100 parts diluent:1 part removal composition concentrate. It is understood that upon dilution, the weight percent ratios of many of the components of the removal composition will remain unchanged.
  • compositions described herein are easily formulated by simple addition of the respective ingredients and mixing to homogeneous condition. Furthermore, the compositions may be readily formulated as single-package formulations or multi-part formulations that are mixed at the point of use, preferably multi-part formulations. The individual parts of the multi-part formulation may be mixed at the tool or in a storage tank upstream of the tool.
  • concentrations of the respective ingredients may be widely varied in specific multiples of the composition, i.e., more dilute or more concentrated, and it will be appreciated that the compositions described herein can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein.
  • kits including, in one or more containers, one or more components adapted to form the compositions described herein.
  • the kit includes, in one or more containers, the preferred combination of at least one corrosion inhibitor, optionally water, optionally at least one etchant, optionally at least one chelating agent, optionally at least one passivating agent, and optionally at least one complexing agent, for combining with water at the fab or the point of use.
  • the containers of the kit must be suitable for storing and shipping said cleaning composition components, for example, NOWPak® containers (Advanced Technology Materials, Inc., Danbury, Conn., USA).
  • the one or more containers which contain the components of the removal composition preferably include means for bringing the components in said one or more containers in fluid communication for blending and dispense.
  • gas pressure may be applied to the outside of a liner in said one or more containers to cause at least a portion of the contents of the liner to be discharged and hence enable fluid communication for blending and dispense.
  • gas pressure may be applied to the head space of a conventional pressurizable container or a pump may be used to enable fluid communication.
  • the system preferably includes a dispensing port for dispensing the blended cleaning composition to a process tool.
  • Substantially chemically inert, impurity-free, flexible and resilient polymeric film materials are preferably used to fabricate the liners for said one or more containers.
  • Desirable liner materials are processed without requiring co-extrusion or barrier layers, and without any pigments, UV inhibitors, or processing agents that may adversely affect the purity requirements for components to be disposed in the liner.
  • a listing of desirable liner materials include films comprising virgin (additive-free) polyethylene, virgin polytetrafluoroethylene (PTFE), polypropylene, polyurethane, polyvinylidene chloride, polyvinylchloride, polyacetal, polystyrene, polyacrylonitrile, polybutylene, and so on.
  • Preferred thicknesses of such liner materials are in a range from about 5 mils (0.005 inch) to about 30 mils (0.030 inch), as for example a thickness of 20 mils (0.020 inch).
  • the cleaning compositions are usefully employed to clean post-plasma etch residue from the surface of the microelectronic device, and may be applied to said surface before or after the application of other compositions formulated to remove alternative materials from the surface of the device.
  • the compositions described herein do not damage ILD materials on the device surface and preferably remove at least 90% of the residue present on the device prior to removal processing, more preferably at least 95%, and most preferred at least 99% of the residue to be removed is removed.
  • the composition may be applied in any suitable manner to the device to be cleaned, e.g., by spraying the composition on the surface of the device to be cleaned, by dipping the device to be cleaned in a static or dynamic volume of the composition, by contacting the device to be cleaned with another material, e.g., a pad, or fibrous sorbent applicator element, that has the composition absorbed thereon, or by any other suitable means, manner or technique by which the composition is brought into removal contact with the device to be cleaned.
  • another material e.g., a pad, or fibrous sorbent applicator element
  • the composition typically is statically or dynamically contacted with the device for a time of from about 1 minute to about 30 minutes, preferably about 1 minute to 10 minutes, at temperature in a range of from about 20° C. to about 90° C., preferably about 40° C. to about 70° C., and most preferably about 50° C. to about 60° C.
  • the contacting is static.
  • Such contacting times and temperatures are illustrative, and any other suitable time and temperature conditions may be employed that are efficacious to at least partially remove the post-etch residue material from the device.
  • “At least partial removal” of the residue material from the microelectronic device corresponds to at removal of at least 90% of the material, preferably at least 95% removal. Most preferably, at least 99% of said residue material is removed using the compositions described herein.
  • the compositions may be readily removed from the device to which it has previously been applied, e.g., by rinse, wash, or other removal step(s), as may be desired and efficacious in a given end use application of the compositions described herein.
  • the device may be rinsed with a rinse solution including deionized water and/or dried (e.g., spin-dry, N 2 , vapor-dry etc.).
  • a post-clean bake step and/or an isopropanol vapor-dry step may be necessary to remove non-volatile materials that may absorb into the pores of the ILD materials so as not to change the capacitance of the low-k dielectric materials.
  • Another aspect relates to the improved microelectronic devices made according to the methods described herein and to products containing such microelectronic devices.
  • a still further aspect relates to methods of manufacturing an article comprising a microelectronic device, said method comprising contacting the microelectronic device with a composition for sufficient time to clean post-plasma etch residue from the microelectronic device having said residue thereon, and incorporating said microelectronic device into said article, wherein the composition includes at least one corrosion inhibitor, water, optionally at least one chelating agent, optionally at least one etchant, optionally at least one passivating agent, and optionally at least one complexing agent.
  • a still further aspect relates to methods of manufacturing an article comprising a microelectronic device, said method comprising contacting the microelectronic device with a composition for sufficient time to clean post-plasma etch residue from the microelectronic device having said residue thereon, and incorporating said microelectronic device into said article, wherein the composition includes at least one corrosion inhibitor, water, optionally at least one chelating agent, optionally at least one etchant, optionally at least one passivating agent, and optionally at least one complexing agent.
  • compositions described herein may be utilized in other aspects of the microelectronic device manufacturing process, i.e., subsequent to the post-plasma etch residue cleaning step.
  • the compositions may be used to remove post-ash residue and/or they may be diluted and used as a post-chemical mechanical polishing (CMP) clean.
  • CMP post-chemical mechanical polishing
  • the compositions described herein may be used to remove contaminating materials from photomask materials for re-use thereof.
  • an article of manufacture comprising a microelectronic device substrate, residue material, and a cleaning composition, wherein the cleaning composition may be any composition described herein, and wherein the residue material is selected from the group consisting of titanium-containing residue, polymeric-residue, copper-containing residue, tungsten-containing residue, cobalt-containing residues, and combinations thereof.

Abstract

Cleaning compositions and processes for cleaning post-plasma etch residue from a microelectronic device having said residue thereon. The composition achieves highly efficacious cleaning of the residue material, including titanium-containing, copper-containing, tungsten-containing, and/or cobalt-containing post-etch residue from the microelectronic device while simultaneously not damaging the interlevel dielectric, metal interconnect material, and/or capping layers also present thereon.

Description

    FIELD
  • The present invention relates to compositions for the removal of post-etch residue, including titanium-containing, copper-containing and/or tungsten-containing post-etch residue, from microelectronic devices and methods of making and using the same.
  • DESCRIPTION OF THE RELATED ART
  • Interconnect circuitry in semiconductor circuits consists of conductive metallic circuitry surrounded by insulating dielectric material. In the past, silicate glass vapor-deposited from tetraethylorthosilicate (TEOS) was widely used as the dielectric material, while alloys of aluminum were used for metallic interconnects. Demand for higher processing speeds has led to smaller sizing of circuit elements, along with the replacement of TEOS and aluminum alloys by higher performance materials. Aluminum alloys have been replaced by copper or copper alloys due to the higher conductivity of copper. TEOS and fluorinated silicate glass (FSG) have been replaced by the so-called low-k dielectrics, including low-polarity materials such as organic polymers, hybrid organic/inorganic materials, organosilicate glass (OSG), and carbon-doped oxide (CDO) glass. The incorporation of porosity, i.e., air-filled pores, in these materials further lowers the dielectric constant of the material.
  • During dual-damascene processing of integrated circuits, photolithography is used to image a pattern onto a device wafer. Photolithography techniques comprise the steps of coating, exposure, and development. A wafer is coated with a positive or negative photoresist substance and subsequently covered with a mask that defines patterns to be retained or removed in subsequent processes. Following the proper positioning of the mask, the mask has directed therethrough a beam of monochromatic radiation, such as ultraviolet (UV) light or deep UV (DUV) light (≈250 nm or 193 nm), to make the exposed photoresist material more or less soluble in a selected rinsing solution. The soluble photoresist material is then removed, or “developed,” leaving behind a pattern identical to the mask.
  • Thereafter, gas-phase plasma etching is used to transfer the patterns of the developed photoresist coating to the underlying layers, which may include hardmask, interlevel dielectric (ILD), and/or etch stop layers. Post-plasma etch residues are typically deposited on the back-end-of-the-line (BEOL) structures and if not removed, may interfere with subsequent silicidation or contact formation. Post-plasma etch residues typically include chemical elements present on the substrate and in the plasma gases. For example, if a TiN hardmask is employed, e.g., as a capping layer over ILD, the post-plasma etch residues include titanium-containing species, which are difficult to remove using conventional wet cleaning chemistries. Moreover, conventional cleaning chemistries often damage the ILD, absorb into the pores of the ILD thereby increasing the dielectric constant, and/or corrode the metal structures. For example, buffered fluoride and solvent-based chemistries fail to completely remove Ti-containing residues, while hydroxylamine-containing and ammonia-peroxide chemistries corrode copper.
  • In addition to the desirable removal of titanium-containing post-plasma etch residue, additional materials that are deposited during the post-plasma etch process such as polymeric residues on the sidewalls of the patterned device, copper-containing residues in the open via structures of the device, and tungsten-containing residues are also preferably removed. To date, no single wet cleaning composition has successfully removed all of residue material while simultaneously being compatible with the ILD, other low-k dielectric materials, and metal interconnect materials.
  • The integration of new materials, such as low-k dielectrics, into microelectronic devices places new demands on cleaning performance. At the same time, shrinking device dimensions reduce the tolerance for changes in critical dimensions and damage to device elements. Etching conditions can be modified in order to meet the demands of the new materials. Likewise, post-plasma etch cleaning compositions must be modified. The cleaner should not damage the underlying dielectric material or corrode metallic interconnect materials, e.g., copper, tungsten, cobalt, aluminum, ruthenium, titanium and nitrides and silicides thereof, on the device.
  • Towards that end, it is an object of the present invention to provide improved compositions for the effective removal of post-plasma etch residue including, but not limited to, titanium-containing residue, polymeric sidewall residue, copper-containing via residue, tungsten-containing residue, and/or cobalt-containing residue from microelectronic devices, said compositions being compatible with ILD, metal interconnect materials, and/or capping layers.
  • SUMMARY
  • The present invention generally relates to cleaning compositions and methods of making and using same. One aspect of the invention relates to a composition and process for cleaning post-plasma etch residue from microelectronic devices having said residue thereon, while simultaneously not compromising the metallic and ILD materials on the microelectronic device surface.
  • In one aspect, an aqueous cleaning composition is described, said composition comprising at least one corrosion inhibitor, water, optionally at least one chelating agent, optionally at least one etchant, optionally at least one passivating agent, and optionally at least one complexing agent. The aqueous cleaning composition is suitable for cleaning post-plasma etch residue from a microelectronic device having said residue thereon.
  • In another aspect, an aqueous cleaning composition is described, said composition comprising at least one corrosion inhibitor, water, at least one etchant, at least one passivating agent, optionally at least one chelating agent, and optionally at least one complexing agent. The aqueous cleaning composition is suitable for cleaning post-plasma etch residue from a microelectronic device having said residue thereon.
  • In yet another aspect, a kit is described, said kit comprising, in one or more containers, one or more of the following reagents for forming an aqueous cleaning composition, said one or more reagents selected from the group consisting of at least one corrosion inhibitor, water, optionally at least one chelating agent, optionally at least one etchant, optionally at least one passivating agent, and optionally at least one complexing agent and wherein the kit is adapted to form an aqueous cleaning composition suitable for cleaning post-plasma etch residue from a microelectronic device having said residue thereon.
  • In still another aspect, a method of removing material from a microelectronic device having said material thereon is described, said method comprising contacting the microelectronic device with an aqueous cleaning composition for sufficient time to at least partially remove said material from the microelectronic device, wherein the aqueous cleaning composition includes at least one corrosion inhibitor, water, optionally at least one chelating agent, optionally at least one etchant, optionally at least one passivating agent, and optionally at least one complexing agent.
  • In another aspect, a method of removing material from a microelectronic device having said material thereon is described, said method comprising contacting the microelectronic device with an aqueous cleaning composition for sufficient time to at least partially remove said material from the microelectronic device, wherein the aqueous cleaning composition includes at least one corrosion inhibitor, water, at least one etchant, at least one passivating agent, optionally at least one chelating agent, and optionally at least one complexing agent.
  • Other aspects, features and advantages of the invention will be more fully apparent from the ensuing disclosure and appended claims.
  • DETAILED DESCRIPTION, AND PREFERRED EMBODIMENTS THEREOF
  • The present invention generally relates to compositions for removing residue, preferably post-etch residue, more preferably titanium-containing post-etch residue, polymeric sidewall residue, copper-containing via and line residue and/or tungsten-containing post-etch residue from microelectronic devices having said residue thereon, said compositions preferably being compatible with ultra low-k (ULK) ILD materials, such as OSG and porous-CDO, the metallic interconnect materials, e.g., copper and tungsten, the hardmask capping layers, e.g., TiN, and cobalt capping layers, e.g., CoWP, on the microelectronic device surface. Further, the present invention generally relates to methods of removing residue, preferably post-etch residue, more preferably titanium-containing post-etch residue, polymeric sidewall residue, copper-containing via and line residue, tungsten-containing post-etch residue, and/or cobalt-containing post-etch residue, from microelectronic devices having said residue thereon, using compositions, said compositions preferably being compatible with ultra low-k (ULK) ILD materials, the metallic interconnect materials, and the capping layers, on the microelectronic device surface.
  • For ease of reference, “microelectronic device” corresponds to semiconductor substrates, flat panel displays, phase change memory devices, solar panels and other products including solar cell devices, photovoltaics, and microelectromechanical systems (MEMS), manufactured for use in microelectronic, integrated circuit, energy collection, or computer chip applications. It is to be understood that the term “microelectronic device” is not meant to be limiting in any way and includes any substrate or structure that will eventually become a microelectronic device or microelectronic assembly. Notably, the microelectronic device substrate may be patterned, blanketed and/or a test substrate.
  • “Post-etch residue” and “post-plasma etch residue,” as used herein, corresponds to material remaining following gas-phase plasma etching processes, e.g., BEOL dual-damascene processing. The post-etch residue may be organic, organometallic, organosilicic, or inorganic in nature, for example, silicon-containing material, titanium-containing material, nitrogen-containing material, oxygen-containing material, polymeric residue material, copper-containing residue material (including copper oxide residue), tungsten-containing residue material, cobalt-containing residue material, etch gas residue such as chlorine and fluorine, and combinations thereof.
  • As defined herein, “low-k dielectric material” and ULK corresponds to any material used as a dielectric material in a layered microelectronic device, wherein the material has a dielectric constant less than about 3.5. Preferably, the low-k dielectric materials include low-polarity materials such as silicon-containing organic polymers, silicon-containing hybrid organic/inorganic materials, organosilicate glass (OSG), TEOS, fluorinated silicate glass (FSG), silicon dioxide, and carbon-doped oxide (CDO) glass. Most preferably, the low-k dielectric material is deposited using organosilane and/or organosiloxane precursors. It is to be appreciated that the low-k dielectric materials may have varying densities and varying porosities.
  • As defined herein, the term “polymeric sidewall residue” corresponds to the residue that remains on the sidewalls of the patterned device subsequent to post-plasma etching processes. The residue is substantially polymeric in nature however, it should be appreciated that inorganic species, e.g., titanium, silicon, tungsten, cobalt and/or copper-containing species, may be present in the sidewall residue as well.
  • As used herein, “about” is intended to correspond to ±5% of the stated value.
  • As used herein, “suitability” for cleaning post-etch residue from a microelectronic device having said residue thereon corresponds to at least partial removal of said residue from the microelectronic device. Preferably, at least about 90% of one or more of the materials, more preferably at least 95% of one or more of the materials, and most preferably at least 99% of one or more of the materials to be removed are removed from the microelectronic device.
  • “Capping layer” as used herein corresponds to materials deposited over dielectric material and/or metal material, e.g., cobalt, to protect same during the plasma etch step. Hardmask capping layers are traditionally silicon, silicon nitrides, silicon oxynitrides, titanium nitride, titanium oxynitride, titanium, tantalum, tantalum nitride, molybdenum, tungsten, combinations thereof, and other similar compounds. Cobalt capping layers include CoWP and other cobalt-containing materials or tungsten-containing materials.
  • “Substantially devoid” is defined herein as less than 2 wt. %, preferably less than 1 wt. %, more preferably less than 0.5 wt. %, and most preferably less than 0.1 wt. %.
  • As used herein, the term “semi-aqueous” refers to a mixture of water and organic components.
  • As defined herein, “complexing agent” includes those compounds that are understood by one skilled in the art to be complexing agents, chelating agents, sequestering agents, and combinations thereof. Complexing agents will chemically combine with or physically hold the metal atom and/or metal ion to be removed using the compositions described herein.
  • As defined herein, a “strong base” includes alkali and alkaline earth metal hydroxide salts such as LiOH, NaOH, KOH, RbOH, CsOH, Mg(OH)2, Ca(OH)2, Sr(OH)2 and Ba(OH)2, as well as quaternary ammonium hydroxides having the formula NR1R2R3R4OH, where R1, R2, R3 and R4 are the same as or different from one another and are selected from the group consisting of C1-C6 alkyls, C6-C10 aryls, and combinations thereof.
  • Compositions of the invention may be embodied in a wide variety of specific formulations, as hereinafter more fully described.
  • In all such compositions, wherein specific components of the composition are discussed in reference to weight percentage ranges including a zero lower limit, it will be understood that such components may be present or absent in various specific embodiments of the composition, and that in instances where such components are present, they may be present at concentrations as low as 0.001 weight percent, based on the total weight of the composition in which such components are employed.
  • Titanium-containing post-etch residue materials are notoriously difficult to remove using the ammonia-containing compositions of the prior art. The present inventors discovered a cleaning composition that is substantially devoid of ammonia and/or strong bases (e.g., NaOH, KOH, etc.) and preferably, substantially devoid of oxidizing agents, which effectively and selectively removes titanium-containing residues from the surface of a microelectronic device having same thereon. In addition, the composition will substantially remove polymeric sidewall residue, copper-containing residue, cobalt-containing residue, and/or tungsten-containing residue without substantially damaging the underlying ILD, metal interconnect materials, e.g., Cu, Al, Co and W, and/or the capping layers. Further, the compositions may be used regardless of whether the trench or via is etched first (i.e., a trench-first or via-first scheme). Further, the composition can be formulated to substantially remove TiN layers from the surface of a microelectronic device having same thereon.
  • In a first aspect, the cleaning compositions described herein are aqueous or semi-aqueous and include at least one corrosion inhibitor, water, optionally at least one etchant source, optionally at least one metal-chelating agent, optionally at least one complexing agent, and optionally at least one passivating agent, for removing post-plasma etch residues from the surface of a microelectronic device having same thereon, wherein the post-plasma etch residue comprises a species selected from the group consisting of titanium-containing residues, polymeric residues, copper-containing residues, tungsten-containing residues, cobalt-containing residues, and combinations thereof. In another embodiment, the cleaning compositions described herein include at least one corrosion inhibitor, water, at least one etchant source, optionally at least one metal-chelating agent, optionally at least one complexing agent, and optionally at least one passivating agent. In still another embodiment, the cleaning compositions include at least one corrosion inhibitor, water, at least one metal-chelating agent, optionally at least one etchant source, optionally at least one complexing agent, and optionally at least one passivating agent. In still another embodiment, the cleaning compositions include at least one corrosion inhibitor, water, at least one complexing agent, optionally at least one etchant source, optionally at least one passivating agent, and optionally at least one metal-chelating agent. In another embodiment, the cleaning compositions include at least one corrosion inhibitor, water, at least one passivating agent, optionally at least one metal-chelating agent, optionally at least one complexing agent, and optionally at least one etchant source. In another embodiment, the cleaning compositions include at least one corrosion inhibitor, water, at least one etchant source, at least one metal-chelating agent, optionally at least one passivating agent, and optionally at least one complexing agent. In yet another embodiment, the cleaning compositions include at least one corrosion inhibitor, water, at least one etchant source, at least one complexing agent, optionally at least one passivating agent, and optionally at least one metal-chelating agent. In another embodiment, the cleaning compositions include at least one corrosion inhibitor, water, at least one complexing agent, at least one metal-chelating agent, optionally at least one passivating agent, and optionally at least one etchant source. In another embodiment, the cleaning compositions include at least one corrosion inhibitor, water, at least one etchant source, at least one passivating agent, optionally at least one complexing agent, and optionally at least one metal-chelating agent. In still another embodiment, the cleaning compositions include at least one corrosion inhibitor, water, at least one complexing agent, at least one metal-chelating agent, and at least one etchant source. Preferably, the amount of water present is in a range from about 50 wt % to about 99 wt %, based on the total weight of the composition. In each embodiment, at least one surfactant, a source of silica, and/or at least one organic solvent may be added.
  • In one embodiment, the aqueous composition for cleaning post-plasma etch residues selected from the group consisting of titanium-containing residues, polymeric residues, copper-containing residues, tungsten-containing residues, cobalt-containing residues, and combinations thereof, includes at least one corrosion inhibitor, water, optionally at least one etchant, optionally at least one metal chelating agent, optionally at least one passivating agent, and optionally at least one complexing agent, present in the following ranges, based on the total weight of the composition.
  • component % by weight
    corrosion inhibitor(s) about 0.01% to about 20%
    water about 50% to about 97%
    etchant source(s) 0% to about 50 wt. %
    chelating agent(s) 0% to about 10%
    passivating agent(s) 0 to about 5%
    complexing agent(s) 0 to about 10%
    surfactant(s) 0 to about 10%
    organic solvent(s) 0 to about 10%
  • In the broad practice, the cleaning composition may comprise, consist of, or consist essentially of: (i) at least one corrosion inhibitor, water, optionally at least one etchant source, optionally at least one metal-chelating agent, optionally at least one passivating agent, and optionally at least one complexing agent; (ii) at least one corrosion inhibitor, water, at least one etchant source, optionally at least one metal-chelating agent, optionally at least one passivating agent, and optionally at least one complexing agent; (iii) at least one corrosion inhibitor, water, at least one metal-chelating agent, optionally at least one etchant source, optionally at least one passivating agent, and optionally at least one complexing agent; (iv) at least one corrosion inhibitor, water, at least one complexing agent, optionally at least one etchant source, optionally at least one passivating agent, and optionally at least one metal-chelating agent; (v) at least one corrosion inhibitor, water, at least one etchant source, at least one metal-chelating agent, optionally at least one passivating agent and optionally at least one complexing agent; (vi) at least one corrosion inhibitor, water, at least one etchant source, at least one complexing agent, optionally at least one passivating agent and optionally at least one metal-chelating agent; (vii) at least one corrosion inhibitor, water, at least one complexing agent, at least one metal-chelating agent, optionally at least one passivating agent and optionally at least one etchant source; (viii) at least one corrosion inhibitor, water, at least one complexing agent, at least one metal-chelating agent, and at least one etchant source; (ix) at least one corrosion inhibitor, water, at least one passivating agent, optionally at least one metal-chelating agent, optionally at least one complexing agent, and optionally at least one etchant source; (x) at least one corrosion inhibitor, water, at least one etchant source, at least one passivating agent, optionally at least one complexing agent, and optionally at least one metal-chelating agent.
  • The water is included to serve as a solvent and assist in the dissolution of residues, e.g., water-soluble copper oxide residues. The water is preferably deionized.
  • In a preferred embodiment, the aqueous cleaning composition is substantially devoid of oxidizing agents such as peroxide-containing compounds and nitric acid. In another preferred embodiment, the aqueous cleaning composition is substantially devoid of abrasive material prior to contact with the substrate to be cleaned.
  • The pH range of the aqueous cleaning composition is about 0 to about 7, preferably about 0 to about 5, even more preferably about 0 to about 4, and most preferably about 0 to about 3.
  • The etchant sources assist in breaking up and solubilizing the post-etch residue species, aiding in polymer sidewall residue removal and slightly etching of the TiN hardmask. Etchant sources contemplated herein include, but are not limited to: hydrofluoric acid (HF); fluorosilicic acid (H2SiF6); fluoroboric acid; ammonium fluorosilicate salt ((NH4)2SiF6); tetramethylammonium hexafluorophosphate; ammonium fluoride salts; ammonium bifluoride salts; quaternary ammonium tetrafluoroborates and quaternary phosphonium tetrafluoroborates having the formula NR4BF4 and PR4BF4, respectively, wherein R may be the same as or different from one another and is selected from the group consisting of hydrogen, straight-chained, branched, or cyclic C1-C6 alkyl (e.g., methyl, ethyl, propyl, butyl, pentyl, hexyl), and straight-chained or branched C6-C10 aryl (e.g., benzyl); tetrabutylammonium tetrafluoroborate (TBA-BF4); propylene glycol/HF in a weight ratio of about 90:10 to about 99:1, preferably about 93:7 to about 98:2; propylene glycol/tetraalkylammonium fluoride, where the alkyl groups may be the same as or different from one another and are selected from the group consisting of straight chained or branched C1-C6 alkyl groups (e.g., methyl, ethyl, propyl, butyl, pentyl, hexyl), in a weight ratio of about 75:25 to about 95:5, preferably about 80:20 to about 90:10; propylene glycol/tetrabutylammonium fluoride in a weight ratio of about 75:25 to about 95:5, preferably about 80:20 to about 90:10; propylene glycol/benzyltrimethylammonium fluoride in a weight ratio of about 75:25 to about 95:5, preferably about 80:20 to about 90:10; and combinations thereof. Preferably, the etchant source comprises ammonium bifluoride, quaternary ammonium tetrafluoroborates (e.g., tetramethylammonium tetrafluoroborate, tetraethylammonium tetrafluoroborate, tetrapropylammonium tetrafluoroborate, tetrabutylammonium tetrafluoroborate), quaternary phosphonium tetrafluoroborates, or combinations thereof. Preferably, the etchant source comprises ammonium bifluoride, tetrabutylammonium tetrafluoroborate, or a combination thereof. It should be appreciated by the skilled artisan that quaternary ammonium tetrafluoroborates and quaternary phosphonium tetrafluoroborates may be generated in situ.
  • The organic solvents, when present, assist in solubilization of the components of the aqueous cleaning composition and organic residues, wet the surface of the microelectronic device structure to facilitate residue removal, prevent residue redeposition, and/or passivate the underlying materials, e.g., ULK. Organic solvents contemplated herein include, but are not limited to, alcohols, ethers, pyrrolidinones, glycols, amines, and glycol ethers, including, but not limited to, methanol, ethanol, isopropanol, butanol, and higher alcohols (such as C2-C4 diols and C2-C4 triols), tetrahydrofurfuryl alcohol (THFA), halogenated alcohols (such as 3-chloro-1,2-propanediol, 3-chloro-1-propanethiol, 1-chloro-2-propanol, 2-chloro-1-propanol, 3-chloro-1-propanol, 3-bromo-1,2-propanediol, 1-bromo-2-propanol, 3-bromo-1-propanol, 3-iodo-1-propanol, 4-chloro-1-butanol, 2-chloroethanol), dichloromethane, chloroform, acetic acid, propionic acid, trifluoroacetic acid, tetrahydrofuran (THF), N-methylpyrrolidinone (NMP), cyclohexylpyrrolidinone, N-octylpyrrolidinone, N-phenylpyrrolidinone, methyldiethanolamine, methyl formate, dimethyl formamide (DMF), dimethylsulfoxide (DMSO), tetramethylene sulfone (sulfolane), diethyl ether, phenoxy-2-propanol (PPh), propriophenone, ethyl lactate, ethyl acetate, ethyl benzoate, acetonitrile, acetone, ethylene glycol, propylene glycol (PG), 1,3-propanediol, 1,4-propanediol, dioxane, butyryl lactone, butylene carbonate, ethylene carbonate, propylene carbonate, dipropylene glycol, diethylene glycol monomethyl ether, triethylene glycol monomethyl ether, diethylene glycol monoethyl ether, triethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, diethylene glycol monobutyl ether (i.e., butyl carbitol), triethylene glycol monobutyl ether, ethylene glycol monohexyl ether, diethylene glycol monohexyl ether, ethylene glycol phenyl ether, propylene glycol methyl ether, dipropylene glycol methyl ether (DPGME), tripropylene glycol methyl ether (TPGME), dipropylene glycol dimethyl ether, dipropylene glycol ethyl ether, propylene glycol n-propyl ether, dipropylene glycol n-propyl ether (DPGPE), tripropylene glycol n-propyl ether, propylene glycol n-butyl ether, dipropylene glycol n-butyl ether, tripropylene glycol n-butyl ether, propylene glycol phenyl ether, dipropylene glycol methyl ether acetate, dibasic ester, glycerine carbonate, N-formyl morpholine, triethyl phosphate, and combinations thereof. In addition, the organic solvent may comprise other amphiphilic species, i.e., species that contain both hydrophilic and hydrophobic moieties similar to surfactants. Hydrophobic properties may generally be imparted by inclusion of a molecular group consisting of hydrocarbon or fluorocarbon groups and the hydrophilic properties may generally be imparted by inclusion of either ionic or uncharged polar functional groups. Preferably, the organic solvent includes tripropylene glycol methyl ether (TPGME), dipropylene glycol methyl ether (DPGME), propylene glycol, and combinations thereof. When present, the composition includes at least 0.01 wt % organic solvent, based on the total weight of the composition.
  • The metal corrosion inhibitors serve to eliminate over-etching of metals, e.g., copper, tungsten, and/or cobalt interconnect metals. Suitable corrosion inhibitors include, but are not limited to, azoles such as benzotriazole (BTA), 1,2,4-triazole (TAZ), 5-aminotetrazole (ATA), 1-hydroxybenzotriazole, 5-amino-1,3,4-thiadiazol-2-thiol, 3-amino-1H-1,2,4 triazole, 3,5-diamino-1,2,4-triazole, tolyltriazole, 5-phenyl-benzotriazole, 5-nitro-benzotriazole, 3-amino-5-mercapto-1,2,4-triazole, 1-amino-1,2,4-triazole, 2-(5-amino-pentyl)-benzotriazole, 1-amino-1,2,3-triazole, 1-amino-5-methyl-1,2,3-triazole, 3-mercapto-1,2,4-triazole, 3-isopropyl-1,2,4-triazole, 5-phenylthiol-benzotriazole, halo-benzotriazoles (halo=F, Cl, Br or I), naphthotriazole, 1H-tetrazole-5-acetic acid, 2-mercaptobenzothiazole (2-MBT), 1-phenyl-2-tetrazoline-5-thione, 2-mercaptobenzimidazole (2-MBI), 4-methyl-2-phenylimidazole, 2-mercaptothiazoline, 2,4-diamino-6-methyl-1,3,5-triazine, thiazole, imidazole, benzimidazole, triazine, methyltetrazole, Bismuthiol I, 1,3-dimethyl-2-imidazolidinone, 1,5-pentamethylenetetrazole, 1-phenyl-5-mercaptotetrazole, diaminomethyltriazine, imidazoline thione, 4-methyl-4H-1,2,4-triazole-3-thiol, 5-amino-1,3,4-thiadiazole-2-thiol, benzothiazole, tritolyl phosphate, indazole, DNA bases (e.g., adenine, cytosine, guanine, thymine), phosphate inhibitors, amines, pyrazoles, iminodiacetic acid (IDA), propanethiol, silanes, secondary amines, benzohydroxamic acids, heterocyclic nitrogen inhibitors, citric acid, ascorbic acid, thiourea, 1,1,3,3-tetramethylurea, urea, urea derivatives, uric acid, potassium ethylxanthate, glycine, dodecylphosphonic acid (DDPA), and mixtures thereof. Dicarboxylic acids such as oxalic acid, malonic acid, succinic acid, nitrilotriacetic acid, and combinations thereof are also useful copper passivator species. It is generally accepted that azoles chemisorb onto the copper surface and form an insoluble cuprous surface complex. Suitable tungsten corrosion inhibitor include, but are not limited to, sulfolane, 2-mercaptothiazoline, 2,3,5-trimethylpyrazine, 2-ethyl-3,5-dimethylpyrazine, quinoxaline, acetyl pyrrole, pyridazine, histidine, pyrazine, glycine, benzimidazole, benzotriazole (BTA), iminodiacetic acid (IDA), glutathione (reduced), cysteine, 2-mercaptobenzimidazole, cystine, thiophene, mercapto pyridine N-oxide, thiamine HCl, tetraethyl thiuram disulfide, 1,2,4-triazole, 2,5-dimercapto-1,3-thiadiazoleascorbic acid, ascorbic acid, and combinations thereof, preferably sulfolane, pyrazine, glycine, histidine, ascorbic acid, and combinations thereof.
  • Preferably, the corrosion inhibitor includes BTA, TAZ, 5-amino-1,3,4-thiadiazol-2-thiol, dodecylphosphonic acid, a combination of BTA and TAZ or any other combination thereof. When present, the composition includes at least 0.01 wt % corrosion inhibitor, based on the total weight of the composition.
  • The inclusion of the chelating agent serves to chelate the oxidized copper and/or tungsten metals in the post-etch residue species and/or react with TiN and/or titanium-containing residues. Suitable chelating agents include, but are not limited to: fluorinated β-diketone chelating agents such as 1,1,1,5,5,5-hexafluoro-2,4-pentanedione (hfacH), 1,1,1-trifluoro-2,4-pentanedione (tfac), and acetylacetonate (acac); iminodiacetic acid; pyrazolates; amidinates; guanidinates; ketoimines; dienes; polyamines; ethylenediaminetetraacetic acid (EDTA); 1,2-cyclohexanediamine-N,N,N′,N′-tetraacetic acid (CDTA); etidronic acid; methanesulfonic acid; hydrochloric acid; acetic acid; acetylacetone; alkylamines; arylamines; glycolamines; alkanolamines; triazoles; thiazoles; tetrazoles; imidazoles; 1,4-benzoquinone; 8-hydroxyquinoline; salicylidene aniline; tetrachloro-1,4-benzoquinone; 2-(2-hydroxyphenyl)-benzoxazol; 2-(2-hydroxyphenyl)-benzothiazole; hydroxyquinoline sulfonic acid (HQSA); sulfosalicylic acid (SSA); salicylic acid (SA); tetramethylammonium halides, e.g., fluoride, chloride, bromide, iodide; and amines and amine-N-oxides including, but not limited to, pyridine, 2-ethylpyridine, 2-methoxypyridine and derivatives thereof such as 3-methoxypyridine, 2-picoline, pyridine derivatives, dimethylpyridine, piperidine, piperazine, triethylamine, triethanolamine, ethylamine, methylamine, isobutylamine, tert-butylamine, tributylamine, dipropylamine, dimethylamine, diglycol amine, monoethanolamine, methyldiethanolamine, pyrrole, isoxazole, 1,2,4-triazole, bipyridine, pyrimidine, pyrazine, pyridazine, quinoline, isoquinoline, indole, imidazole, N-methylmorpholine-N-oxide (NMMO), trimethylamine-N-oxide, triethylamine-N-oxide, pyridine-N-oxide, N-ethylmorpholine-N-oxide, N-methylpyrrolidine-N-oxide, N-ethylpyrrolidine-N-oxide, 1-methylimidazole, diisopropylamine, diisobutylamine, aniline, aniline derivatives, pentamethyldiethylenetriamine (PMDETA), and combinations of any of the above. Preferably, the chelating agent is methanesulfonic acid, hydrochloric acid, PMDETA, and combinations thereof. When present, the composition includes at least 0.01 wt % chelating agent, based on the total weight of the composition.
  • The complexing agents preferably have a high affinity for aluminum-containing residues. Complexing agents contemplated include, but are not limited to, aminocarboxylic acids, organic acids and derivatives thereof, phosphonic acids and derivatives thereof, and combinations thereof including: butylenediaminetetraacetic acid, diethylenetriaminepentaacetic acid (DTPA), ethylenediaminetetrapropionic acid, (hydroxyethyl)ethylenediaminetriacetic acid (HEDTA), N,N,N′,N′-ethylenediaminetetra(methylenephosphonic) acid (EDTMP), triethylenetetraminehexaacetic acid (TTHA), 1,3-diamino-2-hydroxypropane-N,N,N′,N′-tetraacetic acid (DHPTA), methyliminodiacetic acid, propylenediaminetetraacetic acid, 1,5,9-triazacyclododecane-N,N′,N″-tris(methylenephosphonic acid) (DOTRP), 1,4,7,10-tetraazacyclododecane-N,N′,N″,N′″-tetrakis(methylenephosphonic acid) (DOTP), nitrilotris(methylene)triphosphonic acid, diethylenetriaminepenta(methylenephosphonic acid) (DETAP), aminotri(methylenephosphonic acid), 1-hydroxyethylidene-1,1-diphosphonic acid (HEDP), bis(hexamethylene)triamine phosphonic acid, 1,4,7-triazacyclononane-N,N′,N″-tris(methylenephosphonic acid (NOTP), 2-phosphonobutane-1,2,4-tricarboxylic acid, nitrilotriacetic acid (NTA), citric acid, tartaric acid, gluconic acid, saccharic acid, glyceric acid, oxalic acid, phthalic acid, maleic acid, mandelic acid, malonic acid, lactic acid, dihydroxybenzoic acid, catechol, gallic acid, propyl gallate, pyrogallol, cysteine, dihydroxysalicylic acid, glyphosphate, N-(Phosphonomethyl)-iminodiacetic acid, formic acid, propanoic acid, butanoic acid, sulfate ions, N-(2-Hydroxyethyl)-iminodiacetic acid, pyridine-2,5-dicarboxylic acid, pyridine-2,6-dicarboxylic acid, 7-Iodo-8-hydroxyquinoline-5-sulfonic acid, 2-amino-2-propylphosphonic acid, 1,2-dihydroxybenzene-4-sulfonic acid, 4,5-dihydroxy-1,3-benzene disulfonic acid (Tiron), solochrome violet R, 3-hydroxy-2-naphthoic acid, chromotropic acid, nitroacetic acid, oxydiacetic acid, thiodiacetic acid, 8-hydroxy-7-(arylazo)-quinoline-5-sulfonic acid, 2-oxobutanoic acid, acetoacetic acid, phenylserine, L-ascorbic acid, squaric acid, acetohydroxamic acid, 3-hydroxy-5,7-disulfo-2-naphthoic acid, 2,3-dihydroxynaphthalene-6-sulfonic acid, sulfoxine, oxine, succinic acid, 3,4-dihydroxybenzoic acid, 2-(3,4-dihydroxyphenyl)-2-(1,1-benzopyran)-3,5,7-triol, 3-hydroxy-7-sulfo-2-naphthoic acid, 1,2-dihydroxynaphthalene-4-sulfonic acid, N,N-bis(2-hydroxyethyl)glycine, N-(phosphonomethyl)-iminodiacetic acid, iminobis(methylenephosphonic acid), D-gluconic acid, tartaric acid, 1-oxopropane-1,2-dicarboxylic acid, propane-1,2,3-tricarboxylic acid, N,N′,N″-tris[2-(N-hydroxycarbamoyl)ethyl]-1,3,5-benzenetricarboxamide (BAMTPH), desferriferrioxamine-B, 1,7-dihydroxy-4-sulfo-2-naphthanoic acid, aspartic acid, glutamic acid, pyridoxal-5-(dihydrogenphosphate), pyridoxal, amino(phenyl)methylene-diphosphoric acid, ethylene glycol tetraacetic acid (EGTA), ethylenebis(imino-(2-hydroxyphenyl)methylene(methyl)-phosphonic acid)), N-(2-hydroxyethyl)-ethylenedinitrilo-N,N′,N′-triacetic acid, trimethylenedinitrilotetracetic acid, (2-dihydroxytrimethylene)-dinitrilotetracetic acid, xylenol orange, methylthymol blue, 3-hydroxyglutamic acid, L-phosphoserine, DL-amino-3-phosphopropanoic acid, and combinations thereof.
  • The compositions may optionally further include a surfactant to assist in residue removal, wet the surface, and/or prevent residue redeposition. Illustrative surfactants include, but are not limited to, amphoteric salts, cationic surfactants, anionic surfactants, fluoroalkyl surfactants, SURFONYL® 104, TRITON® CF-21, ZONYL® UR, ZONYL® FSO-100, ZONYL® FSN-100, 3M Fluorad fluorosurfactants (i.e., FC-4430 and FC-4432), dioctylsulfosuccinate salt, 2,3-dimercapto-1-propanesulfonic acid salt, dodecylbenzenesulfonic acid, polyethylene glycols, polypropylene glycols, polyethylene or polypropylene glycol ethers, carboxylic acid salts, R1 benzene sulfonic acids or salts thereof (where the R1 is a straight-chained or branched C8-C18 alkyl group), amphiphilic fluoropolymers, polyethylene glycols, polypropylene glycols, polyethylene or polypropylene glycol ethers, carboxylic acid salts, dodecylbenzenesulfonic acid, polyacrylate polymers, dinonylphenyl polyoxyethylene, silicone or modified silicone polymers, acetylenic diols or modified acetylenic diols, alkylammonium or modified alkylammonium salts, as well as combinations comprising at least one of the foregoing surfactants, sodium dodecyl sulfate, zwitterionic surfactants, aerosol-OT (AOT) and fluorinated analogues thereof, alkyl ammonium, perfluoropolyether surfactants, 2-sulfosuccinate salts, phosphate-based surfactants, sulfur-based surfactants, and acetoacetate-based polymers. When present, the composition includes at least 0.01 wt % surfactant, based on the total weight of the composition.
  • The compositions may further include a source of silica. The silica may be added to the composition as a fine silica powder, or as a tetraalkoxysilane such as TEOS, preferably at a ratio of etchant to silica source of about 4:1 to about 5:1. In a particularly preferred embodiment, the etchant source is fluorosilicic acid and the silica source is TEOS. The preferred embodiment further includes a glycol based solvent to facilitate the dissolution of the silica source in the composition. When present, the composition includes at least 0.01 wt % silica, based on the total weight of the composition.
  • The low-k passivating agents may be included to reduce the chemical attack of the low-k layers and to protect the wafer from additional oxidation. Boric acid is a presently preferred low-k passivating agent, although other hydroxyl additives may also be advantageously employed for such purpose, e.g., 3-hydroxy-2-naphthoic acid, malonic acid, iminodiacetic acid, and mixtures thereof. Preferably, the low-k passivating agent comprises iminodiacetic acid, boric acid, or a combination thereof. When present, the composition includes at least 0.01 wt % low-k passivating agent, based on the total weight of the composition. Preferably, less than 2 wt. % of the underlying low-k material is etched/removed using the removal compositions described herein, more preferably less than 1 wt. %, most preferably less than 0.5 wt. %, based on the total weight of the underlying low-k material.
  • In a particularly preferred embodiment, the aqueous composition comprises, consists of, or consists essentially of BTA, TAZ, ammonium bifluoride, boric acid, and water. In another particularly preferred embodiment, the aqueous composition comprises, consists of, or consists essentially of BTA, TAZ, ammonium bifluoride, tetrabutylammonium tetrafluoroborate, boric acid, and water. In still another particularly preferred embodiment, the aqueous composition comprises, consists of, or consists essentially of ammonium bifluoride, boric acid, dodecylphosphonic acid, and water.
  • The aqueous compositions described herein are preferably devoid of abrasive material (e.g., silica, alumina, other abrasives used during chemical mechanical polishing processes), oxidizing agents, ammonia, strong bases, and an amidoxime complexing agent. Although disclosed as an optional component, most preferably the aqueous compositions are substantially devoid of organic solvents and silica sources.
  • In another embodiment, the aqueous compositions described herein further include post-plasma etch residue, wherein the post-plasma etch residue comprises residue material selected from the group consisting of titanium-containing residue, polymeric-residue, copper-containing residue, tungsten-containing residue, cobalt-containing residue, and combinations thereof. The residue material may be dissolved and/or suspended in the aqueous compositions.
  • In still another embodiment, the aqueous compositions described herein further include titanium nitride material. The TiN material may be dissolved and/or suspended in the aqueous compositions.
  • In one embodiment, the compositions are useful for the selective removal of TiN, sidewall residue, and/or post-etch residue without substantially etching patterned or blanket tungsten layers, copper layers and/or ULK layers. In another embodiment, the compositions are useful for the selective removal of sidewall residue, and/or post-etch residue without substantially etching patterned or blanket tungsten layers, TiN, copper layers and/or ULK layers.
  • In addition to a liquid solution, it is also contemplated herein that the compositions of both aspects of the invention may be formulated as foams, fogs, subcritical or supercritical fluids (i.e., wherein the solvent is CO2, etc., instead of water).
  • Advantageously, the cleaning compositions described herein effectively remove post-plasma etch residue from the top surface, the sidewalls, and the vias and lines of the microelectronic device without compromising the ILD, capping layers, and/or the metal interconnect layers present on the device. In addition, the compositions may be used regardless of whether the trench or the via is etched first.
  • It will be appreciated that in general cleaning applications, it is common practice to make highly concentrated forms to be used at extreme dilutions. For example, the cleaning compositions may be manufactured in a more concentrated form, including at least about 20 wt % water for solubility purposes, and thereafter diluted with additional solvent (e.g., water and/or organic solvent) at the manufacturer, before use, and/or during use at the fab. Dilution ratios may be in a range from about 0.1 part diluent:1 part removal composition concentrate to about 100 parts diluent:1 part removal composition concentrate. It is understood that upon dilution, the weight percent ratios of many of the components of the removal composition will remain unchanged.
  • The compositions described herein are easily formulated by simple addition of the respective ingredients and mixing to homogeneous condition. Furthermore, the compositions may be readily formulated as single-package formulations or multi-part formulations that are mixed at the point of use, preferably multi-part formulations. The individual parts of the multi-part formulation may be mixed at the tool or in a storage tank upstream of the tool. The concentrations of the respective ingredients may be widely varied in specific multiples of the composition, i.e., more dilute or more concentrated, and it will be appreciated that the compositions described herein can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein.
  • Accordingly, another aspect relates to a kit including, in one or more containers, one or more components adapted to form the compositions described herein. Preferably, the kit includes, in one or more containers, the preferred combination of at least one corrosion inhibitor, optionally water, optionally at least one etchant, optionally at least one chelating agent, optionally at least one passivating agent, and optionally at least one complexing agent, for combining with water at the fab or the point of use. The containers of the kit must be suitable for storing and shipping said cleaning composition components, for example, NOWPak® containers (Advanced Technology Materials, Inc., Danbury, Conn., USA). The one or more containers which contain the components of the removal composition preferably include means for bringing the components in said one or more containers in fluid communication for blending and dispense. For example, referring to the NOWPak® containers, gas pressure may be applied to the outside of a liner in said one or more containers to cause at least a portion of the contents of the liner to be discharged and hence enable fluid communication for blending and dispense. Alternatively, gas pressure may be applied to the head space of a conventional pressurizable container or a pump may be used to enable fluid communication. In addition, the system preferably includes a dispensing port for dispensing the blended cleaning composition to a process tool.
  • Substantially chemically inert, impurity-free, flexible and resilient polymeric film materials, such as high density polyethylene, are preferably used to fabricate the liners for said one or more containers. Desirable liner materials are processed without requiring co-extrusion or barrier layers, and without any pigments, UV inhibitors, or processing agents that may adversely affect the purity requirements for components to be disposed in the liner. A listing of desirable liner materials include films comprising virgin (additive-free) polyethylene, virgin polytetrafluoroethylene (PTFE), polypropylene, polyurethane, polyvinylidene chloride, polyvinylchloride, polyacetal, polystyrene, polyacrylonitrile, polybutylene, and so on. Preferred thicknesses of such liner materials are in a range from about 5 mils (0.005 inch) to about 30 mils (0.030 inch), as for example a thickness of 20 mils (0.020 inch).
  • Regarding the containers for the kits, the disclosures of the following patents and patent applications are hereby incorporated herein by reference in their respective entireties: U.S. Pat. No. 7,188,644 entitled “APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS;” U.S. Pat. No. 6,698,619 entitled “RETURNABLE AND REUSABLE, BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM;” and U.S. Patent Application No. 60/916,966 entitled “SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION” filed on May 9, 2007 in the name of John E. Q. Hughes, and PCT/US08/63276 entitled “SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION” filed on May 9, 2008 in the name of Advanced Technology Materials, Inc.
  • As applied to microelectronic manufacturing operations, the cleaning compositions are usefully employed to clean post-plasma etch residue from the surface of the microelectronic device, and may be applied to said surface before or after the application of other compositions formulated to remove alternative materials from the surface of the device. The compositions described herein do not damage ILD materials on the device surface and preferably remove at least 90% of the residue present on the device prior to removal processing, more preferably at least 95%, and most preferred at least 99% of the residue to be removed is removed.
  • In post-plasma etch residue removal application, the composition may be applied in any suitable manner to the device to be cleaned, e.g., by spraying the composition on the surface of the device to be cleaned, by dipping the device to be cleaned in a static or dynamic volume of the composition, by contacting the device to be cleaned with another material, e.g., a pad, or fibrous sorbent applicator element, that has the composition absorbed thereon, or by any other suitable means, manner or technique by which the composition is brought into removal contact with the device to be cleaned. Further, batch or single wafer processing is contemplated herein.
  • In use of the compositions for removing post-plasma etch residue from microelectronic devices having same thereon, the composition typically is statically or dynamically contacted with the device for a time of from about 1 minute to about 30 minutes, preferably about 1 minute to 10 minutes, at temperature in a range of from about 20° C. to about 90° C., preferably about 40° C. to about 70° C., and most preferably about 50° C. to about 60° C. Preferably, the contacting is static. Such contacting times and temperatures are illustrative, and any other suitable time and temperature conditions may be employed that are efficacious to at least partially remove the post-etch residue material from the device. “At least partial removal” of the residue material from the microelectronic device corresponds to at removal of at least 90% of the material, preferably at least 95% removal. Most preferably, at least 99% of said residue material is removed using the compositions described herein.
  • Following the achievement of the desired removal action, the compositions may be readily removed from the device to which it has previously been applied, e.g., by rinse, wash, or other removal step(s), as may be desired and efficacious in a given end use application of the compositions described herein. For example, the device may be rinsed with a rinse solution including deionized water and/or dried (e.g., spin-dry, N2, vapor-dry etc.).
  • When necessary, a post-clean bake step and/or an isopropanol vapor-dry step may be necessary to remove non-volatile materials that may absorb into the pores of the ILD materials so as not to change the capacitance of the low-k dielectric materials.
  • Another aspect relates to the improved microelectronic devices made according to the methods described herein and to products containing such microelectronic devices.
  • A still further aspect relates to methods of manufacturing an article comprising a microelectronic device, said method comprising contacting the microelectronic device with a composition for sufficient time to clean post-plasma etch residue from the microelectronic device having said residue thereon, and incorporating said microelectronic device into said article, wherein the composition includes at least one corrosion inhibitor, water, optionally at least one chelating agent, optionally at least one etchant, optionally at least one passivating agent, and optionally at least one complexing agent.
  • A still further aspect relates to methods of manufacturing an article comprising a microelectronic device, said method comprising contacting the microelectronic device with a composition for sufficient time to clean post-plasma etch residue from the microelectronic device having said residue thereon, and incorporating said microelectronic device into said article, wherein the composition includes at least one corrosion inhibitor, water, optionally at least one chelating agent, optionally at least one etchant, optionally at least one passivating agent, and optionally at least one complexing agent.
  • In yet another aspect, the compositions described herein may be utilized in other aspects of the microelectronic device manufacturing process, i.e., subsequent to the post-plasma etch residue cleaning step. For example, the compositions may be used to remove post-ash residue and/or they may be diluted and used as a post-chemical mechanical polishing (CMP) clean. Alternatively, the compositions described herein may be used to remove contaminating materials from photomask materials for re-use thereof.
  • In yet another aspect, an article of manufacture is described, said article comprising a microelectronic device substrate, residue material, and a cleaning composition, wherein the cleaning composition may be any composition described herein, and wherein the residue material is selected from the group consisting of titanium-containing residue, polymeric-residue, copper-containing residue, tungsten-containing residue, cobalt-containing residues, and combinations thereof.
  • Although the invention has been variously disclosed herein with reference to illustrative embodiments and features, it will be appreciated that the embodiments and features described hereinabove are not intended to limit the invention, and that other variations, modifications and other embodiments will suggest themselves to those of ordinary skill in the art, based on the disclosure herein. The invention therefore is to be broadly construed, as encompassing all such variations, modifications and alternative embodiments within the spirit and scope of the claims hereafter set forth.

Claims (20)

1. An aqueous cleaning composition, comprising at least one corrosion inhibitor, water, optionally at least one chelating agent, optionally at least one etchant, optionally at least one passivating agent, and optionally at least one complexing agent, wherein said aqueous cleaning composition is suitable for cleaning post-plasma etch residue from a microelectronic device having said residue thereon.
2. (canceled)
3. The cleaning composition of claim 1, comprising the at least one etchant.
4. The cleaning composition of claim 3, wherein the at least one etchant comprises a fluoride species selected from the group consisting of hydrofluoric acid, fluoroboric acid, tetramethylammonium hexafluorophosphate, ammonium fluoride salts, ammonium bifluoride salts, tetrabutylammonium tetrafluoroborate, tetramethylammonium tetrafluoroborate, tetraethylammonium tetrafluoroborate, tetrapropylammonium tetrafluoroborate, tetrabutylammonium tetrafluoroborate, propylene glycol/HF, propylene glycol/tetraalkylammonium fluoride, propylene glycol/benzyltrimethylammonium fluoride, and combinations thereof.
5. The cleaning composition of claim 3, wherein the at least one etchant comprises a fluoride selected from the group consisting of ammonium bifluoride, tetrabutylammonium tetrafluoroborate, and combinations thereof.
6. The cleaning composition of claim 1, comprising the at least one passivating agent, wherein the at least one passivating agent comprises a species selected from the group consisting of boric acid, 3-hydroxy-2-naphthoic acid, malonic acid, iminodiacetic acid, and mixtures thereof.
7. The cleaning composition of claim 3, wherein the at least one etchant comprises a quaternary phosphonium tetrafluoroborate having the formula PR4BF4, wherein R may be the same as or different from one another and is selected from the group consisting of hydrogen, straight-chained C1-C6 alkyl, branched C1-C6 alkyl, or cyclic C1-C6 alkyl, straight-chained C6-C10 aryl, and branched C6-C10 aryl.
8. The cleaning composition of claim 6, wherein the at least one passivating agent comprises boric acid.
9. The cleaning composition of claim 1, wherein the at least one metal corrosion inhibitor comprises a species selected from the group consisting of benzotriazole (BTA), 1,2,4-triazole (TAZ), 5-aminotetrazole (ATA), 1-hydroxybenzotriazole, 5-amino-1,3,4-thiadiazol-2-thiol, 3-amino-1H-1,2,4 triazole, 3,5-diamino-1,2,4-triazole, tolyltriazole, 5-phenyl-benzotriazole, 5-nitro-benzotriazole, 3-amino-5-mercapto-1,2,4-triazole, 1-amino-1,2,4-triazole, 2-(5-amino-pentyl)-benzotriazole, 1-amino-1,2,3-triazole, 1-amino-5-methyl-1,2,3-triazole, 3-mercapto-1,2,4-triazole, 3-isopropyl-1,2,4-triazole, 5-phenylthiol-benzotriazole, halo-benzotriazoles (halo=F, Cl, Br, I), naphthotriazole, 1H-tetrazole-5-acetic acid, 2-mercaptobenzothiazole (2-MBT), 1-phenyl-2-tetrazoline-5-thione, 2-mercaptobenzimidazole (2-MBI), 4-methyl-2-phenylimidazole, 2-mercaptothiazoline, 2,4-diamino-6-methyl-1,3,5-triazine, thiazole, imidazole, benzimidazole, triazine, methyltetrazole, Bismuthiol I, 1,3-dimethyl-2-imidazolidinone, 1,5-pentamethylenetetrazole, 1-phenyl-5-mercaptotetrazole, diaminomethyltriazine, imidazoline thione, 4-methyl-4H-1,2,4-triazole-3-thiol, 5-amino-1,3,4-thiadiazole-2-thiol, benzothiazole, tritolyl phosphate, indazole, adenine, cytosine, guanine, thymine, phosphate inhibitors, amines, pyrazoles, propanethiol, silanes, secondary amines, benzohydroxamic acids, heterocyclic nitrogen inhibitors, citric acid, ascorbic acid, thiourea, 1,1,3,3-tetramethylurea, urea, urea derivatives, uric acid, potassium ethylxanthate, glycine, dodecylphosphonic acid, iminodiacetic acid, acid, boric acid, malonic acid, succinic acid, nitrilotriacetic acid, sulfolane, 2,3,5-trimethylpyrazine, 2-ethyl-3,5-dimethylpyrazine, quinoxaline, acetyl pyrrole, pyridazine, histadine, pyrazine, glutathione (reduced), cysteine, cystine, thiophene, mercapto pyridine N-oxide, thiamine HCl, tetraethyl thiuram disulfide, 2,5-dimercapto-1,3-thiadiazoleascorbic acid, ascorbic acid, and combinations thereof.
10. The cleaning composition of claim 1, comprising the at least one complexing agent, wherein the at least one complexing agent comprises a species selected from the group consisting of butylenediaminetetraacetic acid, diethylenetriaminepentaacetic acid (DTPA), ethylenediaminetetrapropionic acid, (hydroxyethyl)ethylenediaminetriacetic acid (HEDTA), N,N,N′,N′-ethylenediaminetetra(methylenephosphonic) acid (EDTMP), triethylenetetraminehexaacetic acid (TTHA), 1,3-diamino-2-hydroxypropane-N,N,N′,N′-tetraacetic acid (DHPTA), methyliminodiacetic acid, propylenediaminetetraacetic acid, 1,5,9-triazacyclododecane-N,N′,N″-tris(methylenephosphonic acid) (DOTRP), 1,4,7,10-tetraazacyclododecane-N,N′,N″,N′″-tetrakis(methylenephosphonic acid) (DOTP), nitrilotris(methylene)triphosphonic acid, diethylenetriaminepenta(methylenephosphonic acid) (DETAP), aminotri(methylenephosphonic acid), 1-hydroxyethylidene-1,1-diphosphonic acid (HEDP), bis(hexamethylene)triamine phosphonic acid, 1,4,7-triazacyclononane-N,N′,N″-tris(methylenephosphonic acid (NOTP), 2-phosphonobutane-1,2,4-tricarboxylic acid, tartaric acid, gluconic acid, saccharic acid, glyceric acid, phthalic acid, maleic acid, mandelic acid, lactic acid, dihydroxybenzoic acid, catechol, gallic acid, propyl gallate, pyrogallol, cysteine, dihydroxysalicylic acid, glyphosphate, N-(Phosphonomethyl)-iminodiacetic acid, formic acid, propanoic acid, butanoic acid, N-(2-Hydroxyethyl)-iminodiacetic acid, pyridine-2,5-dicarboxylic acid, pyridine-2,6-dicarboxylic acid, 7-Iodo-8-hydroxyquinoline-5-sulfonic acid, 2-amino-2-propylphosphonic acid, 1,2-dihydroxybenzene-4-sulfonic acid, 4,5-dihydroxy-1,3-benzene disulfonic acid (Tiron), solochrome violet R, 3-hydroxy-2-naphthoic acid, chromotropic acid, nitroacetic acid, oxydiacetic acid, thiodiacetic acid, 8-hydroxy-7-(arylazo)-quinoline-5-sulfonic acid, 2-oxobutanoic acid, acetoacetic acid, phenylserine, squaric acid, acetohydroxamic acid, 3-hydroxy-5,7-disulfo-2-naphthoic acid, 2,3-dihydroxynaphthalene-6-sulfonic acid, sulfoxine, oxine, 3,4-dihydroxybenzoic acid, 2-(3,4-dihydroxyphenyl)-2-(1,1-benzopyran)-3,5,7-triol, 3-hydroxy-7-sulfo-2-naphthoic acid, 1,2-dihydroxynaphthalene-4-sulfonic acid, N,N-bis(2-hydroxyethyl)glycine, N-(phosphonomethyl)-iminodiacetic acid, iminobis(methylenephosphonic acid), 1-oxopropane-1,2-dicarboxylic acid, propane-1,2,3-tricarboxylic acid, N,N′,N″-tris[2-(N-hydroxycarbamoyl)ethyl]-1,3,5-benzenetricarboxamide (BAMTPH), desferriferrioxamine-B, 1,7-dihydroxy-4-sulfo-2-naphthanoic acid, aspartic acid, glutamic acid, pyridoxal-5-(dihydrogenphosphate), pyridoxal, amino(phenyl)methylene-diphosphoric acid, ethylene glycol tetraacetic acid (EGTA), ethylenebis(imino-(2-hydroxyphenyl)methylene(methyl)-phosphonic acid)), N-(2-hydroxyethyl)-ethylenedinitrilo-N,N′,N′-triacetic acid, trimethylenedinitrilotetracetic acid, (2-dihydroxytrimethylene)-dinitrilotetracetic acid, xylenol orange, methylthymol blue, 3-hydroxyglutamic acid, L-phosphoserine, DL-amino-3-phosphopropanoic acid, and combinations thereof.
11. The cleaning composition of claim 1, wherein the amount of water is in a range from about 50 wt % to about 99 wt %, based on the total weight of the composition.
12. The cleaning composition of claim 1, wherein the pH is in a range from about 0 to about 7.
13. The cleaning composition of claim 1, wherein
the composition is substantially devoid of abrasive material, oxidizing agents, ammonia, strong bases, and amidoxime complexing agents.
14. The cleaning composition of claim 1, further comprising at least one organic solvent.
15. The cleaning composition of claim 1, further comprising a source of silica.
16. The cleaning composition of claim 1, wherein said composition further comprises post-plasma etch residue selected from the group consisting of titanium-containing residue, polymeric-residue, copper-containing residue, tungsten-containing residue, cobalt-containing residue, and combinations thereof.
17. (canceled)
18. A method of removing material from a microelectronic device having said material thereon, said method comprising contacting the microelectronic device with an aqueous cleaning composition for sufficient time to at least partially remove said material from the microelectronic device, wherein the aqueous cleaning composition includes at least one corrosion inhibitor, water, optionally at least one chelating agent, optionally at least one etchant, optionally at least one passivating agent, and optionally at least one complexing agent.
19. The method of claim 17, wherein the material comprises post-plasma etch residue comprising residue selected from the group consisting of titanium-containing compounds, polymeric compounds, copper-containing compounds, tungsten-containing compounds, cobalt-containing compounds, and combinations thereof.
20. The method of claim 17, wherein said contacting comprises conditions selected from the group consisting of: time of from about 1 minute to about 30 minutes; temperature in a range of from about 40° C. to about 70° C.; and combinations thereof.
US14/746,115 2010-07-16 2015-06-22 Aqueous cleaner for the removal of post-etch residues Abandoned US20150307818A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/746,115 US20150307818A1 (en) 2010-07-16 2015-06-22 Aqueous cleaner for the removal of post-etch residues

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US36503410P 2010-07-16 2010-07-16
PCT/US2011/044191 WO2012009639A2 (en) 2010-07-16 2011-07-15 Aqueous cleaner for the removal of post-etch residues
US201313810060A 2013-07-29 2013-07-29
US14/746,115 US20150307818A1 (en) 2010-07-16 2015-06-22 Aqueous cleaner for the removal of post-etch residues

Related Parent Applications (2)

Application Number Title Priority Date Filing Date
US13/810,060 Continuation US9063431B2 (en) 2010-07-16 2011-07-15 Aqueous cleaner for the removal of post-etch residues
PCT/US2011/044191 Continuation WO2012009639A2 (en) 2010-07-16 2011-07-15 Aqueous cleaner for the removal of post-etch residues

Publications (1)

Publication Number Publication Date
US20150307818A1 true US20150307818A1 (en) 2015-10-29

Family

ID=45470097

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/810,060 Active 2031-08-12 US9063431B2 (en) 2010-07-16 2011-07-15 Aqueous cleaner for the removal of post-etch residues
US14/746,115 Abandoned US20150307818A1 (en) 2010-07-16 2015-06-22 Aqueous cleaner for the removal of post-etch residues

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US13/810,060 Active 2031-08-12 US9063431B2 (en) 2010-07-16 2011-07-15 Aqueous cleaner for the removal of post-etch residues

Country Status (8)

Country Link
US (2) US9063431B2 (en)
EP (1) EP2593964A4 (en)
JP (1) JP2013533631A (en)
KR (1) KR20130088847A (en)
CN (1) CN103003923A (en)
SG (2) SG10201505535VA (en)
TW (1) TWI548738B (en)
WO (1) WO2012009639A2 (en)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160186106A1 (en) * 2014-12-30 2016-06-30 Fujifilm Electronic Materials U.S.A., Inc. Stripping compositions for removing photoresists from semiconductor substrates
US20170253840A1 (en) * 2016-03-04 2017-09-07 Tokyo Ohka Kogyo Co., Ltd. Cleaning liquid and method for cleaning
US10035978B2 (en) 2014-05-02 2018-07-31 Mitsubishi Gas Chemical Company, Inc. Semiconductor element cleaning liquid and cleaning method
WO2019040394A1 (en) 2017-08-22 2019-02-28 Fujifilm Electronic Materials U.S.A., Inc. Cleaning compositions
US20190211286A1 (en) * 2016-09-28 2019-07-11 Dow Global Technologies Llc Sulfoxide/Glycol Ether Based Solvents for Use in the Electronics Industry
US20190226094A1 (en) * 2018-01-19 2019-07-25 Baker Hughes, A Ge Company, Llc Phosphorous-free, and iron activating agent-free rust removal, inhibition, and passivation
US20190276739A1 (en) * 2018-03-09 2019-09-12 Versum Materials Us, Llc Etching Solution for Selectively Removing Silicon-Germanium Alloy From a Silicon-Germanium/ Germanium Stack During Manufacture of a Semiconductor Device
US10651028B2 (en) 2014-11-13 2020-05-12 Mitsubishi Gas Chemical Company, Inc. Semiconductor element cleaning solution that suppresses damage to tungsten-containing materials, and method for cleaning semiconductor element using same
WO2020096760A1 (en) * 2018-11-08 2020-05-14 Entegris, Inc. Post cmp cleaning composition
US20200148951A1 (en) * 2018-11-14 2020-05-14 Samsung Display Co., Ltd. Etching composition, method for forming pattern and method for manufacturing a display device using the same
US11149231B2 (en) 2017-10-10 2021-10-19 Mitsubishi Chemical Corporation Cleaning liquid, cleaning method, and method for producing semiconductor wafer
WO2022047175A1 (en) * 2020-08-27 2022-03-03 Fujifilm Electronic Materials U.S.A., Inc. Cleaning compositions
US11377624B2 (en) * 2017-12-08 2022-07-05 Basf Se Cleaning composition for post-etch or post ash residue removal from a semiconductor substrate and corresponding manufacturing process
IL268216B (en) * 2018-07-24 2022-10-01 Versum Mat Us Llc Post etch residue cleaning compositions and methods of using the same
WO2022221497A1 (en) * 2021-04-16 2022-10-20 Entegris, Inc. Cleaning composition
US11605544B2 (en) 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures
US11629315B2 (en) 2018-04-27 2023-04-18 Mitsubishi Gas Chemical Company, Inc. Aqueous composition and cleaning method using same
EP3599633B1 (en) * 2018-07-24 2023-12-06 Versum Materials US, LLC Post etch residue cleaning compositions and methods of using the same

Families Citing this family (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
US8802609B2 (en) * 2007-10-29 2014-08-12 Ekc Technology Inc Nitrile and amidoxime compounds and methods of preparation for semiconductor processing
JP6101421B2 (en) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド Etching solution for copper or copper alloy
TWI558818B (en) 2010-08-20 2016-11-21 恩特葛瑞斯股份有限公司 Sustainable process for reclaiming precious metals and base metals from e-waste
SG10201508015RA (en) 2010-10-06 2015-10-29 Entegris Inc Composition and process for selectively etching metal nitrides
US20140318584A1 (en) 2011-01-13 2014-10-30 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium-containing solutions
JP5933950B2 (en) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Etching solution for copper or copper alloy
US10133180B2 (en) * 2011-10-05 2018-11-20 Avantor Performance Materials Microelectronic substrate cleaning compositions having copper/azole polymer inhibition
KR102105381B1 (en) 2012-02-15 2020-04-29 엔테그리스, 아이엔씨. Post-cmp removal using compositions and method of use
CN102677072B (en) * 2012-05-03 2016-06-15 中国石油大学(华东) A kind of galvanizing by dipping and allumen steel corrosion-retarding detergent
US9678430B2 (en) 2012-05-18 2017-06-13 Entegris, Inc. Composition and process for stripping photoresist from a surface including titanium nitride
JP5626498B2 (en) * 2012-06-13 2014-11-19 三菱瓦斯化学株式会社 Liquid composition for cleaning, method for cleaning semiconductor element, and method for manufacturing semiconductor element
KR102118964B1 (en) 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Compositions for cleaning iii-v semiconductor materials and methods of using same
US9102901B2 (en) 2012-12-20 2015-08-11 Rohm And Haas Electronic Materials Llc Methods and compositions for removal of metal hardmasks
US8987133B2 (en) * 2013-01-15 2015-03-24 International Business Machines Corporation Titanium oxynitride hard mask for lithographic patterning
SG11201507014RA (en) 2013-03-04 2015-10-29 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
JP6088999B2 (en) * 2013-05-02 2017-03-01 富士フイルム株式会社 Etching solution and etching solution kit, etching method using the same, and method for manufacturing semiconductor substrate product
US20160118264A1 (en) * 2013-05-02 2016-04-28 Fujifilm Corporation Etching method, etching solution used in same, etching solution kit, and method for manufacturing semiconductor substrate product
KR101790090B1 (en) * 2013-05-02 2017-10-25 후지필름 가부시키가이샤 Etching method, etching liquid and etching liquid kit to be used in said method, and semiconductor substrate product manufacturing method
WO2014192266A1 (en) * 2013-05-31 2014-12-04 日立化成株式会社 Etching composition
TWI651396B (en) * 2013-06-06 2019-02-21 美商恩特葛瑞斯股份有限公司 Compositions and methods for selectively etching titanium nitride
CN104238287A (en) * 2013-06-20 2014-12-24 安集微电子科技(上海)有限公司 Cleaning solution for removing photoresist residues
EP3027709A4 (en) 2013-07-31 2017-03-29 Entegris, Inc. AQUEOUS FORMULATIONS FOR REMOVING METAL HARD MASK AND POST-ETCH RESIDUE WITH Cu/W COMPATIBILITY
CN104345583B (en) * 2013-08-02 2020-07-03 安集微电子科技(上海)股份有限公司 Cleaning solution for removing photoresist residues
SG10201801575YA (en) 2013-08-30 2018-03-28 Entegris Inc Compositions and methods for selectively etching titanium nitride
US20150104952A1 (en) * 2013-10-11 2015-04-16 Ekc Technology, Inc. Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper
US9834746B2 (en) 2013-10-21 2017-12-05 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulations for removing residues on surfaces
KR101964901B1 (en) 2013-12-06 2019-04-02 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. Cleaning formulation for removing residues on surfaces
WO2015089023A1 (en) * 2013-12-11 2015-06-18 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
CN103710180B (en) * 2013-12-12 2016-04-13 内蒙古河西航天科技发展有限公司 A kind of Silicate dirt remover
TWI654340B (en) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge SELECTIVE ETCH FORMULATIONS AND METHOD OF USING SAME
US9472420B2 (en) * 2013-12-20 2016-10-18 Air Products And Chemicals, Inc. Composition for titanium nitride hard mask and etch residue removal
EP3084809A4 (en) 2013-12-20 2017-08-23 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
EP3143117B1 (en) * 2014-05-13 2019-09-04 Basf Se Tin pull-back and cleaning composition
KR102420338B1 (en) * 2014-06-04 2022-07-13 엔테그리스, 아이엔씨. Anti-reflective coating cleaning and post-etch residue removal composition having metal, dielectric and nitride compatibility
US20150368557A1 (en) 2014-06-23 2015-12-24 Hyosan Lee Metal etchant compositions and methods of fabricating a semiconductor device using the same
WO2016003729A1 (en) * 2014-06-30 2016-01-07 Entegris, Inc. Aqueous and semi-aqueous cleaners for the removal of post-etch residues with tungsten and cobalt compatibility
US9580672B2 (en) * 2014-09-26 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning composition and method for semiconductor device fabrication
WO2016069576A1 (en) * 2014-10-31 2016-05-06 Entegris, Inc. Non-amine post-cmp compositions and method of use
WO2016076034A1 (en) 2014-11-13 2016-05-19 三菱瓦斯化学株式会社 Alkaline earth metal-containing cleaning solution for cleaning semiconductor element, and method for cleaning semiconductor element using same
WO2016076033A1 (en) 2014-11-13 2016-05-19 三菱瓦斯化学株式会社 Semiconductor element cleaning solution that suppresses damage to cobalt, and method for cleaning semiconductor element using same
CN104674223A (en) * 2015-02-11 2015-06-03 佛山市顺德区宝铜金属科技有限公司 Non-ferrous metal cleaning polishing agent
CN104630800A (en) * 2015-02-11 2015-05-20 佛山市顺德区宝铜金属科技有限公司 Copper product cleaning and brightening agent
CN104630780A (en) * 2015-02-11 2015-05-20 佛山市顺德区宝铜金属科技有限公司 Cleaning and brightening solution for copper ware
CN104630776A (en) * 2015-02-11 2015-05-20 佛山市顺德区宝铜金属科技有限公司 Metal product cleaning brightening agent
CN104651843A (en) * 2015-02-11 2015-05-27 佛山市顺德区宝铜金属科技有限公司 Stainless steel ware cleaning and polishing agent
CN104630802A (en) * 2015-02-11 2015-05-20 佛山市顺德区宝铜金属科技有限公司 Metal product cleaning and brightening agent
KR102269329B1 (en) * 2015-03-20 2021-06-25 동우 화인켐 주식회사 Etchant composition for copper-containing metal layer and preparing method of an array substrate for liquid crystal display using same
TWI647337B (en) * 2015-03-31 2019-01-11 美商慧盛材料美國責任有限公司 Cleaning formula
KR102427699B1 (en) 2015-04-27 2022-08-01 삼성전자주식회사 Compositions for removing photoresist and methods of manufacturing semiconductor devices using the same
JP6761166B2 (en) * 2015-07-23 2020-09-23 セントラル硝子株式会社 Wet etching method and etching solution
CN109195720B (en) * 2016-05-23 2021-10-29 富士胶片电子材料美国有限公司 Stripping composition for removing photoresist from semiconductor substrate
US10622211B2 (en) * 2016-08-05 2020-04-14 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
US10866516B2 (en) * 2016-08-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
CN106479696A (en) * 2016-08-31 2017-03-08 惠晶显示科技(苏州)有限公司 Cleanout fluid for harsh the given birth to foulant of liquid crystal display panel glass and preparation method thereof
CN109790028A (en) * 2016-10-06 2019-05-21 富士胶片电子材料美国有限公司 For removing the cleaning formulation of residue on semiconductor substrate
KR102207306B1 (en) 2016-11-25 2021-01-22 엔테그리스, 아이엔씨. Cleaning composition for removing residue after etching
US10866511B2 (en) * 2016-12-15 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with developer composition
KR102320673B1 (en) * 2016-12-28 2021-11-01 인벤사스 본딩 테크놀로지스 인코포레이티드 Processing of laminated substrates
US9786489B1 (en) * 2017-03-17 2017-10-10 United Microelectronics Corp. Method of cleaning post-etch residues on a copper line
IL269487B (en) * 2017-03-24 2022-09-01 Fujifilm Electronic Mat Usa Inc Cleaning compositions for removing residues on semiconductor substrates
CN107357143B (en) * 2017-07-25 2018-06-19 上海新阳半导体材料股份有限公司 A kind of cleaning agent, preparation method and application
KR102295991B1 (en) * 2017-08-31 2021-09-01 후지필름 가부시키가이샤 Treatment solution, kit, and substrate cleaning method
US10889757B2 (en) * 2017-10-19 2021-01-12 Fujifilm Electronic Materials U.S.A., Inc. Etching compositions
US11300878B2 (en) 2017-11-13 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist developer and method of developing photoresist
CN111465716A (en) * 2017-12-08 2020-07-28 巴斯夫欧洲公司 Compositions and methods for selectively etching a layer comprising an aluminum compound in the presence of a layer of a low-K material, copper, and/or cobalt
TWI748145B (en) 2017-12-18 2021-12-01 美商恩特葛瑞斯股份有限公司 Chemical resistant multi-layer coatings applied by atomic layer deposition
CN111902379B (en) 2018-03-28 2023-02-17 富士胶片电子材料美国有限公司 Cleaning composition
WO2019208685A1 (en) * 2018-04-27 2019-10-31 三菱瓦斯化学株式会社 Aqueous composition and cleaning method using same
EP3787010B1 (en) * 2018-04-27 2024-04-10 Mitsubishi Gas Chemical Company, Inc. Aqueous cleaning composition and cleaning method using same
CN112424327A (en) * 2018-07-20 2021-02-26 恩特格里斯公司 Cleaning compositions containing corrosion inhibitors
US11180697B2 (en) * 2018-11-19 2021-11-23 Versum Materials Us, Llc Etching solution having silicon oxide corrosion inhibitor and method of using the same
WO2020219334A1 (en) 2019-04-24 2020-10-29 Fujifilm Electronic Materials U.S.A., Inc. Stripping compositions for removing photoresists from semiconductor substrates
US20220220421A1 (en) 2019-05-23 2022-07-14 Basf Se Composition and process for electively etching a hard mask and/or an etch-stop layer in the presence of layers of low-k materials, copper, cobalt and/or tungsten
US11694896B2 (en) 2019-10-31 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist developer and method of developing photoresist
CN113130292A (en) * 2019-12-31 2021-07-16 安集微电子科技(上海)股份有限公司 Plasma etching residue cleaning solution
KR20220012521A (en) 2020-07-23 2022-02-04 주식회사 케이씨텍 Cleaning solution composition and cleaning method using the same
JP2024501054A (en) * 2020-12-29 2024-01-10 インテグリス・インコーポレーテッド Selective removal of metal oxide hard masks
CN112941516A (en) * 2020-12-29 2021-06-11 苏州运宏电子有限公司 Precise control type etching solution and etching method thereof
CN113150884B (en) * 2021-04-27 2022-12-30 上海新阳半导体材料股份有限公司 Preparation method of fluorine-containing cleaning liquid composition
CN113186539B (en) * 2021-04-27 2022-12-06 上海新阳半导体材料股份有限公司 Post-chemical mechanical polishing cleaning solution and preparation method thereof
CN113860914B (en) * 2021-09-16 2023-06-27 湖北文理学院 Soaking liquid for removing white cobblestone yellow spots, preparation method thereof and method for removing white cobblestone yellow spots
WO2024004980A1 (en) * 2022-07-01 2024-01-04 三菱瓦斯化学株式会社 Semiconductor substrate cleaning composition, method for cleaning semiconductor substrates, and method for producing semiconductor substrates
CN115725369B (en) * 2022-11-03 2024-03-08 上海新阳半导体材料股份有限公司 Application of cleaning fluid composition

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050176604A1 (en) * 2004-02-10 2005-08-11 Kwang-Wook Lee Corrosion-inhibiting cleaning compositions for metal layers and patterns on semiconductor substrates

Family Cites Families (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5279771A (en) 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
JP3160344B2 (en) 1991-01-25 2001-04-25 アシュランド インコーポレーテッド Organic stripping composition
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
US5421906A (en) 1993-04-05 1995-06-06 Enclean Environmental Services Group, Inc. Methods for removal of contaminants from surfaces
US6326130B1 (en) 1993-10-07 2001-12-04 Mallinckrodt Baker, Inc. Photoresist strippers containing reducing agents to reduce metal corrosion
US5571447A (en) 1995-03-20 1996-11-05 Ashland Inc. Stripping and cleaning composition
US7534752B2 (en) 1996-07-03 2009-05-19 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US5698503A (en) 1996-11-08 1997-12-16 Ashland Inc. Stripping and cleaning composition
US6755989B2 (en) 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6224785B1 (en) 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
US6306807B1 (en) 1998-05-18 2001-10-23 Advanced Technology Materials, Inc. Boric acid containing compositions for stripping residues from semiconductor substrates
US6875733B1 (en) 1998-10-14 2005-04-05 Advanced Technology Materials, Inc. Ammonium borate containing compositions for stripping residues from semiconductor substrates
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
KR100400030B1 (en) 2000-06-05 2003-09-29 삼성전자주식회사 Slurry for chemical mechanical polishing metal layer, method of preparing the same, and method of metallization for semiconductor device using the same
US6599370B2 (en) 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
MY143399A (en) 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
US6773873B2 (en) * 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
WO2004094581A1 (en) 2003-04-18 2004-11-04 Ekc Technology, Inc. Aqueous fluoride compositions for cleaning semiconductor devices
PL1664935T3 (en) * 2003-08-19 2008-01-31 Avantor Performance Mat Inc Stripping and cleaning compositions for microelectronics
JP2005097715A (en) 2003-08-19 2005-04-14 Mitsubishi Chemicals Corp Etching solution for titanium-containing layer and method for etching titanium-containing layer
US7671001B2 (en) * 2003-10-29 2010-03-02 Mallinckrodt Baker, Inc. Alkaline, post plasma etch/ash residue removers and photoresist stripping compositions containing metal-halide corrosion inhibitors
US7888301B2 (en) * 2003-12-02 2011-02-15 Advanced Technology Materials, Inc. Resist, barc and gap fill material stripping chemical and method
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
JP4456424B2 (en) * 2004-06-29 2010-04-28 関東化学株式会社 Photoresist residue and polymer residue removal composition
US20060063687A1 (en) 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060148666A1 (en) 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
WO2006093770A1 (en) 2005-02-25 2006-09-08 Ekc Technology, Inc. Method to remove resist, etch residue, and copper oxide from substrates having copper and low-k dielectric material
WO2006110645A2 (en) 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
JP2008538013A (en) 2005-04-15 2008-10-02 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
US20070251551A1 (en) 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
JP2008546036A (en) * 2005-06-07 2008-12-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Metal and dielectric compatible sacrificial antireflective coating purification and removal composition
TW200709294A (en) 2005-06-13 2007-03-01 Advanced Tech Materials Compositions and methods for selective removal of metal or metal alloy after metal silicide formation
WO2007027522A2 (en) 2005-08-29 2007-03-08 Advanced Technology Materials, Inc. Composition and method for removing thick film photoresist
CN101496146A (en) 2005-10-05 2009-07-29 高级技术材料公司 Composition and method for selectively etching gate spacer oxide material
KR101444468B1 (en) 2005-10-05 2014-10-30 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Oxidizing aqueous cleaner for the removal of post-etch residues
EP1945748A4 (en) 2005-10-13 2009-01-07 Advanced Tech Materials Metals compatible photoresist and/or sacrificial antireflective coating removal composition
WO2007120259A2 (en) 2005-11-08 2007-10-25 Advanced Technology Materials, Inc. Formulations for removing copper-containing post-etch residue from microelectronic devices
EP1946358A4 (en) 2005-11-09 2009-03-04 Advanced Tech Materials Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
TW200734448A (en) 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US7947637B2 (en) * 2006-06-30 2011-05-24 Fujifilm Electronic Materials, U.S.A., Inc. Cleaning formulation for removing residues on surfaces
US20080076688A1 (en) * 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US20080125342A1 (en) 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
TWI449784B (en) * 2006-12-21 2014-08-21 Advanced Tech Materials Liquid cleaner for the removal of post-etch residues
TWI509690B (en) 2006-12-21 2015-11-21 Entegris Inc Compositions and methods for the selective removal of silicon nitride
US20100081595A1 (en) * 2007-01-22 2010-04-01 Freescale Semiconductor, Inc Liquid cleaning composition and method for cleaning semiconductor devices
TWI516573B (en) 2007-02-06 2016-01-11 安堤格里斯公司 Composition and process for the selective removal of tisin
US20100112728A1 (en) 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
TW200918664A (en) 2007-06-13 2009-05-01 Advanced Tech Materials Wafer reclamation compositions and methods
US8802608B2 (en) * 2007-07-26 2014-08-12 Mitsubishi Gas Chemical Comany, Inc. Composition for cleaning and rust prevention and process for producing semiconductor element or display element
JP2010535422A (en) 2007-08-02 2010-11-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Non-fluoride-containing composition for removing residues from microelectronic devices
SG183744A1 (en) 2007-08-20 2012-09-27 Advanced Tech Materials Composition and method for removing ion-implanted photoresist
TW200934865A (en) 2007-11-30 2009-08-16 Advanced Tech Materials Formulations for cleaning memory device structures
CN102007196B (en) 2008-03-07 2014-10-29 高级技术材料公司 Non-selective oxide etch wet clean composition and method of use
WO2009135102A2 (en) 2008-05-01 2009-11-05 Advanced Technology Materials, Inc. Low ph mixtures for the removal of high density implanted resist
CN101597548A (en) * 2008-06-06 2009-12-09 安集微电子科技(上海)有限公司 A kind of plasma etching residual washing liquid
CN102216854A (en) 2008-08-04 2011-10-12 高级技术材料公司 Environmentally friendly polymer stripping compositions
CN101685273B (en) * 2008-09-26 2014-06-04 安集微电子(上海)有限公司 Cleanout fluid for removing photoresist layer residue
WO2010048139A2 (en) 2008-10-21 2010-04-29 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
US9074169B2 (en) 2009-01-28 2015-07-07 Advanced Technology Materials, Inc. Lithographic tool in situ clean formulations
WO2010086745A1 (en) 2009-02-02 2010-08-05 Atmi Taiwan Co., Ltd. Method of etching lanthanum-containing oxide layers
WO2010091045A2 (en) 2009-02-05 2010-08-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of polymers and other organic material from a surface
US20140318584A1 (en) 2011-01-13 2014-10-30 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium-containing solutions

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050176604A1 (en) * 2004-02-10 2005-08-11 Kwang-Wook Lee Corrosion-inhibiting cleaning compositions for metal layers and patterns on semiconductor substrates

Cited By (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10035978B2 (en) 2014-05-02 2018-07-31 Mitsubishi Gas Chemical Company, Inc. Semiconductor element cleaning liquid and cleaning method
US10651028B2 (en) 2014-11-13 2020-05-12 Mitsubishi Gas Chemical Company, Inc. Semiconductor element cleaning solution that suppresses damage to tungsten-containing materials, and method for cleaning semiconductor element using same
US20160186106A1 (en) * 2014-12-30 2016-06-30 Fujifilm Electronic Materials U.S.A., Inc. Stripping compositions for removing photoresists from semiconductor substrates
US9914902B2 (en) * 2014-12-30 2018-03-13 Fujifilm Electronic Materials U.S.A., Inc. Stripping compositions for removing photoresists from semiconductor substrates
US20170253840A1 (en) * 2016-03-04 2017-09-07 Tokyo Ohka Kogyo Co., Ltd. Cleaning liquid and method for cleaning
US10119103B2 (en) * 2016-03-04 2018-11-06 Tokyo Ohka Kogyo Co., Ltd. Cleaning liquid and method for cleaning
US20190211286A1 (en) * 2016-09-28 2019-07-11 Dow Global Technologies Llc Sulfoxide/Glycol Ether Based Solvents for Use in the Electronics Industry
US10731114B2 (en) * 2016-09-28 2020-08-04 Dow Global Technologies Llc Sulfoxide/glycol ether based solvents for use in the electronics industry
CN110997643A (en) * 2017-08-22 2020-04-10 富士胶片电子材料美国有限公司 Cleaning composition
WO2019040394A1 (en) 2017-08-22 2019-02-28 Fujifilm Electronic Materials U.S.A., Inc. Cleaning compositions
EP3672944A4 (en) * 2017-08-22 2021-06-02 FUJIFILM Electronic Materials U.S.A, Inc. Cleaning compositions
US10787628B2 (en) * 2017-08-22 2020-09-29 Fujifilm Electronic Materials U.S.A., Inc. Cleaning compositions
US11149231B2 (en) 2017-10-10 2021-10-19 Mitsubishi Chemical Corporation Cleaning liquid, cleaning method, and method for producing semiconductor wafer
US11377624B2 (en) * 2017-12-08 2022-07-05 Basf Se Cleaning composition for post-etch or post ash residue removal from a semiconductor substrate and corresponding manufacturing process
TWI799476B (en) * 2017-12-08 2023-04-21 德商巴斯夫歐洲公司 Cleaning composition for post-etch or post ash residue removal from a semiconductor substrate and corresponding manufacturing process
US20190226094A1 (en) * 2018-01-19 2019-07-25 Baker Hughes, A Ge Company, Llc Phosphorous-free, and iron activating agent-free rust removal, inhibition, and passivation
US20190276739A1 (en) * 2018-03-09 2019-09-12 Versum Materials Us, Llc Etching Solution for Selectively Removing Silicon-Germanium Alloy From a Silicon-Germanium/ Germanium Stack During Manufacture of a Semiconductor Device
US10934484B2 (en) * 2018-03-09 2021-03-02 Versum Materials Us, Llc Etching solution for selectively removing silicon-germanium alloy from a silicon-germanium/ germanium stack during manufacture of a semiconductor device
US11629315B2 (en) 2018-04-27 2023-04-18 Mitsubishi Gas Chemical Company, Inc. Aqueous composition and cleaning method using same
IL268216B (en) * 2018-07-24 2022-10-01 Versum Mat Us Llc Post etch residue cleaning compositions and methods of using the same
IL268216B2 (en) * 2018-07-24 2023-02-01 Versum Mat Us Llc Post etch residue cleaning compositions and methods of using the same
EP3599633B1 (en) * 2018-07-24 2023-12-06 Versum Materials US, LLC Post etch residue cleaning compositions and methods of using the same
US11124746B2 (en) 2018-11-08 2021-09-21 Entegris, Inc. Post CMP cleaning composition
WO2020096760A1 (en) * 2018-11-08 2020-05-14 Entegris, Inc. Post cmp cleaning composition
US20200148951A1 (en) * 2018-11-14 2020-05-14 Samsung Display Co., Ltd. Etching composition, method for forming pattern and method for manufacturing a display device using the same
US11091694B2 (en) * 2018-11-14 2021-08-17 Samsung Display Co., Ltd. Etching composition, method for forming pattern and method for manufacturing a display device using the same
WO2022047175A1 (en) * 2020-08-27 2022-03-03 Fujifilm Electronic Materials U.S.A., Inc. Cleaning compositions
US11898123B2 (en) 2020-08-27 2024-02-13 Fujifilm Electronic Materials U.S.A., Inc. Cleaning compositions
US11605544B2 (en) 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures
WO2022221497A1 (en) * 2021-04-16 2022-10-20 Entegris, Inc. Cleaning composition

Also Published As

Publication number Publication date
EP2593964A4 (en) 2017-12-06
SG187551A1 (en) 2013-03-28
WO2012009639A3 (en) 2012-04-26
TWI548738B (en) 2016-09-11
WO2012009639A9 (en) 2012-05-24
WO2012009639A2 (en) 2012-01-19
KR20130088847A (en) 2013-08-08
JP2013533631A (en) 2013-08-22
US20130296214A1 (en) 2013-11-07
TW201209156A (en) 2012-03-01
EP2593964A2 (en) 2013-05-22
SG10201505535VA (en) 2015-09-29
CN103003923A (en) 2013-03-27
US9063431B2 (en) 2015-06-23

Similar Documents

Publication Publication Date Title
US9063431B2 (en) Aqueous cleaner for the removal of post-etch residues
JP5237300B2 (en) Liquid cleaning agent to remove residues after etching
KR102405063B1 (en) Aqueous and semi-aqueous cleaners for the removal of post-etch residues with tungsten and cobalt compatibility
KR101884367B1 (en) Stripping compositions having high wn/w etch selectivity
US6773873B2 (en) pH buffered compositions useful for cleaning residue from semiconductor substrates
US20170200619A1 (en) Anti-reflective coating cleaning and post-etch residue removal composition having metal, dielectric and nitride compatibility
US11124746B2 (en) Post CMP cleaning composition
US20040152608A1 (en) Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
EP1404796B1 (en) Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
US20220336210A1 (en) Cleaning composition

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION