CN107689322B - 制造一半导体元件的方法及其系统 - Google Patents

制造一半导体元件的方法及其系统 Download PDF

Info

Publication number
CN107689322B
CN107689322B CN201710660773.6A CN201710660773A CN107689322B CN 107689322 B CN107689322 B CN 107689322B CN 201710660773 A CN201710660773 A CN 201710660773A CN 107689322 B CN107689322 B CN 107689322B
Authority
CN
China
Prior art keywords
wafer
solvent
metal
photoresist
cleaning
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201710660773.6A
Other languages
English (en)
Other versions
CN107689322A (zh
Inventor
訾安仁
郑雅如
张庆裕
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/351,529 external-priority patent/US10866516B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN107689322A publication Critical patent/CN107689322A/zh
Application granted granted Critical
Publication of CN107689322B publication Critical patent/CN107689322B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/095Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70233Optical aspects of catoptric systems, i.e. comprising only reflective elements, e.g. extreme ultraviolet [EUV] projection systems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70308Optical correction elements, filters or phase plates for manipulating imaging light, e.g. intensity, wavelength, polarisation, phase or image shift
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/02087Cleaning of wafer edges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/0209Cleaning of wafer backside
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

制造一半导体元件的方法。一光致抗蚀剂层涂布在一晶片上,该光致抗蚀剂层包含一含金属材料。对该光致抗蚀剂层进行极紫外线(extreme ultraviolet,EUV)光刻工艺,以形成一图案化光致抗蚀剂。以一洗净液洗净该晶片,以移除该含金属材料。该洗净液包含一溶剂,该溶剂的汉森溶解度参数(Hansen solubility parameters)delta D在13至25之间、delta P在3至25之间、及delta H在4至30之间。该溶剂含有一酸解离常数小于4的酸,或是含有一酸解离常数大于9的碱。

Description

制造一半导体元件的方法及其系统
技术领域
本公开实施例涉及半导体制造的技术领域,尤其涉及一种光刻工艺。
背景技术
半导体集成电路产业经历快速成长,而集成电路材料与设计的技术进步,促使许多集成电路世代的诞生,每一世代均较前一世代更小、更复杂。然而,这些进步亦增加集成电路工艺的复杂度,而为实现此等进步,亦需要在集成电路工艺上进行类似的开发。在集成电路发展过程中,功能密度(亦即,每一芯片面积的互连元件的数量)已广泛提升,而几何尺寸大小(亦即,能使用工艺制作的最小组件(或线路))则减小。因此,对于能够解决上述缺点的光刻工艺仍有其需求。
由于半导体元件尺寸持续缩减,例如小于20纳米(nanometer,nm)节点,传统光刻技术有其光学上限制,其所生分辨率上的问题,可能致使无法达成所欲的光刻效果。相对地,极紫外线(extreme ultraviolet,EUV)光刻则能达成非常小的元件尺寸。然而,传统EUV光刻仍存有缺点。例如,关于EUV光吸收及/或含金属材料所导致的污染等缺点,因而可能累及或减损半导体制造的绩效。
所以,现有EUV光刻的系统与操作方法虽然能一般性地符合其目的,但在各方面仍尚未完全满足。
发明内容
本公开实施例系提供一种在光刻工艺中移除金属化合物的溶剂与方法,包括:在一光致抗蚀剂层涂布在一晶片上,该光致抗蚀剂层包含一含金属材料。对该光致抗蚀剂层进行EUV光刻工艺,以形成一图案化光致抗蚀剂;以一洗净液洗净该晶片,以移除该含金属材料;该洗净液包含一溶剂,该溶剂的汉森溶解度参数(Hansen solubility parameters)delta D在13至25之间、delta P在3至25之间、及delta H在4至30之间,且该溶剂含有一酸解离常数小于4的酸,或是含有一酸解离常数大于9的碱。
附图说明
本公开实施例所公开的内容,均能经由阅读如下实施方式与所伴随的附图而能充分了解。应强调者,为符合所属产业的标准操作,各附图并未按比例绘制。事实上,各附图尺寸或许有所增减,以利清楚说明。
图1是依据本公开某些实施例所建构的光刻系统的概要图。
图2是依据本公开某些实施例所建构的EUV光掩模的剖面图。
图3是依据本公开某些实施例所建构的半导体晶片的剖面图。
图4-图6显示,依据本公开某些实施例,洗净晶片以移除含金属污染物的不同流程。
图7显示,依据本公开某些实施例,用以洗净晶片以移除含金属污染物的洗净系统的实施例。
图8-图9依据本公开某些实施例,提供不同洗净流程操作的视觉图像。
图10是依据本公开某些实施例的各式工艺流程的图解。
图11显示,依据本公开某些实施例,一溶剂内的添加物的化学组成物的化学式。
图12是依据本公开某些实施例的制造半导体元件的方法。
附图标记说明:
10 EUV光刻系统
12 光源或EUV光源
14 照明器
16 光掩模平台
18 光掩模
20 投影光学模块或投影光学箱
22 光瞳相位调制器
24 投影光瞳平面
26 半导体基材
28 基材平台
30 低膨胀材料基材
32 导电层
34 反射多膜层结构
36 覆盖层
38 缓冲层
40 吸收层
45 半导体晶片
48 基材
50 材料层
60 光致抗蚀剂层
70 含金属材料
80 材料
100 洗净液
150 洗净系统
160 空腔
170 排气机构
180、181、190、191喷嘴
200 涤洗流体
250 旋转装置
300 控制器
400 光刻工艺
410、420、430、440、450 工艺步骤
510、520、530、540 工艺步骤
600、610、620、630、640 工艺步骤
具体实施方式
以下公开依据本公开的各种实施例或范例,俾供实施本公开各标的的各技术特征。为简明扼要阐述本公开实施例,以下将以明确特定范例描述各元件及其配置。惟,此些说明理应为单纯示范,并非用以限制本公开。举例来说,以下描述在一第二技术特征上形成一第一技术特征,可理解其包括此等第一、第二技术特征为直接接触的实施例及此等第一、第二技术特征之间尚有其他技术特征形成,以致第一、第二技术特征并非直接接触的实施例。除此之外,为使本说明书内容简单明了,在此亦可于不同范例中重复使用图示元件符号及/或字元,然并非用以限定此些实施例及/或配置。
其次,空间对应关系的词语,诸如「向其之下」、「在下方」、「较低」、「以上」、「较高」及诸如此类者,可随意用于此以利描述图示中一元件或技术特征之于其他元件或技术特征的空间关系。空间对应关系的词语包括元件在使用或操作中的各种方向及图示中描述的方向,除此之外,与其相关的装置可旋转,如旋转90度或转向其他方向,而可对应地解释此些空间对应关系的词语
由于EUV光刻具有制成微小半导体元件尺寸的能力,故现已广为使用。然而,传统EUV光刻仍存有缺点。例如,典型的传统光致抗蚀剂材料是有机材料。在EUV范围内,这些有机材料的光吸收并非最佳。因此,含金属材料会加入光致抗蚀剂材料内以进行EUV光刻。然而,这些含金属材料会产生潜在的污染问题。例如,若在EUV光刻装置或进行EUV光刻的晶片上,未完全清除含金属材料,则会污染后续不需或不要有金属的半导体工艺,或是随着晶片在各半导体工艺设备间移动而污染其它半导体工艺设备。因此,由EUV光致抗蚀剂内的含金属材料所生的污染,将导致半导体制造上的问题,及/或减损半导体元件的效能。
为克服上述问题,本公开实施例提供一种新颖的溶剂以及使用此溶剂的一新颖的系统与方法,以有效地自晶片上洗净EUV光致抗蚀剂内的含金属材料。本公开各实施例将析述如下及对应的图1-图10。首先,EUV光刻系统将说明如下并对应图1-图2。其次,依据本公开实施例的洗净系统与方法,将析述于图3-图10及其对应内容。
图1是依据本公开某些实施例所建构的EUV光刻系统10的概要图。EUV光刻系统10通常亦称为扫瞄曝光机(scanner),具有个别光源及曝光模式,以进行光刻曝光工艺。EUV光刻系统10是设计用以将光致抗蚀剂层曝露于EUV光。该光致抗蚀剂层是对EUV光敏感的材料。EUV光刻系统10采用一光源12,以产生EUV光,诸如波长介于约1纳米至约100纳米的EUV光。在一实施例,光源12产生波长集中在约13.5纳米的EUV光。因此,光源12亦称为EUV光源12。
光刻系统10亦采用一照明器(illuminator)14。在实施例中,照明器14包含各式屈光(refractive)光学组件,诸如单一镜片或具有多重镜片(波带板(zone plates))或用于EUV光刻系统的反射光学元件,诸如单一反射镜或一具有多重反射镜的反射镜系统,以将源自于光源12的光引导至光掩模平台16,特别是架设在光掩模平台16之上的光掩模18。在本公开实施例中,光源12产生EUV波长的光,照明器14则采用反射光学元件。在某些实施例,照明器14包含一偶极照明组件(dipole illumination component)。
在某些实施例,照明器14可操作成反射镜以提供适当照明于光掩模18之上。在一实施例,照明器14的反射镜可切换反射EUV光至不同照明位置。在某些实施例,在照明器14之前有一平台,可更包含其它可切换的反射镜,配合照明器14的反射镜,以控制EUV光照向不同照明位置。在某些实施例,照明器14可提供光掩模18一同轴照明(on-axisillumination,ONI)。在一实施例,一碟状照明器14具有部分同调性(partial coherence)σ最大值为0.3。在其他某些实施例,照明器14可提供光掩模18一偏轴照明(off-axisillumination,OAI)。在一实施例,照明器14为偶极照明器。在某些实施例,偶极照明器的部分同调性σ最大值为0.3。
光刻系统10亦包含一光掩模平台16,用以架设一光掩模18。在某些实施例,光掩模平台16包含一静电夹具(electrostatic chuck,e-chuck),以架设光掩模18。由于气体分子会吸收EUV光,所以EUV光刻系统是置于真空环境下,以免损失EUV光强度。
在本公开实施例,光刻系统10是一EUV光刻系统,光掩模18是一反射式光掩模。在此提供光掩模18的一例示性结构,以供说明。光掩模18包含一具有一适当材料的基材,例如一低热膨胀材料(low thermal expansion material,LTEM)或熔融石英。在一些实施例中,LTEM包含掺杂TiO2的SiO2或是其他具有低热膨胀性质的适当材料。
光掩模18亦包含一在基材上的反射性多层膜。多层膜包含复数层膜对(filmpair),诸如钼-硅层膜对(例如,在每一层膜对,一层钼是在一层硅之上或之下)。相对地,多层膜可包含钼-铍层膜对,或其他适当材料而可高度反射EUV光。
光掩模18可更包含一覆盖层,诸如钌,置于多层膜之上,以为保护。光掩模18更包含一吸收层。该吸收层位于该多层膜之上,且图案化以定义一集成电路层。相对地,亦可置放另一反射层在多层膜之上,并进行图案化,以定义一集成电路层,而形成一EUV相位位移光掩模(EUV phase shift mask)。
光刻系统10亦包含一投影光学模块(或称投影光学箱(projection optics box,POB))20,用以将光掩模18的图案,成像在半导体基材26之上,而半导体基材26是架设在微体系统10的基材平台28之上。在各实施例中,POB 20具有屈光光学组件(诸如用于UV光刻系统)或反射光学组件(诸如用于EUV光刻系统)。而在POB 20中,光经由光掩模18导入,依绕射序绕射,并带有依该光掩模定义的图案。POB 20的倍率得小于1(因而,在标的上的"影像"尺寸(如下标的26所述)小于光掩模上对应"客体"的尺寸)。照明器14与POB 12可合称为光刻系统10的一光学模块。
光刻系统10亦包含一光瞳相位调制器(pupil phase modulator)22,以调制来自光掩模18的光的光学相位,使其在投射光瞳平面(projection pupil plane)24有一相分布(phase distribution)。在光学模块内,有一平面并有对应于客体(即此实施例的光掩模18)的傅利叶转换的场分布(field distribution)。此平面即称为投射光瞳平面24。在一些实施例,光瞳相位调制器22包含一调整POB 20的反射镜的机制,用以调制相位。例如,POB20的反射镜是可切换且控制EUV光的反射,藉以调制通过POB 20的光的相位。
在一些实施例,光瞳相位调制器22采用一置于投射光瞳平面24的瞳孔滤光片(pupil filter)。该瞳孔滤光片可滤出来自于光掩模18的EUV光的特定空间频率分量(spatial frequency componets)。特别是,该瞳孔滤光片是一相位瞳孔滤光片,可调制来自于POB 20的光的相位分布。然而,相位瞳孔滤光片在一些光刻系统(诸如一EUV光刻系统)使用上有所限制,因为其所有材料均会吸收EUV光。
如上所述,光刻系统10亦包含基材平台28,以架设将被图案化的一标的26,诸如一半导体基材。在本实施例中,此半导体基材是一半导体晶片,诸如硅晶片或其他类型晶片。标的26涂布有对光敏感的光致抗蚀剂层,诸如对本实施例的EUV光敏感。前开所述的各式组件整合后,可用于光刻曝光工艺。光刻系统10可更包其它模块或与其它模块整合或连接。
光掩模18及其制作方法是进一步叙述在一些实施例。在一些实施例,光掩模工艺包含两部分:一空白光掩模工艺及一光掩模图案工艺。在空白光掩模工艺中,空白光掩模是经由沉积适当膜层(例如,反射多膜层)在一适当基材而形成。接着,在光掩模图案化工艺中,图案化该空白光掩模,藉以达成一集成电路中一层电路的设计。该图案化光掩模然后用以移转电路图案(例如,一集成电路中一层电路的设计)在一半导体晶片上。图案能经由各式光刻工艺而一再地移转至多个晶片上。一组光掩模能用以建构一完整的集成电路。
在各式实施例中,光掩模18含有一适当结构,诸如双元强度光掩模(binaryintensity mask,BIM)与相位移光掩模(phase-shifting mask,PSM)。一典型BIM包含被图案化的吸收区(亦称为不透光区)及反射区,用以定义一IC图案,并移转予标的。在不透光区,有一吸收体,而一入射光将被此吸收体几乎完全吸收。在反射区,吸收体系被移除,入射光将被一多膜层(multilayer,ML)绕射。PSM可一减光型相位移光掩模(attenuated PSM,AttPSM)或是一交替型相位移光掩模(alternating PSM,AltPSM)。一典型PSM包含一第一反射层(诸如一反射ML)及依据一IC图案而图案化的一第二反射层。在某些实施例,一AttPSM通常由其吸收体有2%至15%的反射率,而一AltPSM通常由其吸收体有大于50%的反射率。
图2显示光掩模18的一实施例。在此实施例中,光掩模18是一EUV光掩模,且包含由低热膨胀材料制成的基材30。此热膨胀材料可为掺杂TiO2的SiO2,及或此项技艺所熟知的其它低热膨胀材料。在一些实施例,另有一导电层32沉积于低膨胀材料基材30的背部,以为静电夹置之用。在一实施例,导电层32包含氮化铬,而其他适当成分亦得包含之。
EUV光掩模18包含一反射多膜层结构34沉积于低膨胀材料基材30之上。可依所选用的光源类型/波长,选用多膜层结构34,以达到高反射率。多膜层结构34包含多个膜对,诸如钼/硅膜对(例如,在每一层膜对,一层钼是在一层硅之上或之下)。相对地,多膜层结构34亦可包含钼/铍膜对,或任何具有在EUV波长有高折射率差(refractive indexdifference)的材料。
再参见图2。EUV光掩模18亦包含一沉积在多膜层结构34的覆盖层36,以防止多膜层氧化。在一实施例,覆盖层36含有厚度约4纳米至约7纳米的硅。EUV光掩模18更包含一沉积在覆盖层36之上的缓冲层38,以作为一吸收层的图案化或修补工艺中的蚀刻停止层。此部分稍后再予说明。相对于沉积其上的吸收层,缓冲层38具有不同的蚀刻性质。在各式实施例,缓冲层38包含钌,诸如硼化钌、硅化钌等钌化合物、铬、氧化铬及氮化铬。
EUV光掩模18亦包含一吸收层40,形成在缓冲层38之上。在一些实施例,吸收层40吸收照向光掩模18的EUV光。在各式实施例中,吸收层可为氮化硼钽(tantalum boronnitride,TaBN)、氧化硼钽(tantalum boron oxide,TaBO)或铬、镭或如下一个或多个材料的氧化物或氮化物或合金:锕(actinium)、镭、碲、锌、铜及铝。
图3是显示本公开实施例一半导体晶片45的剖面图。半导体晶片45可包含一集成电路芯片、系统单芯片(system on chip,SoC)或其部分,以及各式被动或主动微电子元件,诸如电阻、电容、电感、二极管、金属氧化物半导体场效晶体管(metal-oxidesemiconductor field effect transistor,MOSFET)、互补式金属氧化物半导体(complementary metal-oxide semiconductor transistor,CMOS transistor)、双极型接面晶体管(bipolar junction transistors,(BJT)、侧向扩散金属氧化物半导体晶体管(laterally diffused MOS(LDMOS)transistors)、高功率金属氧化物半导体晶体管(highpower MOS transistors)或其它类型晶体管。
如图3所示,一半导体晶片45包含一基材48。在一些实施例,基材48是掺杂有诸如硼的p型掺杂物的一硅基材(即:p型基材)。基材48亦可为其它适当的半导体材料。例如,基材48是掺杂有诸如磷或砷的n型掺杂物的一硅基材(即:n型基材)。基材48可包含其它基本半导体,诸如锗与钻石。基材48可选择性地包含一化合物半导体及/或一合金半导体。进而,基材48可包含一外延层(epitaxial layer),可被拉伸以强化操作,及可包含一绝缘层覆硅(silicon-on-insulator,SOI)结构。
在一些实施例,基材48是导体或半导体。其电阻可小于约103欧姆-米。在一些实施例,基材48包含金属、金属合金、或化学式为MXa的金属氮化物/硫化物/硒化物/氧化物/硅化物,其中M为金属,X为氮、硫、硒、氧、硅,而a则介于0.4至2.5之间。例如,基材48可包含钛、铝、钴、钌、氮化钛、二氮化钨、或氮化钽。
在一些其它实施例,基材48包含介电常数介于约1至约40之间的一介电材料。在一些其它实施例,基材48包含化学式为MXb的硅、金属氧化物或氮化物,其中M是一金属或硅,X是氮或氧,b则介于约0.4至2.5之间。例如,基材48可包含SiO2、氮化硅、氧化铝、氧化铪或氧化镧。
一材料层50形成在基材48之上。材料层50可经由光刻工艺而图案化,因此亦可称为一可图案化层。在一实施例,材料层50包含一介电材料,诸如氧化硅或氮化硅。在另一实施例,材料层50包含金属。而在另一实施例,材料层50包含一半导体材料。
在一些实施例,材料层50具有与光致抗蚀剂不同的光学性质。例如,材料层50的n、k、或T数值,可与光致抗蚀剂不同。在一些实施例,材料层50包含至少有一不同的聚合物结构、酸不稳定分子(acid labile molecule)、光致酸发生剂(photo acid generators,PAG)、抑制剂(quenchers)、色团(chromophore)、交联剂、或溶剂,以使其n数值不同于光致抗蚀剂。在一些实施例,材料层50与光致抗蚀剂有不同蚀刻阻抗性。在一些实施例,材料层50包含一蚀刻阻抗分子。该分子包含低大西数(onishi number)结构、双键结、叁键结、硅、氮化硅、钛、氮化钛、铝、氧化铝、氮氧化硅或其组合。
应理解,在其它实施例中,基材48与材料层50可个别包含额外适当材料成分。
一光致抗蚀剂层60形成在材料层50之上。如图3所示实施例,光致抗蚀剂层60包含一正光致抗蚀剂,但应可理解,在一替代实施例,光致抗蚀剂层60亦可为负光致抗蚀剂。光致抗蚀剂层60包含诸如一高分子、PAG、抑制剂、色团、界面活性剂、交联剂等成分。因此,会产生小量的酸,进而诱导光致抗蚀剂层60一连串化学形变。光致抗蚀剂层60亦可选择性地包含一quencher,其可沉积在光致抗蚀剂层60之内,以改善临界尺寸(criticaldimension,CD)的控制。
光致抗蚀剂层60包含一PAG。在某些实施例,PAG包含一致酸成分与一感光成分。感光成分亦称为感光官能基(sensitizer moiety),经由一连接剂而与致酸发生剂键结或链结。
在一些实施例,光致抗蚀剂层60可为一三层光致抗蚀剂。此三层光致抗蚀剂可包含一底层(亦可称为下层),一中层,以及一顶层。在一些实施例,底层包含一CxHyOz材料,中层包含一SiCxHyOz材料,顶层包含一CxHyOz材料。在某些实施例中,底层的CxHyOz材料可与顶层的CxHyOz材料相同,但在一些实施例中,则不相同。顶层亦包含一感光成分,诸如PAG。此可用于光刻工艺,以图案化该顶层。顶层典型的图案化,是采用光刻工艺,其可包含一次或多次曝光、烘烤、显影(developing)及冲洗等工艺(无须依此顺序进行)。光刻工艺图案化该顶层,以成为一光致抗蚀剂光掩模,可有一个或多个沟槽或开口而曝露其下的中层。随后,通过该光致抗蚀剂光掩模以蚀刻该中层,图案化该中层。接着,使用该图案化的中层,以蚀刻该底层,图案化该底层。此图案化底层即可用图案化其底下各层。
如前所述,若采用传统有机光致抗蚀剂时,在EUV光刻时,光吸收将成为一问题。因此,如图3所示的光致抗蚀剂层60,乃加入含金属材料70。在某些实施例,含金属材料70包含铯、钡、镧、铟、铈、银、或锡、或其等组合或化合物。在实施例中,当光致抗蚀剂层60是一三层光致抗蚀剂时,含金属材料70可加在底层、中层或顶层的任一层,或是三层均加入。
含金属材料70可在EUV光刻时强化光吸收。然而,如前所述,含金属材料70会导致污染问题。因此,在光致抗蚀剂层60用于进行EUV光刻图案化之时或之后,如本公开实施例所公开,将移除含金属颗粒。
依据本公开一实施例,图4显示洗净晶片45以移除污染物含金属材料70的流程。如图4所示,在晶片45上提供一材料80。材料80可包含特别用于EUV光刻的光致抗蚀剂,而如前所述,其包含一含金属材料诸如图3所示的含金属材料70。材料80亦可包含一可用于显影光致抗蚀剂的显影溶液。
一洗净液100可施用于晶片45,以移除含金属材料70。洗净液100可施用于晶片45的背面,及/或晶片45的边缘。此是因为在晶片移转过程中(例如,晶片45由一半导体制造设备传送至另一半导体制造设备),可能会发生与晶片45背面或边缘物理上接触。例如,当晶片45由一半导体制造设备(在一实施例中,其可能为一EUV光刻装置),各式半导体制造设备的组件可能接触到晶片45的底部(亦即,背面)或侧边。经由此等接触,含金属材料70(图3)可能遗留在半导体制造设备。若半导体制造设备后续工艺必须不得有金属时,则含金属材料70即成为一污染物。
如另一实施例,若含金属材料70未完全自晶片45清除,则当晶片45载入一新半导体制造设备时,含金属材料70即可能污染新半导体制造设备。此问题将因各式加热工艺而恶化,因为其会促使含金属材料70由晶片45脱逸。此新(且已污染)半导体制造设备可能是用于无金属环境进行半导体工艺,故不希望存有含金属材料70。基此,将通过洗净液100洗清含金属材料70。为增加此洗净效能,洗净液100主要是针对晶片45的背面及边缘,而晶片45的正面亦可清洗之。
洗净液100的材料组成物是仔细选用以强化移除晶片45的含金属材料70。例如,洗净液100包含一选自汉森溶解度参数(Hansen solubility parameters)delta D、delta P及delta H在特定范围内的溶剂4。汉森溶解度参数提供一种一材料是否溶解于另一材料而形成溶液的预测方法。任一已知材料的任一分子具有三个汉森溶解度参数(通常是在0.5MPa压力下进行量测),即delta D、delta P及delta H。delta D是分子间的分散力的能量。delta P是分子间的偶极力(dipolar intermolecular force)的能量。delta H则是分子间氢键的能量。这三个参数可视为在三度汉斯空间的坐标。两分子在此三度汉斯空间越近,则其越可能彼此溶解。
依据本公开实施例,该溶剂是选自其汉森溶解度参数在特定范围之内者,以使得含金属材料70可轻易溶解,进而移除。在一些实施例,其溶剂的汉森溶解度参数的delta D是在13至25之间,delta P是在3至25之间,及delta H则是在4至30之间。在一些其它实施例中,其溶剂的汉森溶解度参数的delta D是在15至22之间,delta P是在3.5至17之间,及delta H则是在5至16之间。
在一些实施例,此溶剂是一水性溶剂。可加入一水溶性酸或水溶性碱至此水性溶剂,以强化该溶剂移除含金属材料70的能力。此酸或碱在该水性溶剂内的浓度可介于0.001%至30%之间,例如介于0.1%至20%之间。在一些实施例,在水性溶剂内酸或碱的浓度是介于0.1%至10%之间。若使用酸时,该酸的酸解离常数pka(亦可称为酸性常数或是酸离子化常数,在此为一对数值)是介于-11至4之间,例如-11至3之间。此水性酸的例子,包含但不限于,为硫酸、硝酸、盐酸、磷酸、CCl3COOH、氢溴酸或其等的组合。若使用碱时,该碱的pka是介于9至40之间,例如介于10至20之间。此水性碱的例子,包含但不限于,为氢氧化钠、氨、氢氧化钾、TMAH、TEAH,或其等的组合。在一些实施例,可加入金属钳合物于水溶剂内,或可取代该酸或碱。在此些实施例,金属钳合剂在水性溶剂内的浓度可介于0.001%至30%,例如,在0.1%至20%之间。此金属钳合剂的例子,包含但不受限制,为乙二胺四乙酸(ethylenediaminetetraacetic acid,EDTA)、乙二胺-N,N'-琥珀酸(ethylenediamine-N,N’-disuccinic acid,EDDS)、二乙烯三胺五醋酸(diethylenetriaminepentaacetic acid,DTPA)、聚天冬氨酸(polyaspartic acid)、反-1,2-二氨基环己烷-N,N,N’,N’-四乙酸单水化合物(trans-1,2-Cyclohexanediamine-N,N,N’,N’-tetraacetic acid monohydrate)、乙二胺(ethylenediamine)、或其等组合。在一些实施例,水性溶剂亦可加热,以增强洗净效率。在一些实施例,此水性溶剂是加热至室温(即摄氏25度)至摄氏75度之间,例如,在摄氏45至75度之间。在一些实施例,亦可加入界面活性剂于此水性溶剂,以增加溶解度,及/或减少晶片45的表面张力。在此水性溶剂内的界面活性剂浓度可介于0.1%至5%之间。应可理解,此处所提及各式材料的各种范围,是为用以强化溶剂的功效,例如,关于自晶片45洗净含金属材料70的功效。
在一些实施例,此溶剂为一有机溶剂。该有机溶剂具有极性官能基,诸如但不限于-OH、-COOH、-CO-、-O-、-COOR、-CN-、-SO-等。在各式实施例,此有机溶剂可包含PGME、PGEE、GBL、CHN、EL、甲醇、乙醇、丙醇、正丁醇、丙酮、DMF、乙腈、THF、醋酸、或其等的组合。可加入一有机酸或有机碱于该有机溶剂内,以强化该溶剂移除含金属材料70的能力。此酸或碱在该有机溶剂内的浓度,可介于0.001%至30%之间,例如,在0.1%至20%之间。若使用一酸时,该酸的酸解离常数pka(亦可称为酸性常数或是酸离子化常数,在此为一对数值)是介于-11至4之间,例如-11至3之间。此水性酸的例子,包含但不限于,为乙二酸、甲酸、2-羟丙酸(2-hydroxypropanoic acid)、2-羟基丁二酸(2-hydroxybutanedioic acid)、柠檬酸、尿酸、三氟甲磺酸(trifluoromethanesulfonic acid)、苯磺酸(benzenesulfonic acid)、乙磺酸(ethanesulfonic acid)、甲磺酸(methanesulfonic acid)、草酸二水合物、丁烯二酸、或其等的组合。
若使用碱时,该碱的pka是介于9至40之间,例如介于10至20之间。此水性碱的例子,包含但不限于,为单乙醇胺、单异丙醇胺、2-氨基-2-甲基-1-丙醇、苯并三氮唑(1H-benzotriazole)、1,2,4-三氮唑(1,2,4-triazole)、1,8-二氮杂二环十一-7烯(1,8-diazabicycloundec-7-ene),或其等的组合。在一些实施例,可加入金属钳合物于该有机溶剂内,或可取代该酸或碱。在此些实施例,金属钳合剂在有机溶剂内的浓度可介于0.001%至30%,例如,在0.1%至20%之间。此金属钳合剂的例子,包含但不限于,为EDTA、EDDS、DTPA、聚天冬氨酸、反-1,2-二氨基环己烷-N,N,N’,N’-四乙酸单水化合物、乙二胺、或其等的组合。在一些实施例,有机溶剂亦可加热,以增强洗净效率。在一些实施例,此有机溶剂是加热至室温(即摄氏25度)至摄氏75度之间,例如,在摄氏45至75度之间。在一些实施例,亦可加入界面活性剂于此有机溶剂,以增加溶解度,及/或减少晶片45的表面张力。在此有机溶剂内的界面活性剂浓度可介于0.1%至5%之间。应可理解,此处所提及各式材料的各种范围,是为用以强化溶剂的功效,例如,关于自晶片45洗净含金属材料70的功效。
图5-图6显示,依据本公开一替代实施例,洗净晶片45以移除含金属材料70的流程。为符一致性及清楚说明,在图4-图6所示类似组件均为相同标示。如图5所示,在晶片45上提供一材料80。如前关于图4的相关叙述,材料80可包含特别用于EUV光刻的光致抗蚀剂(以为更好的光吸收),及/或亦可包含一显影溶液。当材料80施作在晶片45之上时,洗净液100则尚未施用之。
请参考图6。洗净液100是施用于晶片45,以移除含金属材料70。洗净液100主要施用于晶片45的背面,及/或晶片45的边缘,而在某些实施例,亦施用于晶片45的正面。如先前图4相关叙述,洗净液100包含一水性或有机溶剂,而其汉斯溶解度参数在一特定范围内,以促进含金属材料70的移除。各式添加物,诸如酸、碱、金属钳合剂等,可加入前开溶剂内,以进一步增加洗净液100移除含金属材料70的功效。因此,对应于图4的流程是针对当使用含金属材料70(于光致抗蚀剂内)时移除含金属材料70,对应于图5-图6的流程则是经由额外的洗净步骤以移除含金属材料70。
请参考图7,显示一洗净系统150的一实施例。在一些实施例,洗净系统150可为既有半导体制造装置的一部分。例如,其可整合至一EUV光刻设备,而其EUV光刻则使用含金属光致抗蚀剂。在其他实施例,洗净系统150可为其它类型半导体制造设备的一部分,而金属污染物则可能被引入该等设备。如图7所示实施例,材料80(例如,一含金属EUV光致抗蚀剂及/或一显影溶液)是施用在晶片45的正面。材料80内的金属是一污染物,将通过洗净系统150移除,如下所述。
洗净系统150含有一空腔160,晶片45置于其内。在一些实施例,空腔是可密闭式,可使晶片45绝缘于空腔160外的其他污染物。洗净系统150亦可包含一个或多个排气机构170,而与空腔160连接,使得空腔160内的流体(例如:空气,其可能包含污染物颗粒)被排除空腔160。换言之,在排气机构170内施用一负压,以在排气机构170内或附近产生部分真空状态。施用负压于排气机构170,可迫使诸如空气等空腔160内的流体排出于空腔170之外。
洗净系统150更包含一多个喷嘴180、181,用以喷洒如先前图4-图6相关叙述的洗净液100。进而,喷嘴180是设置于晶片45之下,因此可用以喷洒洗净液100于晶片45的背面。喷嘴181则是设置于晶片45的边缘,因此可用以喷洒洗净液100于晶片45边缘之上。
应可理解,虽然此处图示两喷嘴180-181以喷洒洗净液100,洗净系统150可包含其它喷嘴,用以喷洒洗净液100于晶片45之上。例如,在晶片45下方设置超过一个喷嘴,在晶片45不同边缘处设置超过一个喷嘴,以及在晶片45上方设置一个或多个喷嘴,以喷洒洗净液100在晶片45的正面。在实施例,通过喷嘴180-181(或其它类似喷嘴)喷洒的洗净液100,将可清除晶片45上的含金属材料70,例如,洗净晶片45背面或边缘上的含金属材料70。
为增进自晶片45清除含金属材料70,洗净系统150亦包含喷嘴190与191。每个喷嘴均是用以传送一适当涤洗流体(purging fluid)200(例如,空气)至晶片45。在晶片45一边缘设置喷嘴190,而可用以喷洒涤洗流体200至晶片45的边缘上。正压是使用在喷嘴190-191,以确使涤洗流体200可自喷嘴190-191喷出,并接触至晶片45。在一些实施例,施用在喷嘴190-191的正压强度(或绝对值),超过施用在喷嘴180-181的负压强度(或绝对值)。在一些实施例,涤洗流体200包含氮气。而在其它实施例,涤洗流体200包含其它不会与晶片45上膜层反应的适当材料。
涤洗流体200与晶片间的交互作用,可强化包括移除含金属材料70的洗净或移除污染颗粒的效能。就这方面而言,喷嘴190-191是"推进",而排气机构170则是将含金属材料70自晶片45抽离,并排出于空腔160之外。
应可理解,虽然此处图示两喷嘴190-191以递送涤洗流体200,洗净系统150可包含其它喷嘴,用以递送涤洗流体200于晶片45之上。例如,在晶片45下方设置超过一个喷嘴,在晶片45不同边缘处设置超过一个喷嘴,以及在晶片45上方设置一个或多个喷嘴,以递送涤洗流体200在晶片45的正面。在一些实施例,个别涤洗流体喷嘴(例如,喷嘴190-191)邻近于个别洗净液喷嘴(例如,喷嘴180-181)。在实施例,通过喷嘴190-191(或其它类似喷嘴)递送的涤洗流体200,将可清除晶片45上的含金属材料70,例如,清除晶片45背面或边缘上的含金属材料70。
除施用洗净液喷嘴180-181及涤洗流体喷嘴190-191外,洗净系统150亦有机构而可在洗净工艺中,例如在应用洗净液100及/或涤洗流体200时,旋转晶片45。例如,洗净系统150可包含一旋转装置250。旋转装置250可包含一夹具、一托座、及/或一马达。旋转装置是机械性连接晶片45,用以在一顺时钟及/或一逆时钟方式旋转。因此,当旋转装置250旋转时,晶片45亦随之旋转之。
为控制旋转装置250,洗净系统150可包含一控制器300,可通信地与旋转装置250耦合。控制器300可位于洗净系统150其余部分的远端处。换言之,在某些实施例,控制器300可在空腔160之外执行之,而在其它实施例,则可在空腔160之内执行之。控制器300亦可为整组半导体制造系统的一部分,而洗净系统150亦为其一部分。控制器300可包含电子存储器及一个或多个电子处理器,用以执行储存在电子存储器的程序指令,而为一控制旋转装置250旋转的电脑程序。依据电脑程序,控制器300可控制旋转装置(连带控制晶片45)旋转在一特定转速、旋转期间、及/或一旋转方向(例如,顺时钟或逆时钟)。在一些实施例,控制器300可用以设定晶片的一旋转速率在约800RPM至约4500RPM之间,例如在约800RPM至约3500RPM之间。此旋转速率范围可优化增进晶片45上的洗净液100的分布,以及促进诸如含金属材料70的污染材料的移除。
应可理解,晶片45的旋转不需要都在同一方向。除了进行顺时钟方向或逆时钟反向,晶片45在控制器300控制之下,可进行顺时钟旋转与逆时钟旋转的混合。例如,晶片45可先为顺时钟方向旋转X秒后,接着为逆时钟方向Y秒(X与Y可相同或不同),或前开顺序相反为之。作为一种替代方式的混合顺时钟方向与逆时钟方向旋转,可更增强洗净液100与涤洗流体200于晶片45的施用,进而改善含金属材料污染物的移除。
图8依据本公开某些实施例,提供一洗净流程操作的视觉图像。图8显示一典型光刻工艺400。在一些实施例,光刻工艺400是一EUV光刻工艺。光刻工艺400包含一步骤410,将一感光材料涂布在诸如晶片45的晶片之上。感光材料可包含一三层光致抗蚀剂(包含一顶层、一中层及一底层)。可经由一旋转涂布工艺将感光材料涂布。如前所述,为强化光吸受,感光材料包含金属。该金属在光刻工艺后,将被视为污染物而应予移除。
光刻工艺400包含一曝光前烘烤步骤420,用以烘烤晶片(及涂布于其上的感光材料)。光刻工艺400包含一曝光步骤430,用以曝射晶片(及涂布于其上的感光材料)。在一些实施例,曝光涉及使用UV光或电子束,以曝射晶片45。光刻工艺400包含一曝光后烘烤步骤440,用以烘烤晶片(及涂布于其上的感光材料)。光刻工艺包含一显影步骤450,经由施用一显影溶液于晶片上,以显影晶片。应可理解,光刻工艺400可包含额外工艺,并不限于此处所述或所特定者。
依据图8所示的方法流程图,在进行步骤410及450时,使用如图7相关叙述的洗净系统150,洗净晶片45。换言之,当含金属的感光材料施用于晶片45时,使用洗净系统150洗净晶片45。此外,当显影溶液施用于晶片45以显影已曝光的感光材料时,亦使用洗净系统150洗净晶片45。然而,应可理解,依据此方法流程,晶片45并不需要洗净两次。例如,晶片45可在步骤410时洗净,或替代地,在步骤450时洗净,但不需要在步骤410与450均进行洗净。
图9依据本公开某些实施例,提供另一洗净流程操作的视觉图像。为符合一致与说明清楚,图8-图9类似元件为相同标示。相对于图8所示的方法流程图,如图9所示的方法流程图,则是在步骤410、420、440与450之后,进行晶片洗净,而非在进行步骤410与450之时。换言之,在含金属感光材料已涂布在晶片之上之后、及/或在曝光前烘烤工艺之后、及/或在曝光后烘烤工艺后、及/或在显影工艺之后,洗净晶片45。再次,应可理解,在此方法流程中,晶片45并不需要洗净四次。例如,在步骤410、420、440与450、或其等组合的任一步骤之后,使用洗净系统150洗净晶片45。
应可理解,虽然前述集中在洗净晶片上源自于一含金属EUV光致抗蚀剂的一金属污染物的移除,此处所述的系统与方法并不受此等特定内容的限制。当在其它半导体制造中,金属被视为一种污染物而需要移除时,本公开实施例的系统与方法亦可使用之。
此外,亦应可理解,在一些实施例中,亦可选择性地操作以高接触角(high-contact-angle)材料冲洗晶片的一额外步骤。图10显示依据本公开实施例的数个不同方法流程。请参考图10,一流程A包含一步骤510,对诸如前述晶片45的晶片进行一高接触角材料冲洗工艺。此冲洗亦可施用于晶片的背面或边缘。高接触角材料可包含一具有接触角大于水的75度的材料。在以高接触角材料处理晶片后,晶片表面将变成疏水性。由于此是在光致抗蚀剂层涂布在晶片表面之前完成,因此后续晶片洗净将较为容易。换言之,晶片表面的疏水性(由于在步骤510施用高接触角材料),使得在光致抗蚀剂内的含金属材料更容易自晶片中移除。
仍请参考图10。在以高接触角材料冲洗步骤510之后,进行步骤520。在步骤520,提供一金属膜。此金属膜可为先前所讨论的在光致抗蚀剂内的含金属材料。因此,步骤520涉及涂布一含金属光致抗蚀剂材料于晶片表面之上。
在步骤520之后,进行步骤530。步骤530涉及一新溶剂冲洗工艺。此新溶剂可为前述洗净液100的例示,例如包含一汉斯溶解度参数在特定范围的溶剂。再次,步骤530可将含金属材料冲离晶片,以减低污染风险。
流程B与C类似流程A。在流程B与C中,进行另一步骤540,对晶片进行一工业用溶剂冲洗工艺。在一些实施例,工业用溶剂可包含工业上在一光刻工艺广泛使用的其他溶剂。流程B与C的差异,在于流程B是在步骤530之后,进行步骤540(工业用溶剂冲洗),而流程C则是在步骤530之前,进行步骤540。
无论个别流程为何,应可理解此选择性的以高接触角材料冲洗晶片的步骤540,可增进洗净工艺,已如前述。在一些实施例,高接触角材料包含一碳氟化物(carbon fluoridecompound),例如一CxFy单元。在各式实施例中,x是介于1至7之间,而y则是依结构而予以饱合的数量。一些实施例包含-CF3、-C2F5、-C3F7、-C4F9、-C5F11或–C6F13。碳氟化物得以添加物的方式加入一溶剂。在一些实施例,碳氟化物为一聚合物。在一些实施例,碳氟化物具有分链,在另一实施例则无。在一些实施例,碳氟化物亦可为环状。在一些实施例,碳氟化物可使用氢原子或氟原子以饱合之。
在碳氟化物为聚合物的实施例中,聚合物链可包含聚苯乙烯、丙烯酸酯、或由1至10个碳单元所形成的一聚合物。聚合物单元可为一CxFy。在一些实施例,高接触角材料包含六甲基二硅氮(hexamethyldisilazane)、一N,N-二甲基三甲基硅胺(N,N-Dimethyltrimethylsilylamine)化合物或其等组合。例如,高接触角材料可包含1-25%六甲基二硅氮或一N,N-二甲基三甲基硅胺化合物。
图11显示一些典型碳氟化物的化学式。当然,应可理由,所列化学式仅是举例,并不因此而受限。其它适当的碳氟化物亦可在替代实施例中使用。
图12是显示依据本公开实施例的一半导体工艺的操作方法600。
方法600包含一步骤610,在一基材上形成一图案化膜层。在一些实施例,基材是导电体或半导电体。其电阻可小于约103欧姆-米。在一些实施例,基材包含金属、金属合金、或化学式为MXa的金属氮化物/硫化物/硒化物/氧化物/硅化物,其中M为金属,X为氮、硫、硒、氧、硅,而a则介于0.4至2.5之间。例如,基材可包含钛、铝、钴、钌、氮化钛、二氮化钨、或氮化钽。在一些其它实施例,基材包含介电常数介于约1至约40之间的一介电材料。在一些其它实施例,基材包含化学式为MXb的硅、金属氧化物或氮化物,其中M是一金属或硅,X是氮或氧,b则介于约0.4至2.5之间。例如,基材可包含SiO2、氮化硅、氧化铝、氧化铪或氧化镧。
形成在基材上的图案化层具有与光致抗蚀剂不同的光学性质。例如,图案化层与光致抗蚀剂有不同的n、k、或T数值。在一些实施例,图案化层包含至少有一不同的聚合物结构、酸不稳定分子、PAG、抑制剂、色团、交联剂、或溶剂,以使其n数值不同于光致抗蚀剂。在一些实施例,图案化层与光致抗蚀剂有不同蚀刻阻抗性。在某些实施例,图案化层包含一蚀刻阻抗分子。该分子包含低大西数(onishi number)结构、双键结、叁键结、硅、氮化硅、钛、氮化钛、铝、氧化铝、氮氧化硅或其组合。
步骤600包含一步骤620,在图案化层上涂布一光致抗蚀剂层。光致抗蚀剂层包含一含金属材料。
步骤600包含一步骤630,对光致抗蚀剂层进行光刻工艺,以形成图案化光致抗蚀剂。在一些实施例,光刻工艺包含一EUV光刻工艺。
步骤600包含一步骤640,以一洗净液洗净晶片,以移除含金属材料。在一些实施例,洗净液包含一溶剂,该溶剂的汉森溶解度参数的delta D是在13至25之间,delta P是在3至25之间,及delta H则是在4至30之间。在一些其它实施例中,其溶剂的汉森溶解度参数的delta D是在15至22之间,delta P是在3.5至17之间,及delta H则是在5至16之间。在一些实施例,此溶剂是一水性溶剂。在一些实施例,此溶剂是一有机溶剂。在一些实施例,此溶剂包含一pka小于4的酸,例如,介于-11至4之间。在一些实施例,此溶剂包含一pka大于9的碱,例如,介于9至40之间。在一些实施例,此溶剂包含一金属钳合物。在一些实施例,此溶剂包含一界面活性剂。在一些实施例,此溶剂可加热至室温至摄氏75度之间。在一些实施例,此洗净晶片包含当晶片正洗净时,旋转该晶片。
在一些实施例,使用洗净液洗净晶片时,至少应针对一晶片背部或一边缘为之。在一些实施例,洗净晶片时,包含递送一涤洗流体至晶片,且至少应递送至一晶片背部或一边缘。在一些实施例,光刻工艺应进行:一光致抗蚀剂涂布工艺、一曝光前烘烤工艺、一曝光工艺、一曝光后烘烤工艺、及一显影工艺。可在光致抗蚀剂涂布工艺或显影工艺进行中,进行晶片洗净。亦可在光致抗蚀剂涂布工艺之后、或在曝光前烘烤工艺之后、或在曝光后烘烤工艺之后、或在显影工艺之后,进行晶片洗净。
应可理由,可在步骤610-640之前、或进行中、或之后,进行额外工艺。为简化起见,这些额外工艺不予此处叙述。
综上所述,相对于传统方法,此处所公开者提供相当优点。然而,应可理由,其它实施例亦可提供其它优点,而无须在此处公开所有优点。其中一优点是所公开的光致抗蚀剂材料改善光刻工艺,例如由于在光致抗蚀剂中存有金属或金属化合物,故有较佳光吸收表现。另一优点是所公开的洗净晶片系统与方法(亦即,使用所公开的新溶剂作为一洗净液,以洗净晶片上的含金属材料),减少光刻设备与其它半导体制造设备间因晶片移转而生的含金属材料的污染。至少基于如上理由,本公开实施例改善半导体工艺的操作。
本公开实施例之一,是关于一制造半导体元件的一方法。一光致抗蚀剂层涂布在一晶片之上。光致抗蚀剂层包含一含金属材料。对光致抗蚀剂层进行一光刻工艺,以形成一图案化光致抗蚀剂。以洗净液洗净晶片,以移除含金属材料。
本公开实施例之一,是关于一制造半导体元件的一方法。一光致抗蚀剂层是涂布在一晶片之上。光致抗蚀剂层包含一含金属材料。对光致抗蚀剂层进行一EUV光刻工艺,以形成一图案化光致抗蚀剂。以洗净液洗净晶片,以移除含金属材料。洗净液包含一溶剂,该溶剂的汉森溶解度参数的delta D是在13至25之间,delta P是在3至25之间,及delta H则是在4至30之间。溶剂是包含一pka小于4的酸,或是一pka大于9的碱。
另一本公开实施例是关于一种制造半导体元件的方法。该方法包含:以一溶剂冲洗一晶片,其中,该晶片因以该溶剂冲洗致疏水性增加;在以溶剂冲洗晶片后,形成一含金属材料在该晶片之上;进行一次或多次光刻工艺,该光刻工艺至少部分使用此含金属材料;以及,在进行一次或多次光刻工艺过程中或之后,移除该含金属材料,其中,晶片疏水性的增加,会促进含金属材料的移除。在一些实施例,此冲洗包含设置一溶剂对水的接触角。在一些实施例,接触角的设置包含:以一添加物作为溶剂的部分,该添加物对于水的接触角高于75度。在一些实施例,此冲洗包含施用一碳氟化物材料作为溶剂的部分。在一些实施例,碳氟化物材料具有如下化学式:
Figure GDA0002972456800000211
在一些实施例,碳氟化物材料具有如下化学式:
Figure GDA0002972456800000212
在一些实施例,碳氟化物材料具有如下化学式:
Figure GDA0002972456800000213
在一些实施例,碳氟化物材料具有如下化学式:
Figure GDA0002972456800000214
在一些实施例,碳氟化物材料具有如下化学式:
Figure GDA0002972456800000215
在一些实施例,碳氟化物材料具有如下化学式:
Figure GDA0002972456800000216
在一些实施例,含金属材料的形成,包含形成一光致抗蚀剂,以作为该含金属材料。在一些实施例,光致抗蚀剂的形成包含涂布一EUV光致抗蚀剂;及进行一次或多次光刻工艺,而该光刻工艺使用一次或多次EUV光刻工艺。在一些实施例,EUV光致抗蚀剂的涂布包含涂布EUV光致抗蚀剂,该EUV光致抗蚀剂包含铯、钡、镧、铟、铈、银或锡。在一些实施例,含金属材料的移除,至少部分使用一洗净液。该洗净液的汉森溶解度参数的delta D是在13至25之间,delta P是在3至25之间,及delta H则是在4至30之间。
另一本公开实施例是关于一种制造半导体元件的方法。该方法包含:施用一含有碳氟化物的溶剂于一晶片,其中此溶剂的施用将增加晶片的疏水性;在施用溶剂后,形成一含金属光致抗蚀剂层在晶片之上;使用EUV光刻工艺图案化含金属光致抗蚀剂层;以及,移除含金属光致抗蚀剂层。在一些实施例,碳氟化物对水的接触角高于75度。在一些实施例,碳氟化物材料是具有选自如下群组的一化学式:
Figure GDA0002972456800000221
在一些实施例,含金属光致抗蚀剂层的形成,包含涂布一EUV光致抗蚀剂,该EUV光致抗蚀剂包含:铯、钡、镧、铟、铈、银或锡。在一些实施例,含金属光致抗蚀剂层的移除,至少部分使用一洗净液。该洗净液的汉森溶解度参数的delta D是在13至25之间,delta P是在3至25之间,及delta H则是在4至30之间。
另一本公开实施例是关于一种制造半导体元件的方法。该方法包含:通过施用一第一溶剂以增加晶片疏水性;在施用第一溶剂后,涂布一光致抗蚀剂层在晶片之上,其中,该光致抗蚀剂层是用于EUV光刻工艺,并包含:铯、钡、镧、铟、铈、银或锡;使用EUV光刻工艺以图案化光致抗蚀剂层;以及,在EUV光刻工艺至少部分进行后,以一第二溶剂洗净晶片,其中,晶片疏水性的增加,会促进晶片的洗净,而该第二溶剂的汉森溶解度参数的delta D是在13至25之间,delta P是在3至25之间,及delta H则是在4至30之间。
上述各实施例的内容是为了详细说明本公开,然而,该等实施例是仅用于说明,并非意欲限制本公开。本领域技术人员可理解,本公开的公开内容可作为基础而用以设计或修饰其他工艺及结构,以达成相同目的及/或达成相同优点。本领域技术人员亦可理解,在不悖离后附权利要求所界定的范畴下针对本公开所进行的各种变化、替换或修改是落入本公开的一部分。

Claims (37)

1.一种制造一半导体元件的方法,包括:
使用一溶剂以冲洗一晶片,该溶剂含有一碳氟化物材料,其中,该晶片因该溶剂冲洗致增加疏水性;
在以该溶剂冲洗该晶片后,形成一含金属材料在该晶片之上;
进行一次或多次光刻工艺,且至少部分使用该含金属材料;以及
在进行该一次或多次光刻工艺过程中或之后,移除该含金属材料,其中,该晶片疏水性的增加,会促进含金属材料的移除;
该碳氟化物材料具有如下化学式之一:
Figure FDA0002972456790000011
2.如权利要求1所述的制造一半导体元件的方法,其中,该冲洗包括设置该溶剂对水的接触角。
3.如权利要求2所述的制造一半导体元件的方法,其中,该接触角的设置包括包含一添加物作为该溶剂的部分,该添加物对于水的接触角高于75度。
4.如权利要求1所述的制造一半导体元件的方法,其中,一光致抗蚀剂包含该含金属材料。
5.如权利要求4所述的制造一半导体元件的方法,其中:
该光致抗蚀剂的形成包括涂布一极紫外线光致抗蚀剂;以及
其中该一次或多次光刻工艺的进行包括进行一次或多次极紫外线光刻工艺。
6.如权利要求5所述的制造一半导体元件的方法,其中,该极紫外线光致抗蚀剂的涂布包括涂布该极紫外线光致抗蚀剂,该极紫外线光致抗蚀剂包含:铯、钡、镧、铟、铈、银或锡。
7.如权利要求1所述的制造一半导体元件的方法,其中,进行该含金属材料的移除至少部分使用一洗净液,该洗净液的汉森溶解度参数的delta D在13至25之间,delta P在3至25之间,及delta H在4至30之间。
8.一种制造一半导体元件的方法,包括:
施用一溶剂于一晶片,该溶剂含有碳氟化物,其中,该溶剂的施用增加该晶片的疏水性,且其中该碳氟化物具有选自如下群组的一化学式:
Figure FDA0002972456790000021
在该溶剂的施用后,形成一含金属光致抗蚀剂层在该晶片之上;
使用一极紫外线光刻工艺图案化该含金属光致抗蚀剂层;以及
移除该含金属光致抗蚀剂层。
9.如权利要求8所述的制造一半导体元件的方法,其中,该碳氟化物对水的接触角高于75度。
10.如权利要求8所述的制造一半导体元件的方法,其中,该含金属光致抗蚀剂层的形成包括涂布一极紫外线光致抗蚀剂,该极紫外线光致抗蚀剂包含:铯、钡、镧、铟、铈、银或锡。
11.如权利要求8所述的制造一半导体元件的方法,其中,进行该含金属光致抗蚀剂层的移除至少部分使用一洗净液,该洗净液的汉森溶解度参数的delta D在13至25之间,delta P在3至25之间,及delta H在4至30之间。
12.一种制造一半导体元件的方法,包括:
通过施用一第一溶剂以增加一晶片的疏水性,其中,该第一溶剂含有一碳氟化物材料,该碳氟化物材料具有如下化学式之一:
Figure FDA0002972456790000031
在施用该第一溶剂后,涂布一光致抗蚀剂层在该晶片之上,其中,该光致抗蚀剂层是用于极紫外线光刻,并包含:铯、钡、镧、铟、铈、银或锡;
使用一极紫外线光刻工艺以图案化该光致抗蚀剂层;以及
在该极紫外线光刻工艺至少部分进行后,以一第二溶剂洗净该晶片,其中,该晶片疏水性的增加促进该晶片的洗净,且其中该第二溶剂的汉森溶解度参数的delta D在13至25之间,delta P在3至25之间,及delta H在4至30之间。
13.如权利要求12所述的制造一半导体元件的方法,其中,该第二溶剂包含金属钳合剂。
14.如权利要求12所述的制造一半导体元件的方法,还包括:加热该第二溶剂。
15.一种制造一半导体元件的方法,包括:
一溶剂涂布在一晶片上,其中,该溶剂含有一碳氟化物材料,该碳氟化物材料具有如下化学式之一:
Figure FDA0002972456790000032
一光致抗蚀剂层涂布在一晶片上,其中,该光致抗蚀剂层包含一含金属材料;
对该光致抗蚀剂层进行一光刻工艺,以形成一图案化光致抗蚀剂,其中,该光刻工艺包含进行一极紫外线光刻工艺;以及
在进行该极紫外线光刻工艺之前,以一洗净液洗净该晶片以移除该含金属材料。
16.如权利要求15所述的制造一半导体元件的方法,其中,该洗净液包含一溶剂,该溶剂的汉森溶解度参数delta D在13至25之间、delta P在3至25之间、及delta H在4至30之间。
17.如权利要求16所述的制造一半导体元件的方法,其中,该溶剂是水性溶剂。
18.如权利要求16所述的制造一半导体元件的方法,其中,该溶剂是有机溶剂。
19.如权利要求16所述的制造一半导体元件的方法,其中,该溶剂含有一酸解离常数介于-11至4之间的酸,且其中该溶剂含有一酸解离常数介于9至40之间的碱。
20.如权利要求16所述的制造一半导体元件的方法,其中,该溶剂包含金属钳合物。
21.如权利要求16所述的制造一半导体元件的方法,其中,该溶剂包含界面活性剂。
22.如权利要求15所述的制造一半导体元件的方法,还包括:在该光致抗蚀剂层的涂布之前,施用一溶剂于该晶片,其中该溶剂包含一添加物,该添加物对于水的接触角大于75度。
23.如权利要求15所述的制造一半导体元件的方法,其中,该晶片的洗净包括当该晶片正洗净时,旋转该晶片。
24.如权利要求15所述的制造一半导体元件的方法,其中,该晶片的洗净包括施用该洗净液于该晶片的背部或该晶片的边缘的至少一者。
25.如权利要求15所述的制造一半导体元件的方法,其中,该晶片的洗净包括递送一涤洗流体至该晶片,递送该涤洗流体至该晶片的背部或该晶片的边缘的至少一者。
26.如权利要求15所述的制造一半导体元件的方法,其中:
该光刻工艺的进行还包含进行:一光致抗蚀剂涂布工艺、一曝光前烘烤工艺、一曝光后烘烤工艺、及一显影工艺;以及
在该光致抗蚀剂涂布工艺中,进行该晶片的洗净。
27.如权利要求15所述的制造一半导体元件的方法,其中:
该光刻工艺的进行包含进行:一光致抗蚀剂涂布工艺、一曝光前烘烤工艺、一曝光后烘烤工艺、及一显影工艺;以及
在该光致抗蚀剂涂布工艺之后、或在该曝光前烘烤工艺之后,进行该晶片的洗净。
28.如权利要求15所述的制造一半导体元件的方法,其中在该光致抗蚀剂涂布在该晶片上的过程中,发生以该洗净液洗净该晶片以移除该含金属材料。
29.一种制造一半导体元件的方法,包括:
一第一溶剂涂布在一晶片上,其中,该第一溶剂含有一碳氟化物材料,该碳氟化物材料具有如下化学式之一:
Figure FDA0002972456790000051
一光致抗蚀剂层涂布在该晶片上,其中,该光致抗蚀剂层包含一含金属材料;
对该光致抗蚀剂层进行一极紫外线光刻工艺,以形成一图案化光致抗蚀剂;以及
在进行该极紫外线光刻工艺之前,以一洗净液洗净该晶片,以移除该含金属材料;
其中:
该洗净液包含一第二溶剂,该溶剂的汉森溶解度参数delta D在13至25之间、delta P在3至25之间、及delta H在4至30之间;以及
该第二溶剂含有一酸解离常数小于4的酸或一酸解离常数大于9的碱。
30.一种制造一半导体元件的系统,包括:
一空腔,用以涂布一溶剂和一含金属光致抗蚀剂材料于一晶片上,作为一极紫外线光刻工艺的一部分,其中,该溶剂含有一碳氟化物材料,该碳氟化物材料具有如下化学式之一:
Figure FDA0002972456790000061
一个或多个第一喷嘴,用以施用一洗净液于该晶片,该洗净液具有一材料组成物用以自该晶片移除一金属污染物,该金属污染物源自于该含金属光致抗蚀剂材料;以及
一个或多个第二喷嘴,用以施用一涤洗流体于该晶片,该涤洗流体促使该金属污染物自该晶片移除。
31.如权利要求30所述的制造一半导体元件的系统,其中:
该洗净液包含一溶剂,该溶剂的汉森溶解度参数delta D在13至25之间、delta P在3至25之间、及delta H在4至30之间;以及
该洗净液含有一酸解离常数介于-11至4之间的酸或一酸解离常数介于9至40之间的碱。
32.如权利要求30所述的制造一半导体元件的系统,还包括一机构,当施用该洗净液或该涤洗流体于该晶片时,该机构用以旋转该晶片。
33.如权利要求30所述的制造一半导体元件的系统,还包括一个或多个排气机构,用以将已自该晶片移除的该金属污染物排出于该空腔之外。
34.一种制造一半导体元件的方法,包括:
接收一晶片;
施用一溶剂于该晶片,其中,该溶剂含有一碳氟化物材料,该碳氟化物材料具有如下化学式之一:
Figure FDA0002972456790000071
形成一光致抗蚀剂材料于该晶片的一表面上,其中该光致抗蚀剂材料包含一金属组成物;
进行该光致抗蚀剂材料的一光刻曝光;以及
对该晶片进行一洗净工艺以移除该金属 组成物的一部分,其中该洗净工艺包含施用一洗净溶液于该晶片,该洗净溶液包含极性溶剂,其中在该光致抗蚀剂材料形成于该晶片的该表面上的过程中,发生该洗净工艺。
35.如权利要求34所述的制造一半导体元件的方法,其中该洗净溶液还包含如下群组中的成分:有机酸、有机碱、及金属钳合物。
36.如权利要求34所述的制造一半导体元件的方法,其中该洗净工艺还包含施用一涤洗气于该晶片。
37.如权利要求36所述的制造一半导体元件的方法,其中该洗净工艺还包含施用负压以排除该晶片附近的空气。
CN201710660773.6A 2016-08-05 2017-08-04 制造一半导体元件的方法及其系统 Active CN107689322B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201662371324P 2016-08-05 2016-08-05
US62/371,324 2016-08-05
US15/351,529 2016-11-15
US15/351,529 US10866516B2 (en) 2016-08-05 2016-11-15 Metal-compound-removing solvent and method in lithography
US15/491,066 US10622211B2 (en) 2016-08-05 2017-04-19 Metal-compound-removing solvent and method in lithography
US15/491,066 2017-04-19

Publications (2)

Publication Number Publication Date
CN107689322A CN107689322A (zh) 2018-02-13
CN107689322B true CN107689322B (zh) 2021-07-16

Family

ID=61071841

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710660773.6A Active CN107689322B (zh) 2016-08-05 2017-08-04 制造一半导体元件的方法及其系统

Country Status (3)

Country Link
US (1) US10622211B2 (zh)
CN (1) CN107689322B (zh)
TW (1) TWI709442B (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10866516B2 (en) 2016-08-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
US10416061B2 (en) * 2017-12-08 2019-09-17 Fca Us Llc Blank washer inspection system
US11054742B2 (en) 2018-06-15 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. EUV metallic resist performance enhancement via additives
WO2020195588A1 (ja) * 2019-03-28 2020-10-01 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物、感活性光線性又は感放射線性膜、パターン形成方法、及び電子デバイスの製造方法
US11456170B2 (en) 2019-04-15 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Cleaning solution and method of cleaning wafer
US11990334B2 (en) 2019-07-19 2024-05-21 Tokyo Electron Limited Method for tuning stress transitions of films on a substrate
US11694896B2 (en) * 2019-10-31 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist developer and method of developing photoresist
US11545361B2 (en) 2020-04-29 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for coating photo resist over a substrate
DE102020126216A1 (de) 2020-04-29 2021-11-04 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren und Vorrichtung zur Beschichtung eines Substrats mit Fotoresist
US20210349391A1 (en) * 2020-05-08 2021-11-11 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist under-layer and method of forming photoresist pattern
TWI780715B (zh) * 2020-05-21 2022-10-11 台灣積體電路製造股份有限公司 製造半導體裝置的方法及顯影劑組成物

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040036814A1 (en) * 2002-08-20 2004-02-26 Fuji Xerox Co., Ltd. Photonic crystal, method of producing photonic crystal, and functional element
CN103003923A (zh) * 2010-07-16 2013-03-27 高级技术材料公司 用于移除蚀刻后残余物的水性清洁剂
WO2014030723A1 (en) * 2012-08-20 2014-02-27 Fujifilm Corporation Pattern forming method, electron beam-sensitive or extreme ultraviolet ray-sensitive resin composition, resist film, and method for manufacturing electronic device, and electronic device using the same
TW201538536A (zh) * 2013-12-05 2015-10-16 Tokyo Ohka Kogyo Co Ltd 負型光阻組成物、光阻圖型之形成方法及錯合物
CN105047541A (zh) * 2014-01-31 2015-11-11 朗姆研究公司 结合真空的硬掩模工艺和装置

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4332879A (en) * 1978-12-01 1982-06-01 Hughes Aircraft Company Process for depositing a film of controlled composition using a metallo-organic photoresist
JPH0713345A (ja) * 1993-06-21 1995-01-17 Ricoh Co Ltd 電子写真感光体用基体の水きり乾燥方法およびその装置
US7362412B2 (en) 2004-11-18 2008-04-22 International Business Machines Corporation Method and apparatus for cleaning a semiconductor substrate in an immersion lithography system
US7986395B2 (en) 2005-10-24 2011-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion lithography apparatus and methods
US8518628B2 (en) 2006-09-22 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Surface switchable photoresist
US8580117B2 (en) 2007-03-20 2013-11-12 Taiwan Semiconductor Manufactuing Company, Ltd. System and method for replacing resist filter to reduce resist filter-induced wafer defects
US8216767B2 (en) 2009-09-08 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process and chemical amplified photoresist with a photodegradable base
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
US8323870B2 (en) 2010-11-01 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method and photoresist with zipper mechanism
US8647796B2 (en) 2011-07-27 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Photoactive compound gradient photoresist
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
US8715890B2 (en) 2012-01-31 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor mask blanks with a compatible stop layer
US8709682B2 (en) 2012-02-08 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Mask and method for forming the mask
US8628897B1 (en) 2012-07-05 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8741551B2 (en) 2012-04-09 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and composition of a dual sensitive resist
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US8722286B2 (en) 2012-05-31 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Devices and methods for improved reflective electron beam lithography
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9851636B2 (en) 2012-07-05 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Materials and methods for improved photoresist performance
US20140017615A1 (en) 2012-07-11 2014-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for resist coating and developing
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US8679707B2 (en) 2012-08-01 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a lithography mask
US8765330B2 (en) 2012-08-01 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Phase shift mask for extreme ultraviolet lithography and method of fabricating same
US8828625B2 (en) 2012-08-06 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same
US8785084B2 (en) 2012-09-04 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for mask fabrication and repair
US8765582B2 (en) 2012-09-04 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for extreme ultraviolet electrostatic chuck with reduced clamp effect
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US8906595B2 (en) 2012-11-01 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving resist pattern peeling
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US8753788B1 (en) 2013-01-02 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus of repairing a mask and a method for the same
US8936903B2 (en) 2013-03-09 2015-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Photo-resist with floating acid
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US8932799B2 (en) 2013-03-12 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040036814A1 (en) * 2002-08-20 2004-02-26 Fuji Xerox Co., Ltd. Photonic crystal, method of producing photonic crystal, and functional element
CN103003923A (zh) * 2010-07-16 2013-03-27 高级技术材料公司 用于移除蚀刻后残余物的水性清洁剂
WO2014030723A1 (en) * 2012-08-20 2014-02-27 Fujifilm Corporation Pattern forming method, electron beam-sensitive or extreme ultraviolet ray-sensitive resin composition, resist film, and method for manufacturing electronic device, and electronic device using the same
TW201538536A (zh) * 2013-12-05 2015-10-16 Tokyo Ohka Kogyo Co Ltd 負型光阻組成物、光阻圖型之形成方法及錯合物
CN105047541A (zh) * 2014-01-31 2015-11-11 朗姆研究公司 结合真空的硬掩模工艺和装置

Also Published As

Publication number Publication date
TWI709442B (zh) 2020-11-11
CN107689322A (zh) 2018-02-13
US20180040474A1 (en) 2018-02-08
TW201815487A (zh) 2018-05-01
US10622211B2 (en) 2020-04-14

Similar Documents

Publication Publication Date Title
CN107689322B (zh) 制造一半导体元件的方法及其系统
US11681226B2 (en) Metal-compound-removing solvent and method in lithography
TWI798185B (zh) 微影圖案化的方法
JP4709698B2 (ja) 半導体ウェハの処理方法,半導体ウェハ,液浸リソグラフィの実施方法,および液浸リソグラフィ処理と共に使用するエッジビード除去装置
KR101983945B1 (ko) 리소그래피를 위한 새로운 현상제
US20060194155A1 (en) Resist pattern forming method and semiconductor device manufacturing method
CN109427553B (zh) 保护晶圆免受斜面污染的半导体方法
CN106325002A (zh) 光刻技术显影成分及用于光刻技术图案化的方法
KR20200092922A (ko) Euv 리소그래피에서의 습도 제어
US20180335697A1 (en) Blocking Layer Material Composition and Methods Thereof in Semiconductor Manufacturing
TWI773980B (zh) 清潔溶液、清潔半導體基板的方法以及製造半導體裝置的方法
US20210341844A1 (en) Lithography method for positive tone development
US9589785B2 (en) Cleaning method and composition in photolithography
TW202012609A (zh) 微影的方法、積體電路的製造方法及清洗溶液
JP2006317774A (ja) パターン形成方法
JP6328630B2 (ja) フォトレジスト現像用組成物、組成物の使用方法並びに集積回路装置、光学装置、マイクロマシン及び機械精密装置の製造方法
TWI761987B (zh) 負光阻及其顯影的方法
US12019370B2 (en) Method and system for manufacturing a semiconductor device
JP2008218830A (ja) レジストパターンの形成方法および半導体装置の製造方法
JP2006196575A (ja) 液浸型露光方法によるレジストパターン形成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant