CN105960699B - 非氧化性强酸用于清除离子注入抗蚀剂的用途 - Google Patents

非氧化性强酸用于清除离子注入抗蚀剂的用途 Download PDF

Info

Publication number
CN105960699B
CN105960699B CN201480073682.7A CN201480073682A CN105960699B CN 105960699 B CN105960699 B CN 105960699B CN 201480073682 A CN201480073682 A CN 201480073682A CN 105960699 B CN105960699 B CN 105960699B
Authority
CN
China
Prior art keywords
acid
composition
resist
ammonium
fluoride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201480073682.7A
Other languages
English (en)
Other versions
CN105960699A (zh
Inventor
斯蒂芬·比洛德奥
埃马纽尔·I·库珀
李在锡
金元来
杰弗里·A·巴尼斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ATMI KOREA Co.,Ltd.
Entegris Inc
Original Assignee
Griess South Korea Co Ltd
Entegris Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Griess South Korea Co Ltd, Entegris Inc filed Critical Griess South Korea Co Ltd
Publication of CN105960699A publication Critical patent/CN105960699A/zh
Application granted granted Critical
Publication of CN105960699B publication Critical patent/CN105960699B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Detergent Compositions (AREA)
  • Weting (AREA)

Abstract

本发明开发了从微电子器件除去本体和/或离子注入抗蚀剂材料的方法和组合物。所述组合物有效除去所述离子注入抗蚀剂材料,同时不损伤含硅或含锗材料。

Description

非氧化性强酸用于清除离子注入抗蚀剂的用途
相关申请的交互参考
本申请要求以下专利申请的优先权:以Steven Bilodeau等的名义在2013年12月20日提交的美国临时专利申请No.61/919,177,题为“非氧化性强酸用于清除离子注入抗蚀剂的用途(Use of Non-Oxidizing Strong Acids for the Removal of Ion-ImplantedResist)”;以Steven Bilodeau等的名义在2014年9月5日提交的美国临时专利申请No.62/046,495,题为“非氧化性强酸用于清除离子注入抗蚀剂的用途(Use of Non-OxidizingStrong Acids for the Removal of Ion-Implanted Resist)”;和以Steven Bilodeau等的名义在2014年9月4日提交的美国临时专利申请No.62/045,946,题为“利用与锗相容的组合物剥离离子注入抗蚀剂(Stripping of Ion-Implanted Resist Using Compositionsthat are Compatible with Germanium)”,它们每个都以其全文通过引用结合到本文中。
技术领域
本发明总体上涉及从包含抗蚀剂、特别是离子注入抗蚀剂的微电子器件除去所述抗蚀剂的组合物和方法。所公开的组合物和方法相对于含锗材料选择性地除去所述抗蚀剂。
背景技术
抗蚀剂,包括光致抗蚀剂,是在半导体器件制造期间用于在衬底(例如半导体晶片)上形成图案化层的辐射敏感(例如光辐射敏感)材料。在一部分抗蚀剂涂层衬底对辐射曝光后,所述抗蚀剂的曝光部分(对正性抗蚀剂而言)、或所述抗蚀剂的未曝光部分(对负性抗蚀剂而言)被除去而露出所述衬底的下伏表面,留下所述衬底的其余表面被抗蚀剂涂层和保护。抗蚀剂更通常可以称为掩蔽材料。在所述衬底的未覆盖表面和所述剩余抗蚀剂上可以进行其他制造过程,例如离子注入、蚀刻或沉积。进行所述其他制造过程后,在剥离操作中除去所述剩余抗蚀剂。
在离子注入中,掺杂离子(例如,硼、二氟化硼、砷、铟、镓、磷、锗、锑、氙或铋的离子)朝着准备注入的衬底加速。所述离子注入所述衬底的曝光区以及所述剩余抗蚀剂中。离子注入可以用于,例如,在所述衬底中形成注入区,例如晶体管的沟道区和源漏极区。离子注入还可以用于形成轻度掺杂的漏极区和双扩散漏极区。然而,在所述抗蚀剂中注入的高剂量离子可以从所述抗蚀剂的表面消耗氢,导致所述抗蚀剂形成外层或外壳,所述外层或外壳可以是比下伏的抗蚀剂层部分(即,所述抗蚀剂层的本体部分)硬的碳化层。所述外层和所述本体部分具有不同的热膨胀速率并以不同的速率对剥离过程作出反应。
抗蚀剂剥离的一个重要方面涉及可由抗蚀剂剥离产生的对衬底的损伤、或不利地除去所述衬底的一部分。这种损伤是不利的,因为它可以导致在所述衬底内或上形成的结构和器件(例如,在半导体晶片内或上形成的晶体管或其他电子器件)不能发挥功能或功能差。所述损伤可以包括溶出(蚀刻)、转变成不同的固相例如氧化物、或二者的组合。例如,除去注入抗蚀剂的典型的方法是在气相(氧化性等离子体)或在液相(例如硫酸+过氧化物或“SPM”)中利用强氧化性介质。这些方法对含锗衬底不好用,因为与硅相比,含锗衬底在动力学上对氧化更敏感,部分因为氧化锗更可溶并且是差的表面保护者(与SiO2不同)。
因此,需要新的组合物和方法,其有效并且有效率地除去离子注入抗蚀剂、不利用强氧化剂、快得足以用于单晶片制程设备(single wafer tools)、引起的锗损失最少、和留下至少在整个典型的微芯片生产排队时间中对氧化稳定的光滑锗表面。另外,对其他衬底例如硅、氧化硅和氮化硅的高选择性是理想的。
附图说明
图1示出了在利用制剂A-J清除抗蚀剂之后的RMS粗糙度。
图2A和2B示出了利用DMSO在20℃清除抗蚀剂10分钟之后的试件。图2A显示了清除之后的横截面分析和图2B显示了清除之后的AFM扫描。
图3A和3B示出了利用制剂H在20℃清除抗蚀剂10分钟之后的试件。图3A显示了清除之后的横截面分析和图3B显示了清除之后的AFM扫描。
图4A和4B示出了利用制剂H在60℃清除抗蚀剂10分钟之后的试件。图4A显示了清除之后的横截面分析和图4B显示了清除之后的AFM扫描。
图5示出了SiO2、SiNx和Ge在25℃和60℃下在制剂H中浸泡之后它们的蚀刻速率。
图6A包括在室温下在制剂N中浸泡10分钟之后,在位点1处的AFM显微照片。图6B包括在室温下在制剂N中浸泡10分钟之后,在位点2处的AFM显微照片。
图7A包括在室温下在制剂U中浸泡10分钟之后,在位点1处的AFM显微照片。图7B包括在室温下在制剂U中浸泡10分钟之后,在位点2处的AFM显微照片。
图8包括在室温下在制剂AA中浸泡2分钟之后,在位点1和位点2处的AFM显微照片以及平均分布图(average profile)。
图9包括在室温下在制剂AA中浸泡10分钟之后,在位点1和位点2处的AFM显微照片以及平均分布图。
图10包括在室温下在制剂BB中浸泡2.5分钟之后,在位点1和位点2处的AFM显微照片以及平均分布图。
图11包括在室温下在制剂CC中浸泡2.5分钟之后,在位点1和位点2处的AFM显微照片以及平均分布图。
图12包括在室温下在制剂DD中浸泡2.5分钟之后,在位点1和位点2处的AFM显微照片以及平均分布图。
图13包括在室温下在制剂EE中浸泡1分钟、接着水冲洗30秒、吹干、和用所述组合物在室温下第二次浸泡1分钟之后,在位点1和位点2处的AFM显微照片以及平均分布图。
发明内容
本发明总体上涉及从包含抗蚀剂、特别是离子注入抗蚀剂的微电子器件清除所述抗蚀剂的组合物和方法。所公开的组合物和方法有效除去所述抗蚀剂,同时基本上不损伤在所述装置表面上存在的其他材料,例如含硅材料和含锗材料。
在一个方面,描述了从其上具有抗蚀剂的微电子器件表面除去所述抗蚀剂的方法,所述方法包括在从所述微电子器件表面基本上除去所述抗蚀剂所必需的条件下将组合物与所述微电子器件表面接触,其中所述组合物包含至少一种非氧化性酸和至少一种氟化物和/或溴化物,条件是所述组合物基本上没有氧化剂。
在另一个方面,描述了从其上具有抗蚀剂的微电子器件表面除去所述抗蚀剂的方法,所述方法包括在从所述微电子器件表面基本上除去所述抗蚀剂所必需的条件下将组合物与所述微电子器件表面接触,其中所述组合物包含含硫的酸、HCl和任选的至少一种氟化物和/或溴化物,其中HCl利用作为溶解气体添加的HCl(氯化氢)或产HCl的化合物产生。
在又一个方面,描述了从包含抗蚀剂、特别是离子注入抗蚀剂的微电子器件剥离所述抗蚀剂的组合物,所述组合物包含至少一种非氧化性酸、氟化物和/或溴化物中的至少一种、和降低所述组合物熔点的至少一种化合物,条件是所述组合物基本上没有氧化剂。
在再一个方面,描述了从包含抗蚀剂、特别是离子注入抗蚀剂的微电子器件剥离所述抗蚀剂的组合物,所述组合物包含至少一种非氧化性酸、氟化物和/或溴化物中的至少一种、和降低所述组合物熔点的至少一种化合物,条件是所述组合物基本上没有氧化剂。
本发明的其它方面、特征和优点将从接下来的公开内容和所附的权利要求书中更充分地显而易见。
具体实施方式
本发明总体上涉及从包含抗蚀剂、特别是离子注入抗蚀剂的微电子器件清除所述抗蚀剂的组合物和方法。所公开的组合物和方法有效除去所述抗蚀剂,同时基本上不损伤在所述装置表面上存在的其他材料,例如含硅材料和含锗材料。
为了易于参考,“微电子器件”对应于半导体衬底、平板显示器、相变存储器件、太阳能板和为用于微电子、集成电路、能量收集或计算机芯片应用而制造的其他产品包括太阳能电池器件、光伏器件和微型机电系统(MEMS)。要理解,术语“微电子器件”、“微电子衬底”和“微电子器件材料”不以任何方式意味着限制并且包括最终将变成微电子器件或微电子组件的任何衬底或结构。
“离子注入”是可将掺杂材料的离子注入通常是固体的靶材中的工艺。离子注入用于半导体器件制造中,例如集成电路和硅半导体器件制造中。所注入的离子由于所述离子是与靶不同的元素,可以在所述靶中引入或引起化学变化,和/或因为靶可以通过离子注入而被改性、损伤或甚至破坏,可以引入或引起结构变化。只作为举例,在半导体制造中通常用作注入种类的元素包括硼、二氟化硼、砷、铟、镓、锗、铋、氙、磷和锑。硼在硅中是p-型掺杂剂,因为它在硅中供给或产生“空穴”(即,电子空位)。砷在硅中是n-型掺杂剂,因为它在硅中供给或产生额外的电子。在本征硅中注入的掺杂剂,例如硼和砷,可以导致本征硅变得像半导体一样导电。一种或多种掺杂材料可以注入靶材中。离子注入通常以剂量和能量为特征。剂量是每靶材面积注入的离子数量。能量是注入的离子的能量。更先进的半导体加工或制造技术通常使用比老技术更高的剂量和/或更高的能量。
包括“光致抗蚀剂”在内的“抗蚀剂”是用于在表面、例如衬底或靶的表面上形成图案化涂层的辐射敏感材料。抗蚀剂用在半导体器件、例如集成电路和硅半导体器件的制造中。抗蚀剂在半导体器件制造中的一种用途是作为将掺杂剂选择性离子注入到半导体衬底中的掩模。抗蚀剂层施加于所述半导体衬底的表面,或施加于所述衬底上或内的层、例如半导体层上方的绝缘体层的表面。所述抗蚀剂的一部分暴露于辐射,这部分抗蚀剂相当于准备注入的半导体区域(正性抗蚀剂)或不准备注入的半导体区域(负性抗蚀剂)。所述抗蚀剂然后暴露于显影剂,其帮助除去一部分所述抗蚀剂,使得只留下想要的抗蚀剂部分。“正性抗蚀剂”是一种抗蚀剂类型,其中暴露于辐射的所述抗蚀剂部分变得可溶于抗蚀剂显影剂并被其除去。未暴露的所述抗蚀剂部分保持不溶于所述抗蚀剂显影剂并且不被其除去。“负性抗蚀剂”是一种抗蚀剂类型,其中暴露于辐射的所述抗蚀剂部分变得不溶于抗蚀剂显影剂并且不被其除去。未暴露于辐射的所述抗蚀剂部分保持可溶于所述抗蚀剂显影剂并被其除去。所述抗蚀剂的可溶部分被所述抗蚀剂显影剂溶解。离子注入在所述抗蚀剂通过暴露于辐射并被显影剂显影而图案化之后发生。所述抗蚀剂的剩余部分阻挡所注入的离子到达所述抗蚀剂下面的半导体或其他材料。被抗蚀剂阻挡的离子注入所述抗蚀剂中而不是下伏衬底中。未被抗蚀剂覆盖的半导体部分被离子注入。
因为比较高剂量和/或高能量的所述注入离子被抗蚀剂阻挡,所述抗蚀剂在所述离子冲击并被吸收的所述抗蚀剂的外部分或外侧上形成外壳或硬壳。所述抗蚀剂硬化可以源于,或被称为,碳化、聚合或聚合物交联。特别是,渗入所述抗蚀剂的外区中的离子可以导致所述抗蚀剂的外区(例如所述抗蚀剂的顶面和侧面)变成外壳,和靠近所述外区的所述抗蚀剂的内区中的化学键变得交联。已知所述外壳在抗蚀剂剥离过程期间难以除去(例如,所述外壳在一些已知用于剥离的溶剂中是不溶的)。因为所述离子只渗透到所述抗蚀剂材料中有限的距离,所以所述外壳主要在所述抗蚀剂的外部分上形成。因为所述抗蚀剂的底部被所述注入的材料或衬底覆盖,所述外壳可以在所述抗蚀剂的顶和侧表面上形成,而不是在所述抗蚀剂的底部分上或内部分中形成。对典型的抗蚀剂而言,顶部外壳比侧面外壳厚,因为所述离子通常主要以向下的入射方向注入。所述抗蚀剂外壳的厚度取决于所述注入离子的剂量和离子注入能量。所述外壳内部或底下的抗蚀剂材料,亦即通常不受所述离子影响的抗蚀剂部分,被称为本体抗蚀剂或本体抗蚀剂材料。所述抗蚀剂的硬化或结壳,例如,致使所述抗蚀剂的外部分不溶于、或更少溶于水或一些其他水溶液中(虽然,不一定是所有的其他水溶液或所有的有机溶剂中)。
“硅”可以定义为包括Si、多晶Si和单晶Si,以及其他含硅材料例如氧化硅、热氧化物、SiOH和SiCOH。硅包含在可以用作例如电子器件例如FET和集成电路的衬底或部分衬底的绝缘体上硅(SOI)晶片内。其他类型的晶片也可以包含硅。
在本文中使用时,“含硅材料”相当于硅;氧化硅,包括栅极氧化物(例如,热或化学生长的SiO2)和TEOS;氮化硅;和低k电介质材料。如本文中所定义,“低k电介质材料”相当于在分层微电子器件中用作电介质材料的任何材料,其中所述材料具有小于约3.5的介电常数。优选地,所述低k电介质材料包括低极性材料,例如含硅有机聚合物、含硅杂化有机/无机材料、有机硅酸盐玻璃(OSG)、TEOS、氟化硅酸盐玻璃(FSG)、二氧化硅、和碳掺杂的氧化物(CDO)玻璃。要领会,所述低k电介质材料可以具有变化的密度和变化的孔隙率。
如本文中所定义,“基本上没有”相当于基于所述组合物的总重量少于所述组合物的约2重量%,更优选少于1重量%,和最优选少于0.1重量%。“没有”相当于所述组合物的0重量%。
在本文中使用时,“氟化物”物质相当于包含氟离子(F-)或可水解的共价键合的氟的物质。要领会,所述氟化物物质可以作为氟化物物质包含在内或当场产生。
如本文中所定义,“含锗材料”可以是本体锗晶片;绝缘体上锗(GOI)晶片,在这种情况下所述层是在衬底顶部的电介质层上形成的锗层;衬底上锗层;Ge-Sn合金;或SiGe材料,不管Si:Ge的百分比如何。所述含锗材料可以是至少部分延伸过所述衬底的连续层或可以分成分离的区域。
如本文中所定义,“非氧化性酸”相当于标准氧化/还原电位对比标准氢电极小于约+0.25V的酸。非氧化性酸的实例包括硫酸、磷酸和膦酸,以及大多数有机(例如,羧)酸,而不是硝酸或任何“卤酸盐”酸(即,卤素+氧例如碘酸盐、高氯酸盐、次氯酸盐等)。
如本文中所定义,“氧化剂”包括但不限于,过氧化氢、FeCl3、FeF3、Fe(NO3)3、Sr(NO3)2、CoF3、MnF3、过硫酸氢钾制剂(2KHSO5·KHSO4·K2SO4)、高碘酸、碘酸、氧化钒(V)、氧化钒(IV,V)、钒酸铵、过氧单硫酸铵、亚氯酸铵、氯酸铵、碘酸铵、硝酸铵、过硼酸铵、高氯酸铵、高碘酸铵、过硫酸铵、次氯酸铵、次溴酸铵、钨酸铵、过硫酸钠、次氯酸钠、过硼酸钠、次溴酸钠、碘酸钾、高锰酸钾、过硫酸钾、硝酸、过硫酸钾、次氯酸钾、四甲基亚氯酸铵、四甲基氯酸铵、四甲基碘酸铵、四甲基过硼酸铵、四甲基高氯酸铵、四甲基高碘酸铵、四甲基过硫酸铵、四丁基过氧单硫酸铵、过氧单硫酸、硝酸铁、过氧化氢脲、过乙酸、1,4-苯醌、甲苯醌、二甲基-1,4-苯醌、二氯-1,4-苯醌、氯醌、阿脲、N-甲基吗啉N-氧化物、三甲胺N-氧化物、硝酸铈铵、硝酸高铈、硫酸铈铵、硫酸高铈、硫酸氢高铈、高氯酸高铈、甲磺酸高铈、三氟甲磺酸高铈、氯化高铈、氢氧化高铈、羧酸高铈、β-二酮高铈、三氟乙酸高铈和乙酸高铈,及其组合。
抗蚀剂剥离的四个重要方面是:(i)在比较低的温度下剥离;(ii)考虑到可接受的晶片通过量,抗蚀剂剥离的时间比较短;(iii)从微电子器件表面基本上完全清除抗蚀剂;和(iv)最小化或基本上消除可能由抗蚀剂剥离产生的对所述微电子器件表面的其他材料(例如含硅材料,含锗材料,或二者)的损伤或不利的清除。所述损伤,例如,可以包括溶出(蚀刻)、转变成不同的固相例如氧化物、或二者相结合。
在第一个方面,描述了从包含抗蚀剂、特别是离子注入抗蚀剂的微电子器件剥离所述抗蚀剂的组合物。在一种实施方式中,所述剥离抗蚀剂的组合物包含至少一种非氧化性酸和至少一种氟化物和/或溴化物,由它们组成,或基本由它们组成,条件是所述组合物基本上没有氧化剂。在另一种实施方式中,所述剥离抗蚀剂的组合物包含至少一种非氧化性酸、至少一种氟化物和/或溴化物、和至少一种耐酸表面活性剂,由它们组成,或基本由它们组成,条件是所述组合物基本上没有氧化剂。在又一种实施方式中,所述剥离抗蚀剂的组合物包含至少一种非氧化性酸和至少一种耐酸表面活性剂,由它们组成,或基本由它们组成,条件是所述组合物基本上没有氧化剂。一般而言,为了提供所述组合物对抗蚀剂和/或加工设备的期望的清除作用,所述组分相对于彼此的具体比例和量可以适当地变化,这在本领域技术内无需过度工作就可容易地确定。
所述第一个方面的组合物基本上没有添加的水和有机溶剂。要理解,一些商业组分包含少量的水,然而,优选没有添加额外的水到本文中描述的组合物中。因此,基于组合物的总重量,所述第一个方面的组合物包含少于约5重量%的添加的水,更优选少于3重量%的添加的水,更加优选少于2重量%的添加的水,和最优选少于1重量%的添加的水。存在于商业购买的组分(例如氟化氢)中的水不被视为“添加的水”。此外,所述第一个方面的组合物还优选基本上没有化学机械抛光性磨料、强碱(例如,碱和碱性金属氢氧化物以及氢氧化季铵)和胺中的至少一种。如本文中所定义,“胺”类物质包括至少一种伯、仲和叔胺,条件是(i)包含羧酸基团和胺基团二者的物质,(ii)包含胺基团的表面活性剂,和(iii)其中所述胺基团是取代基(例如,与芳基或杂环部分相连)的物质,不被认为是根据这种定义的“胺”。所述胺的化学式可以由NR1R2R3表示,其中R1、R2和R3可以是彼此相同或不同的,并选自氢、直链或支链C1-C6烷基(例如,甲基、乙基、丙基、丁基、戊基、己基)、C6-C10芳基(例如苄基)、直链或支链C1-C6烷醇(例如,甲醇、乙醇、丙醇、丁醇、戊醇、己醇)、及其组合,条件是R1、R2和R3不能全部是氢。
存在非氧化性酸主要为了质子化和溶解所述光致抗蚀剂。在本文中考虑的非氧化性酸包括但不限于,甲磺酸、草酸、柠檬酸、酒石酸、吡啶甲酸、琥珀酸、乙酸、乳酸、磺基琥珀酸、苯甲酸、丙酸、甲酸、丙酮酸、草酸、马来酸、丙二酸、富马酸、苹果酸、抗坏血酸、扁桃酸、庚酸、丁酸、戊酸、戊二酸、邻苯二甲酸、次磷酸、水杨酸、5-磺基水杨酸、乙磺酸、丁磺酸、对甲苯磺酸、二氯乙酸、二氟乙酸、一氯乙酸、一氟乙酸、盐酸、三氯乙酸、三氟乙酸、氢溴酸(62重量%)、硫酸、及其组合。优选地,所述非氧化性酸包括甲磺酸(99%)、盐酸(浓)、三氟乙酸、氢溴酸(62%)、硫酸(95-98%)、或其组合。所述组合物中非氧化性酸的量优选大于90重量%,更优选大于95重量%,和最优选大于98重量%。
所述至少一种氟化物和/或溴化物被认为改善了在抗蚀剂覆盖区域的边缘处结壳的抗蚀剂材料(即“轨道”)的清除。所考虑的氟化合物包括氢氟酸。或者,HF以外的氟化合物可对保持与含硅材料的相容性有益,包括但不限于,四氟硼酸、六氟钛酸、六氟硅酸、六氟锆酸、四氟硼酸、四丁基三氟甲磺酸铵、四烷基四氟硼酸铵(NR1R2R3R4BF4)例如四丁基四氟硼酸铵、四烷基六氟磷酸铵(NR1R2R3R4PF6)、四烷基氟化铵(NR1R2R3R4F)(无水的或其水合物)例如四甲基氟化铵、氟化氢铵、氟化铵,其中R1、R2、R3、R4可以彼此相同或不同并选自氢、直链或支链C1-C6烷基基团(例如甲基、乙基、丙基、丁基、戊基、己基)、C1-C6烷氧基基团(例如羟乙基、羟丙基)取代或未取代的芳基基团(例如苄基)。优选地,所述氟化物包含氢氟酸。所考虑的溴化物包括氢溴酸和在低pH的溶液中主要产生HBr的任何其他化合物。当存在时,氢氟酸的量在约0.01重量%至约1重量%的范围内,更优选0.1重量%至约0.4重量%。当存在时,除HF和/或溴化物以外的氟化合物的量在约0.01重量%至约8重量%的范围内。在此考虑了所述氟化物和溴化物的组合。
因为所述抗蚀剂通过剥离而不是溶出被部分除去,所以需要防止粒子在晶片上的再沉积。为此,可以任选添加耐酸表面活性剂用于这种目的,其也有助于所述剥离过程。例如,可以向MSA添加十二烷基苯磺酸(0.02-0.15%),或可以向浓盐酸添加Novec 4300(0.005-0.02%)。所考虑的其他耐酸表面活性剂包括苯扎氯铵、DOWFAX表面活性剂(DowChemical),并更通常是长链季铵阳离子型表面活性剂、长链磺酸阴离子型表面活性剂、和氟化醚基非离子型表面活性剂,当存在时,浓度从约0.002%至约2%。
所述第一个方面的组合物还可以包含至少一种分散剂,所述分散剂包括但不限于,杂环例如吡啶、咪唑、苯并咪唑和哌啶,以及所述杂环包含C1-10烷基、苯基、苄基、苯乙基、3-苯基丙基、苄氧基、羧基、氯、溴、甲氧基、硝基和氰基基团中至少一种的衍生物,包括但不限于4-苄基吡啶、4-苄基哌啶、2-苄基吡啶、4-(4-硝基苄基)吡啶、4-(5-壬基)吡啶、4-(3-苯基丙基)吡啶、4-(3-苯基丙基)吡啶-N-氧化物、1-辛基咪唑、1-辛基苯并咪唑、聚(2-乙烯基吡啶)、聚(4-乙烯基吡啶),以及包含最后二个之一或二者作为组分的共聚物。对本领域技术人员显然的是,所述分散剂大多数也可以被视为和充当表面活性剂。
在第二个方面,描述了从包含抗蚀剂、特别是离子注入抗蚀剂的微电子器件剥离所述抗蚀剂的另一种组合物。所述剥离抗蚀剂的组合物包含至少一种非氧化性酸、至少一种氟化物和/或溴化物、和降低所述组合物熔点的至少一种化合物,由它们组成,或基本由它们组成,条件是所述组合物基本上没有氧化剂。在另一种实施方式中,所述剥离抗蚀剂的组合物包含至少一种非氧化性酸、至少一种氟化物和/或溴化物、至少一种耐酸表面活性剂、和降低所述组合物熔点的至少一种化合物,由它们组成,或基本由它们组成,条件是所述组合物基本上没有氧化剂。在又一种实施方式中,所述剥离抗蚀剂的组合物包含至少一种非氧化性酸、至少一种耐酸表面活性剂、和降低所述组合物熔点的至少一种化合物,由它们组成,或基本由它们组成,条件是所述组合物基本上没有氧化剂。一般而言,为了提供所述组合物对抗蚀剂和/或加工设备的期望的清除作用,所述组分相对于彼此的具体比例和量可以适当地变化,这在本领域技术内无需过度工作就可容易地确定。
所述第二个方面的组合物基本上没有添加的水。要理解,一些商业组分包含少量的水,然而,优选没有添加额外的水到本文中描述的第二个方面的组合物中。因此,基于所述组合物的总重量,所述第二个方面的组合物包含少于约5重量%的添加的水,更优选少于3重量%的添加的水,更加优选少于2重量%的添加的水,和最优选少于1重量%的添加的水。存在于商业购买的组分(例如氟化氢)中的水不被视为“添加的水”。此外,所述第二个方面的组合物还优选基本上没有化学机械抛光性磨料、强碱(例如,碱和碱性金属氢氧化物以及氢氧化季铵)和胺中的至少一种。
存在非氧化性酸主要为了质子化和溶解所述光致抗蚀剂。考虑用于所述第二个方面的组合物的非氧化性酸包括在第一个方面中列举的那些。优选地,所述非氧化性酸包括甲磺酸(99%)、盐酸(浓)、三氟乙酸、氢溴酸(62%)、硫酸(95-98%)、或其组合。所述第二个方面的组合物中非氧化性酸的量优选大于90重量%,更优选大于95重量%,和最优选大于98重量%。
所述至少一种氟化物和/或溴化物被认为改善了在抗蚀剂覆盖区域的边缘处结壳的抗蚀剂材料(即“轨道”)的清除。考虑用于所述第二个方面的组合物的氟化物和溴化物包括在第一个方面中列举的那些。优选地,所述氟化合物包含氢氟酸。当存在时,氢氟酸的量在约0.01重量%至约1重量%的范围内,更优选0.1重量%至约0.4重量%。当存在时,除HF以外的氟化合物和/或溴化物的量在约0.01重量%至约8重量%的范围内。在此考虑了所述氟化物和溴化物的组合。
所述耐酸表面活性剂,当存在时,是上文就第一个方面描述的。
意外地发现,所述至少一种非氧化性酸和至少一种氟化物和/或溴化物的一些组合产生可具有比较高的熔点并在运输中可以凝固的组合物。因此,可以向所述至少一种非氧化性酸和至少一种氟化物和/或溴化物添加降低所述组合物熔点的至少一种化合物,这是本领域技术人员容易决定的。重要的是,降低组合物熔点的所述至少一种化合物不能降低所述组合物除去抗蚀剂的能力或者所述组合物对所述微电子器件上的下伏含硅和含锗材料以及金属栅极材料的相容性。本发明人以前发现,在组合物中包含至少一种二烷基砜降低了所述组合物的熔融温度,其中所述至少一种二烷基砜具有式R-(SO2)-R’,其中R和R'可以彼此相同或不同并可以是任何C1-C6烷基,如2014年3月14日提交的美国专利申请No.14/211,528所述,该申请题为“作为环境疏质子极性溶剂的环丁砜混合物(SulfolaneMixtures as Ambient Aprotic Polar Solvents)”,其在此通过引用以其全文结合在本文中。因此,降低所述组合物熔点的所述至少一种化合物包括但不限于,至少一种二烷基砜,其中所述至少一种二烷基砜具有式R-(SO2)-R’,其中R和R'可以彼此相同或不同并可以是任何C1-C6烷基,例如二甲基砜(也称为甲基磺酰基甲烷,MSM,DMSO2)、乙基甲基砜、二丙基砜、乙基丙基砜、二乙基砜、二丁基砜及其组合。补充或替代地,降低所述组合物熔点的所述至少一种化合物可以包括硫酸和环丁砜。优选地,降低所述组合物熔点的所述至少一种化合物包含二甲基砜、环丁砜或硫酸。二甲基砜具有与环丁砜相似的溶剂性质,而且有利地具有高沸点、高闪点、没有已知的毒性、并且高度稳定。
所述第二个方面的组合物还可以包括至少一种分散剂,所述分散剂包括但不限于,杂环,例如吡啶、咪唑、苯并咪唑和哌啶,以及所述杂环包含C1-10烷基、苯基、苄基、苯乙基、3-苯基丙基、苄氧基、羧基、氯、溴、甲氧基、硝基和氰基基团中至少一种的衍生物,包括但不限于4-苄基吡啶、4-苄基哌啶、2-苄基吡啶、4-(4-硝基苄基)吡啶、4-(5-壬基)吡啶、4-(3-苯基丙基)吡啶、4-(3-苯基丙基)吡啶-N-氧化物、1-辛基咪唑、1-辛基苯并咪唑、聚(2-乙烯基吡啶)、聚(4-乙烯基吡啶),以及包含最后二个之一或二者作为组分的共聚物。
所述第一和第二个方面的组合物具有小于约2、更优选小于约1的pH。要领会,取决于所使用的组分及其量,所述第一和第二个方面的组合物的pH可以小于零或甚至不可能用常规测量仪器测出。
在另一种实施方式中,所述第一和第二个方面的组合物还包括本体和硬化抗蚀剂材料,其中所述本体和硬化抗蚀剂材料可以包含硼、砷、二氟化硼、铟、锑、锗、碳和/或磷离子。例如,所述第一和第二个方面的组合物可以包括至少一种非氧化性酸、至少一种氟化物和/或溴化物、以及本体和硬化抗蚀剂材料,条件是所述组合物基本上没有氧化剂。所述抗蚀剂材料和注入离子可以溶解和/或悬浮在所述第一和第二个方面的组合物中。
所述第一和第二个方面的组合物与所述微电子器件上的下伏含硅和含锗材料以及金属栅极材料相容。
所述第一和第二个方面的组合物可以容易地配制为单一包装制剂或在使用时点之时和/或之前混合的多部分制剂,例如,所述多部分制剂的各个部分可以在所述制程设备处、在所述制程设备上游的储槽中、或在直接输送给所述制程设备的运输包装中混合。例如,单一运输包装可以包括至少两个分开的容器或软袋,它们可以由在微芯片生产厂的使用者混合在一起并且所述混合制剂可以直接输送给所述制程设备。所述运输包装以及所述包装的内部容器或软袋必须适合于储存和运输所述组合物组分,例如,由AdvancedTechnology Materials,Inc.(Danbury,Conn.,USA)提供的包装材料。
或者,可以配制所述第一和第二个方面的组合物的浓缩物并包装在一个容器中以供运输和以供在在使用之前和/或使用期间与现场组分混合,其中所述使用方法在本文中描述。例如,可以配制所述浓缩物以供在使用之前和/或使用期间添加附加的非氧化性酸。
在第三个方面,描述了从包含抗蚀剂、特别是离子注入抗蚀剂的微电子器件剥离所述抗蚀剂的另一种组合物。在一种实施方式中,描述了组合物,所述组合物包含含硫的酸和HCl,由它们组成,或基本由它们组成,其中所述HCl利用作为溶解气体添加的HCl(氯化氢)或产HCl化合物产生。所述组合物中所述产HCl化合物的量优选在约0.1重量%至约10重量%的范围内,更优选约0.5重量%至约5重量%。所述组合物的其余部分是所述含硫的酸。这种实施方式的组合物基本上没有添加的氟化物和过氧化氢和其他氧化剂。例如,所述含硫的酸可以是硫酸和所述产HCl化合物可以是典型浓度为1-5%的亚硫酰氯(SOCl2),其中SOCl2与存在于所述硫酸中的水反应并产生HCl和SO2。在这个例子中,大部分HCl蒸发,但所述溶液保持HCl饱和,并有相当大含量的SO2气体。在另一个例子中,所述含硫的酸可以是硫酸和所述产HCl化合物可以是典型浓度为0.5-5%的氯磺酸,其中所述氯磺酸与存在于所述硫酸中的水反应并产生HCl和H2SO4,其中所述组合物是HCl饱和的。虽然不希望被理论制约,但认为所述氯化环境有助于从所述含锗表面“剥离”掉抗蚀剂残余物并留下疏水性表面,所述表面据推测被氯化物覆盖。所述被处理的含锗表面的疏水性实际上容许所述表面的水基冲洗而不会明显损伤所述衬底。
在另一种实施方式中,所述第三个方面的组合物包含含硫的酸、HCl和至少一种氟化物和/或溴化物,由它们组成,或基本由它们组成,其中所述HCl利用作为溶解气体添加的HCl(氯化氢)或产HCl化合物产生。所述组合物中所述产HCl化合物的量优选在约0.1重量%至约10重量%的范围内,更优选约0.5重量%至约5重量%。所述氟化物和/或溴化物的量优选在约0.1重量%至约5重量%的范围内。所述组合物的其余部分是所述含硫的酸。这种实施方式的组合物基本上没有添加的水和过氧化氢和其他氧化剂。
含硫的酸包括但不限于,浓硫酸、100%硫酸、“发烟”硫酸(含有过量的SO3)、氯磺酸(ClSO3H)、氯磺酸和硫酸的混合物、三氟甲磺酸(CF3SO3H)和氟磺酸(FSO3H)。
产HCl化合物包括但不限于,亚硫酰氯(SOCl2)、三氯氧磷(POCl3)、磺酰氯(SO2Cl2)、三氯化硼(BCl3)、四氯化锗(GeCl4)、氯磺酸、及其组合。另外,HCl可以通过HCl气体鼓泡通过所述含硫的酸来直接添加,或者可以向所述含硫的酸直接添加浓(35-38%)盐酸。氯化物盐,例如氯化铵或盐酸乙铵,也可以作为HCl源添加。不管所述源如何,所述第三个方面的组合物优选是被HCl饱和的。
当存在时,所述至少一种氟化物可以是对所述第一个方面的组合物列举的氟化物之一,优选HF。当存在时,所述至少一种溴化物包含HBr或在非常低的pH下在溶液中将主要产生HBr的任何其他溴化物源。
在所述第三个方面的一种实施方式中,所述组合物包括硫酸和SOCl2。SOCl2与浓(95-98%)硫酸中残留水的反应在搅拌条件下相当快速,并且在室温下在10-30分钟后溶解接近完成(通过HCl起泡停止来证明)。
SOCl2+H2O→SO2+2HCl
在H2SO4和SOCl2的情况下,需要强烈搅拌,因为SOCl2在浓硫酸中的溶解度<<1%,因此对于许多反应时间而言,所述体系是相分离的。如果需要,可以通过添加表面活性剂,例如季铵氯化物例如十二烷基三甲基氯化铵(DTAC),或在浓硫酸中可溶并稳定的有机溶剂,例如环丁砜、二甲基砜或二者的混合物,来加速所述两相的融合。由所述水解反应产生的SO2多保持溶解在所述硫酸中,但大部分氯化氢在所述反应期间通过蒸发损失,并且如果所述溶液暴露于空气的话,后面会再多一些损失。在典型的情况下,在开口罐中,SOCl2-处理的硫酸的HCl含量从起初在新鲜溶液中的0.185%减少到26小时后的0.131%。
例如,用100%H2SO4+5%SOCl2得到极好的结果,这是令人惊讶的,因为,虽然100%H2SO4起到良好的剥离作用,但引起Ge衬底坑洼。虽然不希望被理论制约,但认为在所述H2SO4+SOCl2混合物中存在S(IV)阻止了它对所述含锗衬底起氧化剂的作用。有利地,对于包括H2SO4+SOCl2的组合物而言,SiOx、SiNx和Ge的蚀刻速率在40℃时全部≤/分钟。
如上文所述,可以添加至少一种表面活性剂和/或至少一种有机溶剂来帮助所述产HCl化合物在所述含硫化合物中的溶解性。因此,在所述第三个方面的组合物的另一种实施方式中,所述组合物包含含硫的酸、产HCl化合物、和至少一种表面活性剂和/或至少一种有机溶剂,由它们组成,或基本由它们组成。
所述至少一种表面活性剂可以是具有C10-C16烷基链的阳离子型季盐表面活性剂,包括但不限于,苯扎氯铵、苄基二甲基十二烷基氯化铵、肉豆蔻基三甲基溴化铵、十二烷基三甲基溴化铵、十二烷基三甲基氯化铵(DTAC)、癸基三甲基氯化铵、二烯丙基二甲基氯化铵、三甲基十四烷基氯化铵、十四烷基二甲基苄基氯化铵、十二烷基(2-羟乙基)二甲基氯化铵、十六烷基(2-羟乙基)二甲基氯化铵、十二烷基(2-羟乙基)二甲基溴化铵、十六烷基(2-羟乙基)二甲基溴化铵、十六烷基氯化吡啶、Aliquat 336(Cognis)、苄基二甲基苯基氯化铵、Crodaquat TES(Croda Inc.)、Rewoquat CPEM(Witco)、十六烷基三甲基对甲苯磺酸铵、十六烷基三甲基氢氧化铵、1-甲基-1'-十四烷基-4,4'-联吡啶二氯化物、烷基三甲基溴化铵、盐酸氨丙啉、氢氧化苄乙铵、苄索氯铵、苄基二甲基十六烷基氯化铵、苄基二甲基十四烷基氯化铵、苄基十二烷基二甲基溴化铵、苄基十二烷基二甲基氯化铵、鲸蜡基氯化吡啶、对甲苯磺酸胆碱盐、二甲基双十八烷基溴化铵、十二烷基乙基二甲基溴化铵、十二基三甲基氯化铵、乙基十六烷基二甲基溴化铵、吉拉德氏(Girard's)试剂、十六烷基(2-羟乙基)二甲基磷酸二氢铵、十六烷基溴化吡啶(dexadecyl pyridinium bromide)、十六烷基三甲基溴化铵、十六烷基三甲基氯化铵、甲基苄索氯铵、1622、LuviquatTM、N,N',N'-聚氧乙烯(10)-N-牛脂-1,3-二氨基丙烷液体、奥芬溴铵、四庚基溴化铵、四(癸基)溴化铵、通佐溴铵、三-十二烷基氯化铵、三甲基十八烷基溴化铵、1-甲基-3-n-辛基咪唑四氟硼酸盐、1-癸基-3-甲基咪唑四氟硼酸盐、1-癸基-3-甲基咪唑氯盐、三-十二烷基甲基溴化铵、二甲基二硬脂酰氯化铵、和六甲氯铵。如果使用氯化物表面活性剂如DTAC,它可以作为固体或作为浓缩溶液(例如,25%DTAC可以在1:1(w/w)硫酸中制作,使得添加到最终混合物的水最少化)直接添加和溶解(伴强烈搅拌)。
所考虑的有机溶剂包括但不限于,砜例如环丁砜、二甲砜;酸酐例如乙酸酐;酰氯例如甲磺酰氯、对甲苯磺酰氯;及其组合。
所述第三个方面的组合物还可以包括至少一种分散剂,所述分散剂包括但不限于,杂环,例如吡啶、咪唑、苯并咪唑和哌啶,以及所述杂环包含C1-10烷基、苯基、苄基、苯乙基、3-苯基丙基、苄氧基、羧基、氯、溴、甲氧基、硝基和氰基基团中至少一种的衍生物,包括但不限于4-苄基吡啶、4-苄基哌啶、2-苄基吡啶、4-(4-硝基苄基)吡啶、4-(5-壬基)吡啶、4-(3-苯基丙基)吡啶、4-(3-苯基丙基)吡啶-N-氧化物、1-辛基咪唑、1-辛基苯并咪唑、聚(2-乙烯基吡啶)、聚(4-乙烯基吡啶),以及包含最后二个之一或二者作为组分的共聚物。
所述第三个方面的组合物基本上没有添加的水。要理解,一些商业组分包含少量的水,然而,优选没有添加额外的水到本文中描述的组合物中。因此,基于组合物的总重量,所述第三个方面的组合物包含少于约5重量%的添加的水,更优选少于3重量%的添加的水,更加优选少于2重量%的添加的水,和最优选少于1重量%的添加的水。存在于商业购买的组分(例如浓H2SO4)中的水不被视为“添加的水”。
在另一种实施方式中,所述第三个方面的组合物还包括本体和硬化抗蚀剂材料,其中所述本体和硬化抗蚀剂材料可以包含硼、砷、二氟化硼、铟、锑、锗、碳和/或磷离子。例如,所述第三个方面的组合物可以包括含硫的酸、HCl、以及本体和硬化抗蚀剂材料,其中所述HCl利用作为溶解气体添加的HCl(氯化氢)或产HCl化合物产生,条件是所述组合物基本上没有氟化物和氧化剂。或者,所述第三个方面的组合物可以包括含硫化合物、HCl、至少一种氟化物和/或溴化物、以及本体和硬化抗蚀剂材料,其中所述HCl利用作为溶解气体添加的HCl(氯化氢)或产HCl化合物产生。所述抗蚀剂材料和注入离子可以溶解和/或悬浮在所述第三个方面的组合物中。
所述第三个方面的组合物与所述微电子器件上的下伏含硅和含锗材料以及金属栅极材料相容。
所述第三个方面的组合物优选是在使用时点之前混合的多部分制剂,例如,所述多部分制剂的各个部分可以在所述制程设备上游的储槽中混合。因为搅拌是必要的并且HCl当场产生,所述组合物应该立即使用或在密闭容器中短时间储存以使降解最小化。
在所述第三个方面的一种实施方式中,补充所述第三个方面的包含HCl的组合物,因为HCl的浓度由于蒸发而随时间降低。具体地说,补充所述第三个方面的组合物中的HCl的方法包括下列步骤,由其组成,或基本由其组成:
水与环丁砜合并以制作第一混合物;
向所述第一混合物添加一定量的氯磺酸以在环丁砜中产生HCl而得到第二混合物,其中所述氯磺酸的量与所述第一混合物中的水量是等摩尔的;和
通过向所述第三个方面的组合物添加所述第二混合物来补充所述第三个方面的组合物中的HCl,其中所添加的第二混合物的量是至少部分代替之前从所述第三个方面的组合物蒸发的HCl所必需的量。
在第四个方面,本文中描述的组合物有效地用于从所述微电子器件的表面清洁本体和硬化抗蚀剂。所述第四个方面的微电子器件可以是晶片,例如,半导体晶片,在其上或内形成电子器件。所述抗蚀剂可以附着于所述晶片的表面。所述抗蚀剂可以在所述晶片的离子注入期间被离子注入。所述抗蚀剂的离子注入可以导致在所述抗蚀剂中形成硬化的、结壳的、聚合的和/或碳化的外层。所述组合物被配制成优先不损伤所述装置表面上的含硅材料或含锗材料。优选本文中描述的组合物除去在抗蚀剂清除之前所述装置上存在的本体和硬化抗蚀剂的至少85%,更优选至少90%,更加优选至少95%,并最优选至少99%。
在清除应用中,所述组合物可以用任何合适的方式施加于在其上具有抗蚀剂材料的微电子器件上,例如,通过在所述装置的表面上喷洒所述组合物,通过浸渍(在一定体积的所述组合物中)所述包括抗蚀剂材料的装置,通过所述装置与另一种用所述组合物饱和的材料例如垫子或纤维性吸附剂敷涂元件接触,通过所述包括抗蚀剂材料的装置与循环的组合物接触,或通过将所述组合物与所述微电子器件上的抗蚀剂材料进行接触的任何其他合适的手段、方式或技术。所述施加可以在用于动态或静态清洁的批式或单晶片设备中。
在使用本文中描述的组合物从其上具有本体和硬化抗蚀剂的微电子器件上除去所述抗蚀剂中,所述组合物通常在约20℃至约200℃范围内、优选约20℃至约80℃的温度下,与所述装置接触约10秒至约60分钟,优选1分钟至20分钟。这样的接触时间和温度是说明性的,并且可以使用对于从所述器件至少部分清洁所述本体和硬化抗蚀剂而言有效的任何其他合适的时间和温度条件。“至少部分清洁”和“基本上清除”二者相当于清除在抗蚀剂清除之前所述装置上存在的所述硬化抗蚀剂的至少85%,更优选至少90%,更加优选至少95%,并最优选至少99%。
在所述第四个方面的一种实施方式中,从在其上具有抗蚀剂的微电子器件表面除去所述抗蚀剂的方法包括在从所述微电子器件表面基本除去所述抗蚀剂所必需的条件下将在本文中描述的组合物与所述微电子器件表面接触。优选地,所述抗蚀剂包含本体和离子注入的抗蚀剂材料并且所述条件包括前述的时间和温度。
在所述第四个方面的另一种实施方式中,从在其上具有抗蚀剂的微电子器件表面除去所述抗蚀剂的方法包括:
在从微电子器件表面至少部分除去抗蚀剂所必需的第一温度下将所述第一或第二或第三方面的组合物与所述微电子器件表面接触;和
将所述组合物的温度增加到第二温度以从所述微电子器件表面除去残余的抗蚀剂,
其中所述第二温度大于所述第一温度。优选地,所述抗蚀剂包含本体和离子注入的抗蚀剂材料,并且残余抗蚀剂包含在抗蚀剂覆盖区域的边缘处结壳的抗蚀剂材料(即,“轨道”)或在所述第一温度下没有除去的其他抗蚀剂。
在所述第四个方面的另一种实施方式中,从在其上具有抗蚀剂的微电子器件表面除去所述抗蚀剂的方法包括:
在从所述微电子器件表面至少部分除去抗蚀剂所必需的第一温度下,将所述微电子器件表面与第一组合物接触达第一时间;和
在从所述微电子器件表面除去残余抗蚀剂所必需的第二温度下,将所述微电子器件表面与第二组合物接触达第二时间,
其中所述第一时间可以与所述第二时间相同或不同,其中所述第一温度可以与所述第二温度相同或低于所述第二温度,并且其中所述第一组合物是没有氟化物的所述第一或第二或第三个方面的组合物或者氟化物浓度低于所述第二组合物中氟化物浓度的所述第一或第二或第三个方面的组合物。所述第二组合物是具有至少一种氟化物的所述第一或第二或第三个方面的组合物。优选地,所述抗蚀剂包含本体和离子注入的抗蚀剂材料,并且所述残余抗蚀剂包含在抗蚀剂覆盖区域的边缘处结壳的抗蚀剂材料(即,“轨道”)或用所述第一组合物没有除去的其他抗蚀剂。
实现所需的清除作用之后,本文中描述的组合物可以容易地从之前施加它们的器件上除去,这在本文中描述的组合物的给定最终用途应用中是可能需要的和有效的。所述冲洗溶液可以包含二甲基亚砜(DMSO)、异丙醇、N-甲基吡咯烷酮(NMP)、二甲基乙酰胺、环丁砜和其他砜、甲醇、和γ-丁内酯中的至少一种。当所述组合物包括产HCl组分例如氯磺酸时,所述冲洗溶液除前述的冲洗组分之外还可以包含水。在一种实施方式中,所述冲洗过程包括DMSO冲洗接着异丙醇冲洗。在另一种实施方式中,所述冲洗过程包括异丙醇冲洗。在另一种实施方式中,所述冲洗过程包括水冲洗接着异丙醇冲洗。在又一种实施方式中,所述冲洗过程包括用表面活性剂水溶液冲洗接着去离子水冲洗和异丙醇冲洗。然后,所述器件可以利用氮气或甩干循环干燥。
又一个方面涉及根据本文中描述的方法制造的改进的微电子器件和包含这样的微电子器件的产品。
另一个方面涉及再利用的所述第一或第二个方面的组合物,其中所述组合物可以再利用直到所述光致抗蚀剂组分的负载量达到所述组合物可以容纳的最大量为止,这是本领域技术人员容易确定的。本领域技术人员应该领会,所述再利用过程可能需要过滤和/或泵送系统,并且可能需要补充HCl或所述产HCl组分,例如,通过上文描述的方法。
再一个方面涉及利用文中描述的组合物制造包含微电子器件的制品的方法,所述方法包括将所述微电子器件与本文中描述的组合物接触足够的时间以从在其上具有所述抗蚀剂的微电子器件清洁本体和硬化抗蚀剂,并将所述微电子器件纳入所述制品中。
另一个方面涉及从在其上具有抗蚀剂的微电子器件表面除去所述抗蚀剂的方法,所述方法包括:
在从所述微电子器件表面至少部分除去抗蚀剂所必需的第一温度下,将组合物与所述微电子器件表面接触达第一时间;和
将所述组合物的温度增加到第二温度以从所述微电子器件表面除去残余的抗蚀剂,
其中所述第二温度大于所述第一温度。
又一个方面涉及从包含离子注入抗蚀剂的微电子器件的表面除去所述离子注入抗蚀剂的方法,所述方法包括:
将所述微电子器件与所述第一或第二个方面的组合物接触达实现至少部分清除所述抗蚀剂所必需的时间和温度,其中所述组合物包含至少一种非氧化性酸和至少一种氟化物和/或溴化物,条件是所述组合物基本上没有氧化剂;
用DMSO冲洗所述微电子器件以实现抗蚀剂残余物和所述组合物的清除;和
用异丙醇冲洗所述微电子器件以实现从所述器件清除DMSO。
再一个方面涉及从包含离子注入抗蚀剂的微电子器件的表面除去所述离子注入抗蚀剂的方法,所述方法包括:
在第一温度下将所述微电子器件与所述第一或第二个方面的组合物接触达实现从所述表面至少部分清除所述抗蚀剂所必需的第一时间,其中所述组合物包含至少一种非氧化性酸和至少一种氟化物和/或溴化物,条件是所述组合物基本上没有氧化剂;
将所述组合物的温度升高到第二温度并继续接触所述微电子器件达第二时间以实现从所述表面基本上清除所述抗蚀剂,其中所述第二温度大于所述第一温度;
用DMSO冲洗所述微电子器件以实现抗蚀剂残余物和所述组合物的清除;和
用异丙醇冲洗所述微电子器件以实现从所述器件清除DMSO。
又一个方面涉及从包含离子注入抗蚀剂的微电子器件的表面除去所述离子注入抗蚀剂的方法,所述方法包括:
将含硫的酸与作为溶解气体添加的HCl(氯化氢)或产HCl化合物结合达产生至少包含所述含硫的酸和HCl的组合物所必需的时间;
将所述微电子器件与所述组合物接触达至少部分清除所述抗蚀剂所必需的时间和温度;
用包含水、DMSO或甲醇的第一冲洗液冲洗所述微电子器件以实现抗蚀剂残余物和所述组合物的清除;和
任选用异丙醇冲洗所述微电子器件以实现从所述器件清除所述第一冲洗液。
本发明的特点和优点通过下面论述的说明性实施例更充分地显示。
实施例1
制备下列制剂并用于本文中描述的过程中以从试件除去本体和硬化抗蚀剂。
制剂 氟化物 MSA(99%)
A 2.56重量%六氟钛酸 97.44重量%
B 5.21重量%四丁基四氟硼酸铵 94.79重量%
C 6.20重量%四丁基三氟甲磺酸铵 93.80重量%
D 0.90重量%氟化氢铵 99.10重量%
E 2.67重量%四甲基氟化铵四水合物(98%) 97.33重量%
F 0.59重量%氟化铵 99.41重量%
G 2.90重量%氟硼酸(48%) 97.10重量%
H 0.66HF(0.66%) 99.34重量%
I 0.33HF(0.66%) 99.67重量%
J 0.11HF(0.66%) 99.89重量%
所述注入抗蚀剂剥离过程包括在室温下在各制剂A-J中浸泡试件10分钟,接着DMSO冲洗,接着异丙醇冲洗,接着N2干燥。无图形晶片的蚀刻速率测量包括测量SiO2(100nm的Si上热氧化物)和PECVD SiN(400nm的Si上PECVD SiNx)试件的初始厚度,试件在20℃下40cm3的制剂A-J中浸泡20分钟,接着溢流冲洗1分钟,接着在所述流中冲洗大约10秒,接着N2干燥,和最后测量SiO2和PECVD SiN的最终厚度。初始和处理后厚度利用光谱椭偏仪测量。结果显示在表1中。
表1:
能够看出,降低HF浓度(制剂H-J)线性降低了SiN和SiO2二者的蚀刻速率。所述包含氟化物四丁基四氟硼酸铵、四丁基三氟甲磺酸铵和氟硼酸的制剂实际上导致SiN和SiO2蚀刻速率比HF低。
关于抗蚀剂清除,参考图1,能够看出,除四丁基四氟硼酸胺之外,所述抗蚀剂的清除并不显著依赖于所选择的氟化物。
值得注意的是,降低HF的浓度导致在较低的SiO2和SiN蚀刻速率下可比的抗蚀剂清除。
实施例2
用制剂H进行另外的研究。在第一个试验中,对Ge具有中等剂量高能注入的图案化晶片试件在20℃下不搅拌地浸泡在DMSO中10分钟。取出所述试件并用异丙醇冲洗。参考图2A-2B,其显示了在图2B中的AFM扫描和在图2A中的横截面分析,能够看出大部分外壳和所有的“轨道”仍然存在。
在第二个试验中,对Ge具有中等剂量高能注入的图案化晶片试件在20℃下不搅拌地浸泡在制剂H中10分钟。取出所述试件并用DMSO冲洗接着用异丙醇第二次冲洗。参考图3A-3B,其显示了图3B中的AFM扫描和图3A中的横截面分析,能够看出仍然存在少量的“轨道”。虽然不希望被理论制约,但认为所述碎屑是再沉积的外壳和轨道粒子。
在第三个试验中,对Ge具有中等剂量高能注入的图案化晶片试件在60℃下不搅拌地浸泡在制剂H中10分钟。取出所述试件并用DMSO冲洗接着用异丙醇第二次冲洗。参考图4A-4B,其显示了图4B中的AFM扫描和图4A中的横截面分析,能够看出所述抗蚀剂和外壳已被基本除去。
无图形晶片的蚀刻速率通过将SiO2(100nm的Si上热氧化物)、PECVD SiN(400nm的Si上PECVD SiNx)和Ge(120nm的Si上Ge)试件在25℃和60℃下不搅拌地浸泡在制剂H中来确定。参考图5,能够看出所述含硅层的蚀刻速率依赖于温度,同时不管温度如何,Ge的蚀刻速率小于分钟-1
即使存在HF,Ge和SiO2在制剂H中的蚀刻速率也是适度的,尤其在室温下。所述抗蚀剂清除可以在室温下接近完成,虽然更高的温度(例如60℃)是有帮助的。
实施例3
溶液K-U如表2中所指示的制备。锗试件利用椭偏仪预测量并在室温下用18hr的旧溶液K-U处理10分钟。所述试件用DMSO冲洗60秒,然后用异丙醇冲洗30秒,然后用氮气干燥。再次测量所述试件以确定Ge损失和GeO2损失。
参考图6A、6B、7A和7B,其是分别在制剂N和U中浸泡的锗试件的AFM显微照片,能够看出制剂N从位点1完全除去轨道并将它们大部分从位点2除去。制剂U从这两个位点除去所述轨道。
实施例4
通过95重量%浓H2SO4(96%)与5重量%SOCl2相结合,制备组合物AA。所述组合物在掺合后24小时使用。所述注入抗蚀剂剥离过程包括在室温下在所述AA组合物中浸泡试件2分钟,接着DMSO冲洗60秒,接着异丙醇冲洗30秒和氮气吹干。
冲洗之后,所述试件利用原子力显微术(AFM)在形成为250和500nm宽的平行线组的图案的两个位点成像,所述部位迄今分别是位点1和位点2。所述从“横向”(平行于x轴)扫描产生的图像,连同通过将随着x轴位移的全部水平扫描进行平均而得到的平均分布图(为了便于测量轨道和其他剩余的系统性抗蚀剂特征),在图8中显示。没有观察到轨道;最高粒子是53nm高。
实施例5
所述实施例5的注入抗蚀剂剥离过程包括在室温下在所述AA组合物中浸泡试件10分钟,接着DMSO冲洗60秒,接着异丙醇冲洗30秒和氮气吹干。
冲洗之后,所述试件利用原子力显微术(AFM)在位点1和位点2处成像。所述图像以及所述平均分布图在图9中显示。能够看出没有观察到轨道;最高粒子小于20nm高。值得注意的是,观察到新鲜掺合的溶液(在掺合后100分钟并尤其是10分钟使用)没有像旧溶液一样地除去所述抗蚀剂,留下高得多的轨道。
实施例6
通过98.9重量%浓H2SO4(96%)、1重量%SOCl2和0.1重量%DTAC相结合,制备组合物BB。所述组合物在掺合后68小时使用。所述注入抗蚀剂剥离过程包括在室温下在所述BB组合物中浸泡试件2.5分钟,接着DMSO冲洗60秒,接着异丙醇冲洗30秒和氮气吹干。
冲洗之后,所述试件利用原子力显微术(AFM)在位点1和位点2处成像。所述图像以及所述平均分布图在图10中显示。能够看出,SOCl2含量越低看起来留下的“轨道”越高,但DTAC的存在有助于减少存在的粒子。
实施例7
通过95重量%H2SO4(100%,轻度发烟)和5重量%SOCl2相结合,制备组合物CC。所述组合物在掺合后4天使用。所述注入抗蚀剂剥离过程包括在室温下在所述CC组合物中浸泡试件2.5分钟,接着DMSO冲洗60秒,接着异丙醇冲洗30秒和氮气吹干。
冲洗之后,所述试件利用原子力显微术(AFM)在位点1和位点2处成像。所述图像以及所述平均分布图在图11中显示。
实施例8
通过94.9重量%浓H2SO4(100%,轻度发烟)、5重量%SOCl2和0.1重量%DTAC相结合,制备组合物DD。所述组合物在掺合后4天使用。所述注入抗蚀剂剥离过程包括在室温下在所述DD组合物中浸泡试件2.5分钟,接着DMSO冲洗60秒,接着异丙醇冲洗30秒和氮气吹干。
冲洗之后,所述试件利用原子力显微术(AFM)在位点1和位点2处成像。所述图像以及所述平均分布图在图12中显示。实施例5的结果与实施例4的结果相比较,能够看出位点1可能因表面活性剂的存在而受益。
实施例9
通过98.9重量%浓H2SO4(96%)、1重量%SOCl2和0.1重量%DTAC相结合,制备组合物EE。所述组合物在掺合后21天使用。所述注入抗蚀剂剥离过程包括在室温下在EE组合物中浸泡试件1分钟,接着水冲洗30秒,吹干,用所述EE组合物在室温下浸泡第二个1分钟,接着水冲洗30秒,异丙醇冲洗30秒,和氮气吹干。
冲洗之后,所述试件利用原子力显微术(AFM)在位点1和位点2处成像。所述图像以及所述平均分布图在图13中显示。
虽然本发明已经参考说明性实施方式和特征在本文中多方面地公开,但要领会上文描述的实施方式和特征没有打算限制本发明,并且基于本文中的公开,本领域普通技术人员将会想到其他变化、修改和其他实施方式。因此本发明应被广义解释为包括在权利要求的精神和范围内所有这样的变化、修改和替代实施方式。

Claims (13)

1.从在其上具有抗蚀剂的微电子器件表面除去所述抗蚀剂的方法,所述方法包括在从所述微电子器件表面除去至少85%的所述抗蚀剂所必需的条件下将组合物与所述微电子器件表面接触,其中所述组合物包含(a)至少一种非氧化性酸和至少一种氟化物和/或溴化物,所述非氧化性酸的含量为大于90wt%,条件是基于所述组合物的总重量,所述组合物包含少于2重量%的氧化剂,并且其中所述组合物的pH低于2或(b)含硫的酸、HCl和任选的至少一种氟化物和/或溴化物,所述含硫的酸的含量为大于90wt%,其中HCl利用作为溶解气体添加的HCl(氯化氢)或产HCl的化合物产生,其中当与微电子器件的表面接触时,所述组合物从所述微电子器件的表面去除离子注入抗蚀剂材料,但不损伤在所述微电子器件的表面上存在的含硅材料和含锗材料。
2.根据权利要求1所述的方法,其还包括用选自由水、二甲基亚砜(DMSO)、异丙醇、N-甲基吡咯烷酮(NMP)、二甲基乙酰胺、环丁砜和其他砜、甲醇、表面活性剂和γ-丁内酯组成的群组的至少一种溶剂冲洗所述表面。
3.根据权利要求1所述的方法,其中所述至少一种非氧化性酸包括选自由下列组成的群组的物质:甲磺酸、草酸、柠檬酸、酒石酸、吡啶甲酸、琥珀酸、乙酸、乳酸、磺基琥珀酸、苯甲酸、丙酸、甲酸、丙酮酸、草酸、马来酸、丙二酸、富马酸、苹果酸、抗坏血酸、扁桃酸、庚酸、丁酸、戊酸、戊二酸、邻苯二甲酸、次磷酸、水杨酸、5-磺基水杨酸、乙磺酸、丁磺酸、对甲苯磺酸、二氯乙酸、二氟乙酸、一氯乙酸、一氟乙酸、盐酸、三氯乙酸、三氟乙酸、62重量%水性氢溴酸、硫酸、及其组合。
4.根据权利要求1所述的方法,其包括至少一种氟化物,其中所述至少一种氟化物包括选自由下列组成的群组的物质:氢氟酸、四氟硼酸、六氟钛酸、六氟硅酸、六氟锆酸、四氟硼酸、四丁基三氟甲磺酸铵、四烷基四氟硼酸铵(NR1R2R3R4BF4)、四烷基六氟磷酸铵(NR1R2R3R4PF6)、四烷基氟化铵(NR1R2R3R4F)、氟化氢铵、氟化铵及其组合,其中R1、R2、R3、R4彼此相同或不同并选自氢、直链或支链C1-C6烷基基团、C1-C6烷氧基基团、取代或未取代的芳基基团及其组合。
5.根据权利要求1所述的方法,其包括至少一种溴化物,其中所述至少一种溴化物包括氢溴酸。
6.根据权利要求1所述的方法,其中所述含硫的酸包括选自由下列组成的群组的物质:浓硫酸、100%硫酸、含有过量的SO3的“发烟”硫酸、氯磺酸(ClSO3H)、氯磺酸和硫酸的混合物、三氟甲磺酸(CF3SO3H)和氟磺酸(FSO3H)。
7.根据权利要求1所述的方法,其中产HCl的化合物包括选自由下列组成的群组的物质:亚硫酰氯(SOCl2)、三氯氧磷(POCl3)、磺酰氯(SO2Cl2)、三氯化硼(BCl3)、四氯化锗(GeCl4)、氯磺酸(ClSO3H)、及其组合。
8.从包含抗蚀剂的微电子器件剥离所述抗蚀剂的组合物,所述组合物包含(a)至少一种非氧化性酸、氟化物和/或溴化物中的至少一种和降低所述组合物熔点的至少一种化合物,所述非氧化性酸的含量为大于90wt%,条件是基于所述组合物的总重量,所述组合物包含少于2重量%的氧化剂,并且其中所述组合物的pH低于2或(b)含硫的酸、HCl和任选的至少一种氟化物和/或溴化物,所述含硫的酸的含量为大于90wt%,其中当与微电子器件的表面接触时,所述组合物从所述微电子器件的表面去除离子注入抗蚀剂材料,但不损伤在所述微电子器件的表面上存在的含硅材料和含锗材料。
9.根据权利要求8所述的组合物,其中所述至少一种非氧化性酸包括选自由下列组成的群组的物质:甲磺酸、草酸、柠檬酸、酒石酸、吡啶甲酸、琥珀酸、乙酸、乳酸、磺基琥珀酸、苯甲酸、丙酸、甲酸、丙酮酸、草酸、马来酸、丙二酸、富马酸、苹果酸、抗坏血酸、扁桃酸、庚酸、丁酸、戊酸、戊二酸、邻苯二甲酸、次磷酸、水杨酸、5-磺基水杨酸、乙磺酸、丁磺酸、对甲苯磺酸、二氯乙酸、二氟乙酸、一氯乙酸、一氟乙酸、盐酸、三氯乙酸、三氟乙酸、62重量%水性氢溴酸、硫酸、及其组合。
10.根据权利要求8所述的组合物,其包括至少一种氟化物,其中所述至少一种氟化物包括选自由下列组成的群组的物质:氢氟酸、四氟硼酸、六氟钛酸、六氟硅酸、六氟锆酸、四氟硼酸、四丁基三氟甲磺酸铵、四烷基四氟硼酸铵(NR1R2R3R4BF4)、四烷基六氟磷酸铵(NR1R2R3R4PF6)、四烷基氟化铵(NR1R2R3R4F)、氟化氢铵、氟化铵及其组合,其中R1、R2、R3、R4彼此相同或不同并选自氢、直链或支链C1-C6烷基基团、C1-C6烷氧基基团、取代或未取代的芳基基团及其组合。
11.根据权利要求8所述的组合物,其包含至少一种溴化物,其中所述至少一种溴化物包括氢溴酸。
12.根据权利要求8所述的组合物,其中降低所述组合物熔点的所述至少一种化合物包括至少一种二烷基砜、硫酸或环丁砜。
13.权利要求8的组合物,其中所述含硫的酸包括选自由下列组成的群组的物质:浓硫酸、100%硫酸、含有过量的SO3的“发烟”硫酸、氯磺酸(ClSO3H)、氯磺酸和硫酸的混合物、三氟甲磺酸(CF3SO3H)和氟磺酸(FSO3H)。
CN201480073682.7A 2013-12-20 2014-12-19 非氧化性强酸用于清除离子注入抗蚀剂的用途 Active CN105960699B (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201361919177P 2013-12-20 2013-12-20
US61/919,177 2013-12-20
US201462045946P 2014-09-04 2014-09-04
US62/045,946 2014-09-04
US201462046495P 2014-09-05 2014-09-05
US62/046,495 2014-09-05
PCT/US2014/071540 WO2015095726A1 (en) 2013-12-20 2014-12-19 Use of non-oxidizing strong acids for the removal of ion-implanted resist

Publications (2)

Publication Number Publication Date
CN105960699A CN105960699A (zh) 2016-09-21
CN105960699B true CN105960699B (zh) 2019-11-01

Family

ID=53403749

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480073682.7A Active CN105960699B (zh) 2013-12-20 2014-12-19 非氧化性强酸用于清除离子注入抗蚀剂的用途

Country Status (8)

Country Link
US (2) US20160322232A1 (zh)
EP (1) EP3084809A4 (zh)
JP (1) JP6776125B2 (zh)
KR (1) KR102352475B1 (zh)
CN (1) CN105960699B (zh)
SG (2) SG11201605003WA (zh)
TW (1) TWI662379B (zh)
WO (1) WO2015095726A1 (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102290209B1 (ko) 2013-12-31 2021-08-20 엔테그리스, 아이엔씨. 규소 및 게르마늄을 선택적으로 에칭하기 위한 배합물
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
TWI803551B (zh) * 2017-12-27 2023-06-01 日商東京應化工業股份有限公司 去除基板上之有機系硬化膜之方法,及酸性洗淨液
JP7150433B2 (ja) * 2017-12-28 2022-10-11 東京応化工業株式会社 リワーク方法、及び酸性洗浄液
KR102069345B1 (ko) * 2018-03-06 2020-01-22 에스케이씨 주식회사 반도체 공정용 조성물 및 반도체 공정
WO2020017283A1 (ja) * 2018-07-20 2020-01-23 富士フイルム株式会社 処理液および処理方法
KR102609919B1 (ko) * 2019-07-11 2023-12-04 메르크 파텐트 게엠베하 포토레지스트 리무버 조성물

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1447754A (zh) * 2000-07-10 2003-10-08 Ekc技术公司 用于清洁半导体设备上有机残余物和等离子蚀刻残余物的组合物
CN102839062A (zh) * 2007-08-22 2012-12-26 大金工业株式会社 残渣除去液的使用

Family Cites Families (160)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2651596A (en) * 1952-04-04 1953-09-08 Standard Oil Co Refining of distillates with sulfuric acid and so2cl2
US4064284A (en) * 1975-07-22 1977-12-20 Cpc International Inc. Process for the debranning of wheat
US4187191A (en) * 1978-07-26 1980-02-05 General Motors Corporation Photoresist stripper with dodecylsulfonic acid and chlorinated solvents
US5008515A (en) 1990-05-10 1991-04-16 Mccormack William C Body temperature responsive transport warming blanket
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
US5429764A (en) * 1993-08-24 1995-07-04 Eftichios Van Vlahakis Liquid drain opener compositions based on sulfuric acid
JP3236220B2 (ja) * 1995-11-13 2001-12-10 東京応化工業株式会社 レジスト用剥離液組成物
US5702075A (en) 1996-01-31 1997-12-30 David Lehrman Automatically collapsible support for an electrical cord for use with an ironing board
US6323168B1 (en) 1996-07-03 2001-11-27 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US7534752B2 (en) 1996-07-03 2009-05-19 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US6755989B2 (en) 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6224785B1 (en) 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US5993685A (en) 1997-04-02 1999-11-30 Advanced Technology Materials Planarization composition for removing metal films
AU7147798A (en) 1997-04-23 1998-11-13 Advanced Chemical Systems International, Inc. Planarization compositions for cmp of interlayer dielectrics
JP3076270B2 (ja) * 1997-06-24 2000-08-14 キヤノン販売株式会社 レジスト膜の除去方法及び半導体装置の製造方法
US5976928A (en) 1997-11-20 1999-11-02 Advanced Technology Materials, Inc. Chemical mechanical polishing of FeRAM capacitors
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
US6306807B1 (en) 1998-05-18 2001-10-23 Advanced Technology Materials, Inc. Boric acid containing compositions for stripping residues from semiconductor substrates
US6875733B1 (en) 1998-10-14 2005-04-05 Advanced Technology Materials, Inc. Ammonium borate containing compositions for stripping residues from semiconductor substrates
US6395194B1 (en) 1998-12-18 2002-05-28 Intersurface Dynamics Inc. Chemical mechanical polishing compositions, and process for the CMP removal of iridium thin using same
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6723691B2 (en) 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6492308B1 (en) 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6409781B1 (en) 2000-05-01 2002-06-25 Advanced Technology Materials, Inc. Polishing slurries for copper and associated materials
US7456140B2 (en) * 2000-07-10 2008-11-25 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductor devices
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6627587B2 (en) 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US7029373B2 (en) 2001-08-14 2006-04-18 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6800218B2 (en) 2001-08-23 2004-10-05 Advanced Technology Materials, Inc. Abrasive free formulations for chemical mechanical polishing of copper and associated materials and method of using same
US6802983B2 (en) 2001-09-17 2004-10-12 Advanced Technology Materials, Inc. Preparation of high performance silica slurry using a centrifuge
US7030168B2 (en) 2001-12-31 2006-04-18 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates
US7119418B2 (en) 2001-12-31 2006-10-10 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates
US7557073B2 (en) 2001-12-31 2009-07-07 Advanced Technology Materials, Inc. Non-fluoride containing supercritical fluid composition for removal of ion-implant photoresist
US7326673B2 (en) 2001-12-31 2008-02-05 Advanced Technology Materials, Inc. Treatment of semiconductor substrates using long-chain organothiols or long-chain acetates
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US7833957B2 (en) * 2002-08-22 2010-11-16 Daikin Industries, Ltd. Removing solution
US7011716B2 (en) 2003-04-29 2006-03-14 Advanced Technology Materials, Inc. Compositions and methods for drying patterned wafers during manufacture of integrated circuitry products
US7223352B2 (en) 2002-10-31 2007-05-29 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US6943139B2 (en) 2002-10-31 2005-09-13 Advanced Technology Materials, Inc. Removal of particle contamination on patterned silicon/silicon dioxide using supercritical carbon dioxide/chemical formulations
US7485611B2 (en) 2002-10-31 2009-02-03 Advanced Technology Materials, Inc. Supercritical fluid-based cleaning compositions and methods
US6989358B2 (en) 2002-10-31 2006-01-24 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for removal of photoresists
US20060019850A1 (en) 2002-10-31 2006-01-26 Korzenski Michael B Removal of particle contamination on a patterned silicon/silicon dioxide using dense fluid/chemical formulations
US7300601B2 (en) 2002-12-10 2007-11-27 Advanced Technology Materials, Inc. Passivative chemical mechanical polishing composition for copper film planarization
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
US6735978B1 (en) 2003-02-11 2004-05-18 Advanced Technology Materials, Inc. Treatment of supercritical fluid utilized in semiconductor manufacturing applications
WO2004101222A2 (en) 2003-05-12 2004-11-25 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for step-ii copper liner and other associated materials and method of using same
US7736405B2 (en) 2003-05-12 2010-06-15 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for copper and associated materials and method of using same
US7119052B2 (en) 2003-06-24 2006-10-10 Advanced Technology Materials, Inc. Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers
US7335239B2 (en) 2003-11-17 2008-02-26 Advanced Technology Materials, Inc. Chemical mechanical planarization pad
US20050118832A1 (en) 2003-12-01 2005-06-02 Korzenski Michael B. Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
US7888301B2 (en) 2003-12-02 2011-02-15 Advanced Technology Materials, Inc. Resist, barc and gap fill material stripping chemical and method
US20050145311A1 (en) 2003-12-30 2005-07-07 Walker Elizabeth L. Method for monitoring surface treatment of copper containing devices
US7553803B2 (en) 2004-03-01 2009-06-30 Advanced Technology Materials, Inc. Enhancement of silicon-containing particulate material removal using supercritical fluid-based compositions
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US20050227482A1 (en) 2004-03-24 2005-10-13 Korzenski Michael B Composition useful for removal of bottom anti-reflection coatings from patterned ion-implanted photoresist wafers
US20060063687A1 (en) 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060148666A1 (en) 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US7923423B2 (en) 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
US7365045B2 (en) 2005-03-30 2008-04-29 Advanced Tehnology Materials, Inc. Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide
WO2006107517A2 (en) * 2005-04-04 2006-10-12 Mallinckrodt Baker, Inc. Composition for cleaning ion implanted photoresist in front end of line applications
WO2006110645A2 (en) 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
KR20070120609A (ko) 2005-04-15 2007-12-24 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 마이크로전자 소자로부터 이온 주입 포토레지스트층을세정하기 위한 배합물
US20070251551A1 (en) 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
JP2008537018A (ja) 2005-04-15 2008-09-11 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 超臨界流体による除去または堆積プロセスのための装置および方法
EP1880410A2 (en) * 2005-05-13 2008-01-23 Sachem, Inc. Selective wet etching of oxides
SG162725A1 (en) 2005-05-26 2010-07-29 Advanced Tech Materials Copper passivating post-chemical mechanical polishing cleaning composition and method of use
KR101332302B1 (ko) 2005-06-06 2013-11-25 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 단일 플래튼 처리를 위한 방법 및 일체형 화학적 기계적연마 조성물
CN102981377B (zh) 2005-06-07 2014-11-12 高级技术材料公司 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
CN101233601A (zh) 2005-06-13 2008-07-30 高级技术材料公司 在金属硅化物形成后用于选择性除去金属或金属合金的组合物及方法
KR20080023346A (ko) 2005-06-16 2008-03-13 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 경화된 포토레지스트, 에칭 후 잔류물 및/또는 바닥 반사방지 코팅 층의 제거를 위한 고밀도 유체 조성물
TW200714696A (en) 2005-08-05 2007-04-16 Advanced Tech Materials High throughput chemical mechanical polishing composition for metal film planarization
WO2007027522A2 (en) 2005-08-29 2007-03-08 Advanced Technology Materials, Inc. Composition and method for removing thick film photoresist
EP1932174A4 (en) 2005-10-05 2009-09-23 Advanced Tech Materials AQUEOUS OXIDIZING CLEANER FOR REMOVING RESIDUES AFTER A PLASMA ATTACK
US20090032766A1 (en) 2005-10-05 2009-02-05 Advanced Technology Materials, Inc. Composition and method for selectively etching gate spacer oxide material
WO2007047365A2 (en) 2005-10-13 2007-04-26 Advanced Technology Materials, Inc. Metals compatible photoresist and/or sacrificial antireflective coating removal composition
WO2007120259A2 (en) 2005-11-08 2007-10-25 Advanced Technology Materials, Inc. Formulations for removing copper-containing post-etch residue from microelectronic devices
AU2006340825A1 (en) 2005-11-09 2007-10-04 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
TW200734448A (en) 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
JP4839968B2 (ja) * 2006-06-08 2011-12-21 東ソー株式会社 レジスト除去用組成物及びレジストの除去方法
US20080076688A1 (en) 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
WO2008036823A2 (en) 2006-09-21 2008-03-27 Advanced Technology Materials, Inc. Uric acid additive for cleaning formulations
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
JP5017985B2 (ja) * 2006-09-25 2012-09-05 東ソー株式会社 レジスト除去用組成物及びレジストの除去方法
WO2008039730A1 (en) 2006-09-25 2008-04-03 Advanced Technology Materials, Inc. Compositions and methods for the removal of photoresist for a wafer rework application
US20080125342A1 (en) 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
TWI449784B (zh) 2006-12-21 2014-08-21 Advanced Tech Materials 用以移除蝕刻後殘餘物之液體清洗劑
SG177201A1 (en) 2006-12-21 2012-01-30 Advanced Tech Materials Compositions and methods for the selective removal of silicon nitride
US20100087065A1 (en) 2007-01-31 2010-04-08 Advanced Technology Materials, Inc. Stabilization of polymer-silica dispersions for chemical mechanical polishing slurry applications
TWI516573B (zh) 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
SG166102A1 (en) * 2007-03-31 2010-11-29 Advanced Tech Materials Methods for stripping material for wafer reclamation
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
TW200918664A (en) 2007-06-13 2009-05-01 Advanced Tech Materials Wafer reclamation compositions and methods
US20100261632A1 (en) 2007-08-02 2010-10-14 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of residue from a microelectronic device
WO2009026324A2 (en) 2007-08-20 2009-02-26 Advanced Technology Materials, Inc. Composition and method for removing ion-implanted photoresist
US8178585B2 (en) 2007-11-14 2012-05-15 Advanced Technology Materials, Inc. Solvent-free synthesis of soluble nanocrystals
TW200934865A (en) 2007-11-30 2009-08-16 Advanced Tech Materials Formulations for cleaning memory device structures
TWI591158B (zh) 2008-03-07 2017-07-11 恩特葛瑞斯股份有限公司 非選擇性氧化物蝕刻濕清潔組合物及使用方法
US20090253072A1 (en) 2008-04-01 2009-10-08 Petruska Melissa A Nanoparticle reversible contrast enhancement material and method
US8026200B2 (en) 2008-05-01 2011-09-27 Advanced Technology Materials, Inc. Low pH mixtures for the removal of high density implanted resist
TW201013338A (en) 2008-08-04 2010-04-01 Advanced Tech Materials Environmentally friendly polymer stripping compositions
KR20110063845A (ko) 2008-10-02 2011-06-14 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 실리콘 기판의 금속 로딩 및 표면 패시베이션을 향상시키기 위한 계면활성제/소포제 혼합물의 용도
US9074170B2 (en) 2008-10-21 2015-07-07 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
JP2012516380A (ja) 2009-01-28 2012-07-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド リソグラフツールの原位置(insitu)清浄化用配合物
WO2010086745A1 (en) 2009-02-02 2010-08-05 Atmi Taiwan Co., Ltd. Method of etching lanthanum-containing oxide layers
WO2010091045A2 (en) 2009-02-05 2010-08-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of polymers and other organic material from a surface
US8754021B2 (en) 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
US8367555B2 (en) 2009-12-11 2013-02-05 International Business Machines Corporation Removal of masking material
US9045717B2 (en) 2010-01-29 2015-06-02 Advanced Technology Materials, Inc. Cleaning agent for semiconductor provided with metal wiring
JP5858597B2 (ja) 2010-01-29 2016-02-10 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド タングステン配線半導体用洗浄剤
WO2011130622A1 (en) 2010-04-15 2011-10-20 Advanced Technology Materials, Inc. Method for recycling of obsolete printed circuit boards
JP2012021151A (ja) 2010-06-16 2012-02-02 Sanyo Chem Ind Ltd 銅配線半導体用洗浄剤
JP2013533631A (ja) 2010-07-16 2013-08-22 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド エッチング後残渣を除去するための水性洗浄剤
JP2012036750A (ja) 2010-08-04 2012-02-23 Panasonic Corp 圧縮機
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
US9238850B2 (en) 2010-08-20 2016-01-19 Advanced Technology Materials, Inc. Sustainable process for reclaiming precious metals and base metals from e-waste
US20130280123A1 (en) 2010-08-27 2013-10-24 Advanced Technology Materials, Inc. Method for preventing the collapse of high aspect ratio structures during drying
SG189292A1 (en) 2010-10-06 2013-05-31 Advanced Tech Materials Composition and process for selectively etching metal nitrides
TWI502065B (zh) 2010-10-13 2015-10-01 Entegris Inc 抑制氮化鈦腐蝕之組成物及方法
KR102064487B1 (ko) 2011-01-13 2020-01-10 엔테그리스, 아이엔씨. 세륨-함유 용액에 의해 발생된 입자의 제거를 위한 배합물
JP2012186470A (ja) 2011-02-18 2012-09-27 Sanyo Chem Ind Ltd 銅配線半導体用洗浄剤
WO2012154498A2 (en) 2011-05-06 2012-11-15 Advanced Technology Materials, Inc. Removal of metal impurities from silicon surfaces for solar cell and semiconductor applications
JP2012251026A (ja) 2011-05-31 2012-12-20 Sanyo Chem Ind Ltd 半導体用洗浄剤
TW201311869A (zh) 2011-06-16 2013-03-16 Advanced Tech Materials 選擇性蝕刻氮化矽之組成物及方法
KR101965465B1 (ko) 2011-06-21 2019-04-03 엔테그리스, 아이엔씨. 리튬 이온 배터리로부터 리튬 코발트 옥사이드를 회수하는 방법
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
EP2768920A4 (en) 2011-10-21 2015-06-03 Advanced Tech Materials AMIN FREE POST-KMP COMPOSITION AND METHOD OF USE THEREOF
US8618036B2 (en) 2011-11-14 2013-12-31 International Business Machines Corporation Aqueous cerium-containing solution having an extended bath lifetime for removing mask material
IN2014KN01462A (zh) 2011-12-15 2015-10-23 Advanced Tech Materials
JP6329909B2 (ja) 2011-12-28 2018-05-23 インテグリス・インコーポレーテッド 窒化チタンを選択的にエッチングするための組成物および方法
CN104508072A (zh) 2012-02-15 2015-04-08 安格斯公司 用于cmp后去除的组合物及使用方法
WO2013138278A1 (en) 2012-03-12 2013-09-19 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
US20150075570A1 (en) 2012-03-12 2015-03-19 Entegris, Inc. Methods for the selective removal of ashed spin-on glass
SG11201405737VA (en) 2012-03-18 2014-10-30 Entegris Inc Post-cmp formulation having improved barrier layer compatibility and cleaning performance
WO2013152260A1 (en) 2012-04-06 2013-10-10 Advanced Technology Materials, Inc. Removal of lead from solid materials
US20130295712A1 (en) 2012-05-03 2013-11-07 Advanced Technology Materials, Inc. Methods of texturing surfaces for controlled reflection
KR102100254B1 (ko) 2012-05-11 2020-04-13 엔테그리스, 아이엔씨. 규소화물 제작 중의 NiPt 습식 에칭을 위한 배합물
CN104395989A (zh) 2012-05-18 2015-03-04 高级技术材料公司 用于改进有机残余物去除的具有低铜蚀刻速率的水性清洁溶液
EP2850495A4 (en) 2012-05-18 2016-01-20 Entegris Inc COMPOSITION AND METHOD FOR REMOVING PHOTOLACK FROM A SURFACE WITH TITANNITRIDE
US8709277B2 (en) * 2012-09-10 2014-04-29 Fujifilm Corporation Etching composition
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
CN103414963A (zh) 2013-08-01 2013-11-27 浙江生辉照明有限公司 一种音箱led灯
KR102294726B1 (ko) 2013-03-04 2021-08-30 엔테그리스, 아이엔씨. 티타늄 나이트라이드를 선택적으로 에칭하기 위한 조성물 및 방법
EP2778158A1 (en) 2013-03-14 2014-09-17 Advanced Technology Materials, Inc. Sulfolane mixtures as ambient aprotic polar solvents
KR20150143676A (ko) 2013-04-22 2015-12-23 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 구리 세정 및 보호 제형
US20160122696A1 (en) 2013-05-17 2016-05-05 Advanced Technology Materials, Inc. Compositions and methods for removing ceria particles from a surface
EP3004287B1 (en) 2013-06-06 2021-08-18 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
TWI683889B (zh) 2013-07-31 2020-02-01 美商恩特葛瑞斯股份有限公司 用於移除金屬硬遮罩及蝕刻後殘餘物之具有Cu/W相容性的水性配方
SG11201601158VA (en) 2013-08-30 2016-03-30 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
CA2920938C (en) 2013-08-30 2021-07-27 Shell Internationale Research Maatschappij B.V. Use of a cooled feed stream in processes for catalytic conversion of saccharide-containing feedstocks
TWI654340B (zh) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
KR102290209B1 (ko) 2013-12-31 2021-08-20 엔테그리스, 아이엔씨. 규소 및 게르마늄을 선택적으로 에칭하기 위한 배합물
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015116679A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015130607A1 (en) 2014-02-25 2015-09-03 Advanced Technology Materials, Inc. Wet based formulations for the selective removal of noble metals

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1447754A (zh) * 2000-07-10 2003-10-08 Ekc技术公司 用于清洁半导体设备上有机残余物和等离子蚀刻残余物的组合物
CN102839062A (zh) * 2007-08-22 2012-12-26 大金工业株式会社 残渣除去液的使用

Also Published As

Publication number Publication date
KR20160098462A (ko) 2016-08-18
CN105960699A (zh) 2016-09-21
SG10201805234YA (en) 2018-08-30
TW201546577A (zh) 2015-12-16
KR102352475B1 (ko) 2022-01-18
US20180240680A1 (en) 2018-08-23
EP3084809A4 (en) 2017-08-23
EP3084809A1 (en) 2016-10-26
SG11201605003WA (en) 2016-07-28
US20160322232A1 (en) 2016-11-03
WO2015095726A1 (en) 2015-06-25
TWI662379B (zh) 2019-06-11
JP2017508187A (ja) 2017-03-23
US10347504B2 (en) 2019-07-09
JP6776125B2 (ja) 2020-10-28

Similar Documents

Publication Publication Date Title
CN105960699B (zh) 非氧化性强酸用于清除离子注入抗蚀剂的用途
CN104145324B (zh) 用于选择性蚀刻氮化钛的组合物和方法
TWI683889B (zh) 用於移除金屬硬遮罩及蝕刻後殘餘物之具有Cu/W相容性的水性配方
JP6723152B2 (ja) 窒化チタンを選択的にエッチングするための組成物及び方法
CN105102584B (zh) 用于选择性蚀刻氮化钛的组合物和方法
CN107155367B (zh) 利用钨及钴兼容性移除蚀刻后残余物的含水及半含水清洁剂
TWI494710B (zh) 用於移除高密度經摻雜光阻之低pH混合物
TWI693305B (zh) 於製造一半導體裝置時用於從矽-鍺/矽堆疊同時移除矽及矽-鍺合金的蝕刻溶液
TW202014512A (zh) 用於TiN硬遮罩的移除及蝕刻殘留物的清潔的組合物
US20110039747A1 (en) Composition and method for removing ion-implanted photoresist
JP2008537343A (ja) マイクロエレクトロニクスデバイスからイオン注入フォトレジスト層をクリーニングするための配合物
TW201406932A (zh) 用於自包含氮化鈦之表面脫除光阻劑之組成物及方法
CN110249041A (zh) 清洗制剂
JP2023536836A (ja) 窒化シリコン膜を選択的にエッチングするための組成物及び方法
TW202212540A (zh) 氮化物蝕刻劑組合物及方法
JP2008538013A (ja) 溶媒系中の自己組織化単分子膜を用いた高線量イオン注入フォトレジストの除去

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
C41 Transfer of patent application or patent right or utility model
CB02 Change of applicant information

Address after: Massachusetts, USA

Applicant after: ENTEGRIS, Inc.

Applicant after: Advanced Technical Materials Korea Co.,Ltd.

Address before: Massachusetts, USA

Applicant before: MYKROLIS Corp.

Applicant before: Advanced Technical Materials Korea Co.,Ltd.

COR Change of bibliographic data
TA01 Transfer of patent application right

Effective date of registration: 20170227

Address after: Massachusetts, USA

Applicant after: ENTEGRIS, Inc.

Applicant after: ATMI KOREA Co.,Ltd.

Address before: Massachusetts, USA

Applicant before: ENTEGRIS, Inc.

Applicant before: Advanced Technical Materials Korea Co.,Ltd.

GR01 Patent grant
GR01 Patent grant