TW201546577A - 移除離子植入抗蝕劑之非氧化強酸類之用途 - Google Patents
移除離子植入抗蝕劑之非氧化強酸類之用途 Download PDFInfo
- Publication number
- TW201546577A TW201546577A TW103144470A TW103144470A TW201546577A TW 201546577 A TW201546577 A TW 201546577A TW 103144470 A TW103144470 A TW 103144470A TW 103144470 A TW103144470 A TW 103144470A TW 201546577 A TW201546577 A TW 201546577A
- Authority
- TW
- Taiwan
- Prior art keywords
- acid
- composition
- resist
- fluoride
- compound
- Prior art date
Links
- 239000002253 acid Substances 0.000 title claims description 51
- 230000001590 oxidative effect Effects 0.000 title claims description 32
- 150000007513 acids Chemical class 0.000 title description 8
- 239000000203 mixture Substances 0.000 claims abstract description 252
- 239000000463 material Substances 0.000 claims abstract description 86
- 238000000034 method Methods 0.000 claims abstract description 75
- 238000004377 microelectronic Methods 0.000 claims abstract description 68
- QAOWNCQODCNURD-UHFFFAOYSA-N Sulfuric acid Chemical compound OS(O)(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-N 0.000 claims description 103
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 claims description 84
- -1 bromide compound Chemical class 0.000 claims description 74
- IAZDPXIOMUYVGZ-UHFFFAOYSA-N Dimethylsulphoxide Chemical compound CS(C)=O IAZDPXIOMUYVGZ-UHFFFAOYSA-N 0.000 claims description 66
- IXCSERBJSXMMFS-UHFFFAOYSA-N hydrogen chloride Substances Cl.Cl IXCSERBJSXMMFS-UHFFFAOYSA-N 0.000 claims description 65
- 229910000041 hydrogen chloride Inorganic materials 0.000 claims description 65
- KFZMGEQAYNKOFK-UHFFFAOYSA-N Isopropanol Chemical compound CC(C)O KFZMGEQAYNKOFK-UHFFFAOYSA-N 0.000 claims description 51
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 46
- KRHYYFGTRYWZRS-UHFFFAOYSA-M Fluoride anion Chemical compound [F-] KRHYYFGTRYWZRS-UHFFFAOYSA-M 0.000 claims description 43
- 150000001875 compounds Chemical class 0.000 claims description 35
- 150000002500 ions Chemical class 0.000 claims description 34
- 239000000126 substance Substances 0.000 claims description 24
- 239000007800 oxidant agent Substances 0.000 claims description 22
- 239000004094 surface-active agent Substances 0.000 claims description 22
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 claims description 21
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 18
- MUBZPKHOEPUJKR-UHFFFAOYSA-N Oxalic acid Chemical compound OC(=O)C(O)=O MUBZPKHOEPUJKR-UHFFFAOYSA-N 0.000 claims description 18
- KRKNYBCHXYNGOX-UHFFFAOYSA-N citric acid Chemical compound OC(=O)CC(O)(C(O)=O)CC(O)=O KRKNYBCHXYNGOX-UHFFFAOYSA-N 0.000 claims description 18
- KEQGZUUPPQEDPF-UHFFFAOYSA-N 1,3-dichloro-5,5-dimethylimidazolidine-2,4-dione Chemical compound CC1(C)N(Cl)C(=O)N(Cl)C1=O KEQGZUUPPQEDPF-UHFFFAOYSA-N 0.000 claims description 15
- AFVFQIVMOAPDHO-UHFFFAOYSA-N Methanesulfonic acid Chemical compound CS(O)(=O)=O AFVFQIVMOAPDHO-UHFFFAOYSA-N 0.000 claims description 15
- XTHPWXDJESJLNJ-UHFFFAOYSA-N chlorosulfonic acid Substances OS(Cl)(=O)=O XTHPWXDJESJLNJ-UHFFFAOYSA-N 0.000 claims description 15
- 230000008018 melting Effects 0.000 claims description 15
- 238000002844 melting Methods 0.000 claims description 15
- QTBSBXVTEAMEQO-UHFFFAOYSA-N Acetic acid Chemical compound CC(O)=O QTBSBXVTEAMEQO-UHFFFAOYSA-N 0.000 claims description 14
- DTQVDTLACAAQTR-UHFFFAOYSA-N Trifluoroacetic acid Chemical compound OC(=O)C(F)(F)F DTQVDTLACAAQTR-UHFFFAOYSA-N 0.000 claims description 14
- OKKJLVBELUTLKV-UHFFFAOYSA-N Methanol Chemical compound OC OKKJLVBELUTLKV-UHFFFAOYSA-N 0.000 claims description 12
- 229910052739 hydrogen Inorganic materials 0.000 claims description 11
- 238000004519 manufacturing process Methods 0.000 claims description 11
- 229910052757 nitrogen Inorganic materials 0.000 claims description 11
- 239000007789 gas Substances 0.000 claims description 10
- BMYNFMYTOJXKLE-UHFFFAOYSA-N 3-azaniumyl-2-hydroxypropanoate Chemical compound NCC(O)C(O)=O BMYNFMYTOJXKLE-UHFFFAOYSA-N 0.000 claims description 9
- OFOBLEOULBTSOW-UHFFFAOYSA-N Propanedioic acid Natural products OC(=O)CC(O)=O OFOBLEOULBTSOW-UHFFFAOYSA-N 0.000 claims description 9
- 239000003960 organic solvent Substances 0.000 claims description 9
- DIIIISSCIXVANO-UHFFFAOYSA-N 1,2-Dimethylhydrazine Chemical compound CNNC DIIIISSCIXVANO-UHFFFAOYSA-N 0.000 claims description 8
- VEXZGXHMUGYJMC-UHFFFAOYSA-M Chloride anion Chemical compound [Cl-] VEXZGXHMUGYJMC-UHFFFAOYSA-M 0.000 claims description 8
- 150000001412 amines Chemical class 0.000 claims description 8
- 239000001257 hydrogen Substances 0.000 claims description 8
- 125000005207 tetraalkylammonium group Chemical group 0.000 claims description 8
- 229940098779 methanesulfonic acid Drugs 0.000 claims description 7
- 125000004169 (C1-C6) alkyl group Chemical group 0.000 claims description 6
- CIWBSHSKHKDKBQ-JLAZNSOCSA-N Ascorbic acid Chemical compound OC[C@H](O)[C@H]1OC(=O)C(O)=C1O CIWBSHSKHKDKBQ-JLAZNSOCSA-N 0.000 claims description 6
- CPELXLSAUQHCOX-UHFFFAOYSA-M Bromide Chemical compound [Br-] CPELXLSAUQHCOX-UHFFFAOYSA-M 0.000 claims description 6
- VZCYOOQTPOCHFL-OWOJBTEDSA-N Fumaric acid Chemical compound OC(=O)\C=C\C(O)=O VZCYOOQTPOCHFL-OWOJBTEDSA-N 0.000 claims description 6
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 claims description 6
- LCTONWCANYUPML-UHFFFAOYSA-N Pyruvic acid Chemical compound CC(=O)C(O)=O LCTONWCANYUPML-UHFFFAOYSA-N 0.000 claims description 6
- WPYMKLBDIGXBTP-UHFFFAOYSA-N benzoic acid Chemical compound OC(=O)C1=CC=CC=C1 WPYMKLBDIGXBTP-UHFFFAOYSA-N 0.000 claims description 6
- 235000015165 citric acid Nutrition 0.000 claims description 6
- JXTHNDFMNIQAHM-UHFFFAOYSA-N dichloroacetic acid Chemical compound OC(=O)C(Cl)Cl JXTHNDFMNIQAHM-UHFFFAOYSA-N 0.000 claims description 6
- XBDQKXXYIPTUBI-UHFFFAOYSA-N dimethylselenoniopropionate Natural products CCC(O)=O XBDQKXXYIPTUBI-UHFFFAOYSA-N 0.000 claims description 6
- NIHNNTQXNPWCJQ-UHFFFAOYSA-N fluorene Chemical compound C1=CC=C2CC3=CC=CC=C3C2=C1 NIHNNTQXNPWCJQ-UHFFFAOYSA-N 0.000 claims description 6
- QEWYKACRFQMRMB-UHFFFAOYSA-N fluoroacetic acid Chemical compound OC(=O)CF QEWYKACRFQMRMB-UHFFFAOYSA-N 0.000 claims description 6
- JVTAAEKCZFNVCJ-UHFFFAOYSA-N lactic acid Chemical compound CC(O)C(O)=O JVTAAEKCZFNVCJ-UHFFFAOYSA-N 0.000 claims description 6
- 235000006408 oxalic acid Nutrition 0.000 claims description 6
- SIOXPEMLGUPBBT-UHFFFAOYSA-N picolinic acid Chemical compound OC(=O)C1=CC=CC=N1 SIOXPEMLGUPBBT-UHFFFAOYSA-N 0.000 claims description 6
- YGSDEFSMJLZEOE-UHFFFAOYSA-N salicylic acid Chemical compound OC(=O)C1=CC=CC=C1O YGSDEFSMJLZEOE-UHFFFAOYSA-N 0.000 claims description 6
- RAOIDOHSFRTOEL-UHFFFAOYSA-N tetrahydrothiophene Chemical compound C1CCSC1 RAOIDOHSFRTOEL-UHFFFAOYSA-N 0.000 claims description 6
- JOXIMZWYDAKGHI-UHFFFAOYSA-N toluene-4-sulfonic acid Chemical compound CC1=CC=C(S(O)(=O)=O)C=C1 JOXIMZWYDAKGHI-UHFFFAOYSA-N 0.000 claims description 6
- VZCYOOQTPOCHFL-UHFFFAOYSA-N trans-butenedioic acid Natural products OC(=O)C=CC(O)=O VZCYOOQTPOCHFL-UHFFFAOYSA-N 0.000 claims description 6
- NQPDZGIKBAWPEJ-UHFFFAOYSA-N valeric acid Chemical compound CCCCC(O)=O NQPDZGIKBAWPEJ-UHFFFAOYSA-N 0.000 claims description 6
- 125000003118 aryl group Chemical group 0.000 claims description 5
- 229920006395 saturated elastomer Polymers 0.000 claims description 5
- FERIUCNNQQJTOY-UHFFFAOYSA-N Butyric acid Chemical compound CCCC(O)=O FERIUCNNQQJTOY-UHFFFAOYSA-N 0.000 claims description 4
- CPELXLSAUQHCOX-UHFFFAOYSA-N Hydrogen bromide Chemical compound Br CPELXLSAUQHCOX-UHFFFAOYSA-N 0.000 claims description 4
- 229910052797 bismuth Inorganic materials 0.000 claims description 4
- JCXGWMGPZLAOME-UHFFFAOYSA-N bismuth atom Chemical compound [Bi] JCXGWMGPZLAOME-UHFFFAOYSA-N 0.000 claims description 4
- BDAGIHXWWSANSR-UHFFFAOYSA-N methanoic acid Natural products OC=O BDAGIHXWWSANSR-UHFFFAOYSA-N 0.000 claims description 4
- XHXFXVLFKHQFAL-UHFFFAOYSA-N phosphoryl trichloride Chemical compound ClP(Cl)(Cl)=O XHXFXVLFKHQFAL-UHFFFAOYSA-N 0.000 claims description 4
- 238000005498 polishing Methods 0.000 claims description 4
- 239000002904 solvent Substances 0.000 claims description 4
- YNJQKNVVBBIPBA-UHFFFAOYSA-M tetrabutylazanium;trifluoromethanesulfonate Chemical compound [O-]S(=O)(=O)C(F)(F)F.CCCC[N+](CCCC)(CCCC)CCCC YNJQKNVVBBIPBA-UHFFFAOYSA-M 0.000 claims description 4
- 125000004191 (C1-C6) alkoxy group Chemical group 0.000 claims description 3
- BJEPYKJPYRNKOW-REOHCLBHSA-N (S)-malic acid Chemical compound OC(=O)[C@@H](O)CC(O)=O BJEPYKJPYRNKOW-REOHCLBHSA-N 0.000 claims description 3
- IFZHGQSUNAKKSN-UHFFFAOYSA-N 1,1-diethylhydrazine Chemical compound CCN(N)CC IFZHGQSUNAKKSN-UHFFFAOYSA-N 0.000 claims description 3
- FEBRIAPYLGMZSR-UHFFFAOYSA-N 1,2-dibutylhydrazine Chemical compound CCCCNNCCCC FEBRIAPYLGMZSR-UHFFFAOYSA-N 0.000 claims description 3
- BOOQVRGRSDTZRZ-UHFFFAOYSA-N 1,2-dipropylhydrazine Chemical compound CCCNNCCC BOOQVRGRSDTZRZ-UHFFFAOYSA-N 0.000 claims description 3
- ZFSFKYIBIOKXKI-UHFFFAOYSA-N 1-ethyl-1-methylhydrazine Chemical compound CCN(C)N ZFSFKYIBIOKXKI-UHFFFAOYSA-N 0.000 claims description 3
- RTBFRGCFXZNCOE-UHFFFAOYSA-N 1-methylsulfonylpiperidin-4-one Chemical compound CS(=O)(=O)N1CCC(=O)CC1 RTBFRGCFXZNCOE-UHFFFAOYSA-N 0.000 claims description 3
- DDFHBQSCUXNBSA-UHFFFAOYSA-N 5-(5-carboxythiophen-2-yl)thiophene-2-carboxylic acid Chemical compound S1C(C(=O)O)=CC=C1C1=CC=C(C(O)=O)S1 DDFHBQSCUXNBSA-UHFFFAOYSA-N 0.000 claims description 3
- YCPXWRQRBFJBPZ-UHFFFAOYSA-N 5-sulfosalicylic acid Chemical compound OC(=O)C1=CC(S(O)(=O)=O)=CC=C1O YCPXWRQRBFJBPZ-UHFFFAOYSA-N 0.000 claims description 3
- 239000005711 Benzoic acid Substances 0.000 claims description 3
- FEWJPZIEWOKRBE-JCYAYHJZSA-N Dextrotartaric acid Chemical compound OC(=O)[C@H](O)[C@@H](O)C(O)=O FEWJPZIEWOKRBE-JCYAYHJZSA-N 0.000 claims description 3
- DBASYWOABBGWNL-UHFFFAOYSA-N NN.S1CCCC1 Chemical compound NN.S1CCCC1 DBASYWOABBGWNL-UHFFFAOYSA-N 0.000 claims description 3
- KDYFGRWQOYBRFD-UHFFFAOYSA-N Succinic acid Natural products OC(=O)CCC(O)=O KDYFGRWQOYBRFD-UHFFFAOYSA-N 0.000 claims description 3
- ULUAUXLGCMPNKK-UHFFFAOYSA-N Sulfobutanedioic acid Chemical compound OC(=O)CC(C(O)=O)S(O)(=O)=O ULUAUXLGCMPNKK-UHFFFAOYSA-N 0.000 claims description 3
- FEWJPZIEWOKRBE-UHFFFAOYSA-N Tartaric acid Natural products [H+].[H+].[O-]C(=O)C(O)C(O)C([O-])=O FEWJPZIEWOKRBE-UHFFFAOYSA-N 0.000 claims description 3
- 239000003082 abrasive agent Substances 0.000 claims description 3
- BJEPYKJPYRNKOW-UHFFFAOYSA-N alpha-hydroxysuccinic acid Natural products OC(=O)C(O)CC(O)=O BJEPYKJPYRNKOW-UHFFFAOYSA-N 0.000 claims description 3
- JFCQEDHGNNZCLN-UHFFFAOYSA-N anhydrous glutaric acid Natural products OC(=O)CCCC(O)=O JFCQEDHGNNZCLN-UHFFFAOYSA-N 0.000 claims description 3
- 235000010323 ascorbic acid Nutrition 0.000 claims description 3
- 239000011668 ascorbic acid Substances 0.000 claims description 3
- 229960005070 ascorbic acid Drugs 0.000 claims description 3
- LDDQLRUQCUTJBB-UHFFFAOYSA-O azanium;hydrofluoride Chemical compound [NH4+].F LDDQLRUQCUTJBB-UHFFFAOYSA-O 0.000 claims description 3
- 235000010233 benzoic acid Nutrition 0.000 claims description 3
- QDHFHIQKOVNCNC-UHFFFAOYSA-N butane-1-sulfonic acid Chemical compound CCCCS(O)(=O)=O QDHFHIQKOVNCNC-UHFFFAOYSA-N 0.000 claims description 3
- KDYFGRWQOYBRFD-NUQCWPJISA-N butanedioic acid Chemical compound O[14C](=O)CC[14C](O)=O KDYFGRWQOYBRFD-NUQCWPJISA-N 0.000 claims description 3
- 229910052799 carbon Inorganic materials 0.000 claims description 3
- FOCAUTSVDIKZOP-UHFFFAOYSA-N chloroacetic acid Chemical compound OC(=O)CCl FOCAUTSVDIKZOP-UHFFFAOYSA-N 0.000 claims description 3
- 229960005215 dichloroacetic acid Drugs 0.000 claims description 3
- PBWZKZYHONABLN-UHFFFAOYSA-N difluoroacetic acid Chemical compound OC(=O)C(F)F PBWZKZYHONABLN-UHFFFAOYSA-N 0.000 claims description 3
- CCIVGXIOQKPBKL-UHFFFAOYSA-M ethanesulfonate Chemical compound CCS([O-])(=O)=O CCIVGXIOQKPBKL-UHFFFAOYSA-M 0.000 claims description 3
- UQSQSQZYBQSBJZ-UHFFFAOYSA-N fluorosulfonic acid Chemical compound OS(F)(=O)=O UQSQSQZYBQSBJZ-UHFFFAOYSA-N 0.000 claims description 3
- 239000001530 fumaric acid Substances 0.000 claims description 3
- 229960002598 fumaric acid Drugs 0.000 claims description 3
- 235000011087 fumaric acid Nutrition 0.000 claims description 3
- 239000004310 lactic acid Substances 0.000 claims description 3
- 235000014655 lactic acid Nutrition 0.000 claims description 3
- VZCYOOQTPOCHFL-UPHRSURJSA-N maleic acid Chemical compound OC(=O)\C=C/C(O)=O VZCYOOQTPOCHFL-UPHRSURJSA-N 0.000 claims description 3
- 239000011976 maleic acid Substances 0.000 claims description 3
- 239000001630 malic acid Substances 0.000 claims description 3
- 235000011090 malic acid Nutrition 0.000 claims description 3
- 229940099690 malic acid Drugs 0.000 claims description 3
- IWYDHOAUDWTVEP-UHFFFAOYSA-N mandelic acid Chemical compound OC(=O)C(O)C1=CC=CC=C1 IWYDHOAUDWTVEP-UHFFFAOYSA-N 0.000 claims description 3
- 229960002510 mandelic acid Drugs 0.000 claims description 3
- FJKROLUGYXJWQN-UHFFFAOYSA-N papa-hydroxy-benzoic acid Natural products OC(=O)C1=CC=C(O)C=C1 FJKROLUGYXJWQN-UHFFFAOYSA-N 0.000 claims description 3
- ACVYVLVWPXVTIT-UHFFFAOYSA-N phosphinic acid Chemical compound O[PH2]=O ACVYVLVWPXVTIT-UHFFFAOYSA-N 0.000 claims description 3
- 229940081066 picolinic acid Drugs 0.000 claims description 3
- 235000019260 propionic acid Nutrition 0.000 claims description 3
- 229940107700 pyruvic acid Drugs 0.000 claims description 3
- IUVKMZGDUIUOCP-BTNSXGMBSA-N quinbolone Chemical compound O([C@H]1CC[C@H]2[C@H]3[C@@H]([C@]4(C=CC(=O)C=C4CC3)C)CC[C@@]21C)C1=CCCC1 IUVKMZGDUIUOCP-BTNSXGMBSA-N 0.000 claims description 3
- 229960004889 salicylic acid Drugs 0.000 claims description 3
- 235000002906 tartaric acid Nutrition 0.000 claims description 3
- 239000011975 tartaric acid Substances 0.000 claims description 3
- DXIGZHYPWYIZLM-UHFFFAOYSA-J tetrafluorozirconium;dihydrofluoride Chemical compound F.F.F[Zr](F)(F)F DXIGZHYPWYIZLM-UHFFFAOYSA-J 0.000 claims description 3
- YNJBWRMUSHSURL-UHFFFAOYSA-N trichloroacetic acid Chemical compound OC(=O)C(Cl)(Cl)Cl YNJBWRMUSHSURL-UHFFFAOYSA-N 0.000 claims description 3
- ITMCEJHCFYSIIV-UHFFFAOYSA-N triflic acid Chemical compound OS(=O)(=O)C(F)(F)F ITMCEJHCFYSIIV-UHFFFAOYSA-N 0.000 claims description 3
- 229940005605 valeric acid Drugs 0.000 claims description 3
- OSWFIVFLDKOXQC-UHFFFAOYSA-N 4-(3-methoxyphenyl)aniline Chemical compound COC1=CC=CC(C=2C=CC(N)=CC=2)=C1 OSWFIVFLDKOXQC-UHFFFAOYSA-N 0.000 claims description 2
- AWQSAIIDOMEEOD-UHFFFAOYSA-N 5,5-Dimethyl-4-(3-oxobutyl)dihydro-2(3H)-furanone Chemical compound CC(=O)CCC1CC(=O)OC1(C)C AWQSAIIDOMEEOD-UHFFFAOYSA-N 0.000 claims description 2
- FXHOOIRPVKKKFG-UHFFFAOYSA-N N,N-Dimethylacetamide Chemical compound CN(C)C(C)=O FXHOOIRPVKKKFG-UHFFFAOYSA-N 0.000 claims description 2
- 235000019253 formic acid Nutrition 0.000 claims description 2
- MBAKFIZHTUAVJN-UHFFFAOYSA-I hexafluoroantimony(1-);hydron Chemical compound F.F[Sb](F)(F)(F)F MBAKFIZHTUAVJN-UHFFFAOYSA-I 0.000 claims description 2
- RLOWWWKZYUNIDI-UHFFFAOYSA-N phosphinic chloride Chemical compound ClP=O RLOWWWKZYUNIDI-UHFFFAOYSA-N 0.000 claims description 2
- 239000000779 smoke Substances 0.000 claims description 2
- JOUDBUYBGJYFFP-FOCLMDBBSA-N thioindigo Chemical compound S\1C2=CC=CC=C2C(=O)C/1=C1/C(=O)C2=CC=CC=C2S1 JOUDBUYBGJYFFP-FOCLMDBBSA-N 0.000 claims description 2
- FAQYAMRNWDIXMY-UHFFFAOYSA-N trichloroborane Chemical compound ClB(Cl)Cl FAQYAMRNWDIXMY-UHFFFAOYSA-N 0.000 claims description 2
- 229960002050 hydrofluoric acid Drugs 0.000 claims 5
- YEJRWHAVMIAJKC-UHFFFAOYSA-N 4-Butyrolactone Chemical compound O=C1CCCO1 YEJRWHAVMIAJKC-UHFFFAOYSA-N 0.000 claims 2
- 125000004435 hydrogen atom Chemical class [H]* 0.000 claims 2
- ZOSMPLGIBBPIGW-UHFFFAOYSA-N 1-methylpyrrole 1H-pyridin-2-one Chemical compound N1C(C=CC=C1)=O.CN1C=CC=C1 ZOSMPLGIBBPIGW-UHFFFAOYSA-N 0.000 claims 1
- MGKOSOIDPUKBRW-UHFFFAOYSA-H F[Ti](F)(F)(F)(F)F Chemical compound F[Ti](F)(F)(F)(F)F MGKOSOIDPUKBRW-UHFFFAOYSA-H 0.000 claims 1
- 150000004056 anthraquinones Chemical class 0.000 claims 1
- IEXRMSFAVATTJX-UHFFFAOYSA-N tetrachlorogermane Chemical compound Cl[Ge](Cl)(Cl)Cl IEXRMSFAVATTJX-UHFFFAOYSA-N 0.000 claims 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 abstract description 21
- 229910052732 germanium Inorganic materials 0.000 abstract description 20
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 abstract 1
- 229910052710 silicon Inorganic materials 0.000 abstract 1
- 239000010703 silicon Substances 0.000 abstract 1
- 238000009472 formulation Methods 0.000 description 31
- 239000000758 substrate Substances 0.000 description 29
- 238000004630 atomic force microscopy Methods 0.000 description 27
- 239000004065 semiconductor Substances 0.000 description 20
- 235000012431 wafers Nutrition 0.000 description 18
- 230000008569 process Effects 0.000 description 17
- 238000002791 soaking Methods 0.000 description 17
- 239000007943 implant Substances 0.000 description 13
- 238000005468 ion implantation Methods 0.000 description 13
- JUJWROOIHBZHMG-UHFFFAOYSA-N Pyridine Chemical compound C1=CC=NC=C1 JUJWROOIHBZHMG-UHFFFAOYSA-N 0.000 description 12
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 12
- 238000009826 distribution Methods 0.000 description 12
- 229910052707 ruthenium Inorganic materials 0.000 description 12
- 239000000243 solution Substances 0.000 description 12
- 229910004298 SiO 2 Inorganic materials 0.000 description 10
- DDXLVDQZPFLQMZ-UHFFFAOYSA-M dodecyl(trimethyl)azanium;chloride Chemical compound [Cl-].CCCCCCCCCCCC[N+](C)(C)C DDXLVDQZPFLQMZ-UHFFFAOYSA-M 0.000 description 10
- RAXXELZNTBOGNW-UHFFFAOYSA-N imidazole Natural products C1=CNC=N1 RAXXELZNTBOGNW-UHFFFAOYSA-N 0.000 description 9
- 238000001000 micrograph Methods 0.000 description 9
- 229920002120 photoresistant polymer Polymers 0.000 description 8
- 230000005855 radiation Effects 0.000 description 8
- 238000000861 blow drying Methods 0.000 description 7
- 239000002019 doping agent Substances 0.000 description 7
- 125000000623 heterocyclic group Chemical group 0.000 description 7
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 6
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 6
- NQRYJNQNLNOLGT-UHFFFAOYSA-N Piperidine Chemical compound C1CCNCC1 NQRYJNQNLNOLGT-UHFFFAOYSA-N 0.000 description 6
- 238000004458 analytical method Methods 0.000 description 6
- 229910052787 antimony Inorganic materials 0.000 description 6
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 description 6
- 229910052785 arsenic Inorganic materials 0.000 description 6
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 6
- 229910052796 boron Inorganic materials 0.000 description 6
- 238000004090 dissolution Methods 0.000 description 6
- UMJSCPRVCHMLSP-UHFFFAOYSA-N pyridine Natural products COC1=CC=CN=C1 UMJSCPRVCHMLSP-UHFFFAOYSA-N 0.000 description 6
- 125000001797 benzyl group Chemical group [H]C1=C([H])C([H])=C(C([H])=C1[H])C([H])([H])* 0.000 description 5
- 239000003989 dielectric material Substances 0.000 description 5
- 238000002156 mixing Methods 0.000 description 5
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 5
- 125000000094 2-phenylethyl group Chemical group [H]C1=C([H])C([H])=C(C([H])=C1[H])C([H])([H])C([H])([H])* 0.000 description 4
- 229910052684 Cerium Inorganic materials 0.000 description 4
- 238000013019 agitation Methods 0.000 description 4
- 125000000217 alkyl group Chemical group 0.000 description 4
- 150000001649 bromium compounds Chemical class 0.000 description 4
- GWXLDORMOJMVQZ-UHFFFAOYSA-N cerium Chemical compound [Ce] GWXLDORMOJMVQZ-UHFFFAOYSA-N 0.000 description 4
- 238000006243 chemical reaction Methods 0.000 description 4
- OKZIUSOJQLYFSE-UHFFFAOYSA-N difluoroboron Chemical compound F[B]F OKZIUSOJQLYFSE-UHFFFAOYSA-N 0.000 description 4
- 239000002270 dispersing agent Substances 0.000 description 4
- 238000005530 etching Methods 0.000 description 4
- 229910052738 indium Inorganic materials 0.000 description 4
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 4
- 239000002245 particle Substances 0.000 description 4
- 239000012071 phase Substances 0.000 description 4
- 229910052698 phosphorus Inorganic materials 0.000 description 4
- 239000011574 phosphorus Substances 0.000 description 4
- 238000003756 stirring Methods 0.000 description 4
- JSSQYTBESFITPK-UHFFFAOYSA-N 1-octylbenzimidazole Chemical compound C1=CC=C2N(CCCCCCCC)C=NC2=C1 JSSQYTBESFITPK-UHFFFAOYSA-N 0.000 description 3
- KLMZKZJCMDOKFE-UHFFFAOYSA-N 1-octylimidazole Chemical compound CCCCCCCCN1C=CN=C1 KLMZKZJCMDOKFE-UHFFFAOYSA-N 0.000 description 3
- OOFBEJNEUVLZOW-UHFFFAOYSA-N 1-oxido-4-(3-phenylpropyl)pyridin-1-ium Chemical compound C1=C[N+]([O-])=CC=C1CCCC1=CC=CC=C1 OOFBEJNEUVLZOW-UHFFFAOYSA-N 0.000 description 3
- HYZJCKYKOHLVJF-UHFFFAOYSA-N 1H-benzimidazole Chemical compound C1=CC=C2NC=NC2=C1 HYZJCKYKOHLVJF-UHFFFAOYSA-N 0.000 description 3
- PCFUWBOSXMKGIP-UHFFFAOYSA-N 2-benzylpyridine Chemical compound C=1C=CC=NC=1CC1=CC=CC=C1 PCFUWBOSXMKGIP-UHFFFAOYSA-N 0.000 description 3
- 125000006201 3-phenylpropyl group Chemical group [H]C1=C([H])C([H])=C(C([H])=C1[H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 3
- QFVNGUJVLMZZAJ-UHFFFAOYSA-N 4-(9h-fluoren-4-yl)pyridine Chemical compound C1C2=CC=CC=C2C2=C1C=CC=C2C1=CC=NC=C1 QFVNGUJVLMZZAJ-UHFFFAOYSA-N 0.000 description 3
- MNHKUCBXXMFQDM-UHFFFAOYSA-N 4-[(4-nitrophenyl)methyl]pyridine Chemical compound C1=CC([N+](=O)[O-])=CC=C1CC1=CC=NC=C1 MNHKUCBXXMFQDM-UHFFFAOYSA-N 0.000 description 3
- ABGXADJDTPFFSZ-UHFFFAOYSA-N 4-benzylpiperidine Chemical compound C=1C=CC=CC=1CC1CCNCC1 ABGXADJDTPFFSZ-UHFFFAOYSA-N 0.000 description 3
- DBOLXXRVIFGDTI-UHFFFAOYSA-N 4-benzylpyridine Chemical compound C=1C=NC=CC=1CC1=CC=CC=C1 DBOLXXRVIFGDTI-UHFFFAOYSA-N 0.000 description 3
- KFDVPJUYSDEJTH-UHFFFAOYSA-N 4-ethenylpyridine Chemical compound C=CC1=CC=NC=C1 KFDVPJUYSDEJTH-UHFFFAOYSA-N 0.000 description 3
- WFDIJRYMOXRFFG-UHFFFAOYSA-N Acetic anhydride Chemical compound CC(=O)OC(C)=O WFDIJRYMOXRFFG-UHFFFAOYSA-N 0.000 description 3
- QGZKDVFQNNGYKY-UHFFFAOYSA-O Ammonium Chemical compound [NH4+] QGZKDVFQNNGYKY-UHFFFAOYSA-O 0.000 description 3
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 3
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 3
- YXFVVABEGXRONW-UHFFFAOYSA-N Toluene Chemical compound CC1=CC=CC=C1 YXFVVABEGXRONW-UHFFFAOYSA-N 0.000 description 3
- 125000003277 amino group Chemical group 0.000 description 3
- 230000008901 benefit Effects 0.000 description 3
- 238000005660 chlorination reaction Methods 0.000 description 3
- 229920001577 copolymer Polymers 0.000 description 3
- 125000004093 cyano group Chemical group *C#N 0.000 description 3
- 238000002474 experimental method Methods 0.000 description 3
- 150000002431 hydrogen Chemical class 0.000 description 3
- 239000012212 insulator Substances 0.000 description 3
- 229910052751 metal Inorganic materials 0.000 description 3
- 239000002184 metal Substances 0.000 description 3
- 125000000449 nitro group Chemical group [O-][N+](*)=O 0.000 description 3
- 125000001997 phenyl group Chemical group [H]C1=C([H])C([H])=C(*)C([H])=C1[H] 0.000 description 3
- 229920000885 poly(2-vinylpyridine) Polymers 0.000 description 3
- 238000012545 processing Methods 0.000 description 3
- 239000013077 target material Substances 0.000 description 3
- AZQWKYJCGOJGHM-UHFFFAOYSA-N 1,4-benzoquinone Chemical compound O=C1C=CC(=O)C=C1 AZQWKYJCGOJGHM-UHFFFAOYSA-N 0.000 description 2
- YYROPELSRYBVMQ-UHFFFAOYSA-N 4-toluenesulfonyl chloride Chemical compound CC1=CC=C(S(Cl)(=O)=O)C=C1 YYROPELSRYBVMQ-UHFFFAOYSA-N 0.000 description 2
- NLXLAEXVIDQMFP-UHFFFAOYSA-N Ammonia chloride Chemical compound [NH4+].[Cl-] NLXLAEXVIDQMFP-UHFFFAOYSA-N 0.000 description 2
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- RTZKZFJDLAIYFH-UHFFFAOYSA-N Diethyl ether Chemical compound CCOCC RTZKZFJDLAIYFH-UHFFFAOYSA-N 0.000 description 2
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 2
- LRHPLDYGYMQRHN-UHFFFAOYSA-N N-Butanol Chemical compound CCCCO LRHPLDYGYMQRHN-UHFFFAOYSA-N 0.000 description 2
- SECXISVLQFMRJM-UHFFFAOYSA-N N-Methylpyrrolidone Chemical compound CN1CCCC1=O SECXISVLQFMRJM-UHFFFAOYSA-N 0.000 description 2
- AMQJEAYHLZJPGS-UHFFFAOYSA-N N-Pentanol Chemical compound CCCCCO AMQJEAYHLZJPGS-UHFFFAOYSA-N 0.000 description 2
- GRYLNZFGIOXLOG-UHFFFAOYSA-N Nitric acid Chemical compound O[N+]([O-])=O GRYLNZFGIOXLOG-UHFFFAOYSA-N 0.000 description 2
- KFSLWBXXFJQRDL-UHFFFAOYSA-N Peracetic acid Chemical compound CC(=O)OO KFSLWBXXFJQRDL-UHFFFAOYSA-N 0.000 description 2
- NBIIXXVUZAFLBC-UHFFFAOYSA-N Phosphoric acid Chemical compound OP(O)(O)=O NBIIXXVUZAFLBC-UHFFFAOYSA-N 0.000 description 2
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 2
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 2
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 2
- XHCLAFWTIXFWPH-UHFFFAOYSA-N [O-2].[O-2].[O-2].[O-2].[O-2].[V+5].[V+5] Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[V+5].[V+5] XHCLAFWTIXFWPH-UHFFFAOYSA-N 0.000 description 2
- 239000003513 alkali Substances 0.000 description 2
- 150000008044 alkali metal hydroxides Chemical class 0.000 description 2
- HIMXGTXNXJYFGB-UHFFFAOYSA-N alloxan Chemical compound O=C1NC(=O)C(=O)C(=O)N1 HIMXGTXNXJYFGB-UHFFFAOYSA-N 0.000 description 2
- 239000000908 ammonium hydroxide Substances 0.000 description 2
- 229910052788 barium Inorganic materials 0.000 description 2
- 239000002585 base Substances 0.000 description 2
- 229960000686 benzalkonium chloride Drugs 0.000 description 2
- CADWTSSKOVRVJC-UHFFFAOYSA-N benzyl(dimethyl)azanium;chloride Chemical compound [Cl-].C[NH+](C)CC1=CC=CC=C1 CADWTSSKOVRVJC-UHFFFAOYSA-N 0.000 description 2
- OCBHHZMJRVXXQK-UHFFFAOYSA-M benzyl-dimethyl-tetradecylazanium;chloride Chemical compound [Cl-].CCCCCCCCCCCCCC[N+](C)(C)CC1=CC=CC=C1 OCBHHZMJRVXXQK-UHFFFAOYSA-M 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 230000005587 bubbling Effects 0.000 description 2
- 125000000484 butyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 2
- HSJPMRKMPBAUAU-UHFFFAOYSA-N cerium(3+);trinitrate Chemical compound [Ce+3].[O-][N+]([O-])=O.[O-][N+]([O-])=O.[O-][N+]([O-])=O HSJPMRKMPBAUAU-UHFFFAOYSA-N 0.000 description 2
- 239000000460 chlorine Substances 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 239000012141 concentrate Substances 0.000 description 2
- 238000001035 drying Methods 0.000 description 2
- 238000000572 ellipsometry Methods 0.000 description 2
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 2
- 238000001704 evaporation Methods 0.000 description 2
- 230000008020 evaporation Effects 0.000 description 2
- 150000002222 fluorine compounds Chemical class 0.000 description 2
- 229910052733 gallium Inorganic materials 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- ZSIAUFGUXNUGDI-UHFFFAOYSA-N hexan-1-ol Chemical compound CCCCCCO ZSIAUFGUXNUGDI-UHFFFAOYSA-N 0.000 description 2
- 125000004051 hexyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 2
- 229910000040 hydrogen fluoride Inorganic materials 0.000 description 2
- WQYVRQLZKVEZGA-UHFFFAOYSA-N hypochlorite Chemical compound Cl[O-] WQYVRQLZKVEZGA-UHFFFAOYSA-N 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- VCJMYUPGQJHHFU-UHFFFAOYSA-N iron(3+);trinitrate Chemical compound [Fe+3].[O-][N+]([O-])=O.[O-][N+]([O-])=O.[O-][N+]([O-])=O VCJMYUPGQJHHFU-UHFFFAOYSA-N 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 2
- 229910017604 nitric acid Inorganic materials 0.000 description 2
- 125000001147 pentyl group Chemical group C(CCCC)* 0.000 description 2
- VLTRZXGMWDSKGL-UHFFFAOYSA-N perchloric acid Chemical compound OCl(=O)(=O)=O VLTRZXGMWDSKGL-UHFFFAOYSA-N 0.000 description 2
- USHAGKDGDHPEEY-UHFFFAOYSA-L potassium persulfate Chemical compound [K+].[K+].[O-]S(=O)(=O)OOS([O-])(=O)=O USHAGKDGDHPEEY-UHFFFAOYSA-L 0.000 description 2
- 125000001436 propyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])[H] 0.000 description 2
- 230000005588 protonation Effects 0.000 description 2
- 239000012487 rinsing solution Substances 0.000 description 2
- 230000035945 sensitivity Effects 0.000 description 2
- 239000007787 solid Substances 0.000 description 2
- 239000007790 solid phase Substances 0.000 description 2
- HXJUTPCZVOIRIF-UHFFFAOYSA-N sulfolane Chemical compound O=S1(=O)CCCC1 HXJUTPCZVOIRIF-UHFFFAOYSA-N 0.000 description 2
- 229910052717 sulfur Inorganic materials 0.000 description 2
- 239000011593 sulfur Substances 0.000 description 2
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 2
- 238000011144 upstream manufacturing Methods 0.000 description 2
- 229910001935 vanadium oxide Inorganic materials 0.000 description 2
- WSVPFJBQFXKCNU-UHFFFAOYSA-N (2,3,4,5-tetrachlorophenyl)hydrazine Chemical compound NNC1=CC(Cl)=C(Cl)C(Cl)=C1Cl WSVPFJBQFXKCNU-UHFFFAOYSA-N 0.000 description 1
- RHUYHJGZWVXEHW-UHFFFAOYSA-N 1,1-Dimethyhydrazine Chemical compound CN(C)N RHUYHJGZWVXEHW-UHFFFAOYSA-N 0.000 description 1
- 229940005561 1,4-benzoquinone Drugs 0.000 description 1
- JWEHBOYGKRBMBV-UHFFFAOYSA-N 1-ethyl-1-propylhydrazine Chemical compound CCCN(N)CC JWEHBOYGKRBMBV-UHFFFAOYSA-N 0.000 description 1
- QWMLZPBYZVAWPG-UHFFFAOYSA-L 1-methyl-4-(1-tetradecylpyridin-1-ium-4-yl)pyridin-1-ium;dichloride Chemical compound [Cl-].[Cl-].C1=C[N+](CCCCCCCCCCCCCC)=CC=C1C1=CC=[N+](C)C=C1 QWMLZPBYZVAWPG-UHFFFAOYSA-L 0.000 description 1
- USAYMJGCALIGIG-UHFFFAOYSA-N 2,3-dichlorocyclohexa-2,5-diene-1,4-dione Chemical compound ClC1=C(Cl)C(=O)C=CC1=O USAYMJGCALIGIG-UHFFFAOYSA-N 0.000 description 1
- AIACLXROWHONEE-UHFFFAOYSA-N 2,3-dimethylcyclohexa-2,5-diene-1,4-dione Chemical compound CC1=C(C)C(=O)C=CC1=O AIACLXROWHONEE-UHFFFAOYSA-N 0.000 description 1
- LCPVQAHEFVXVKT-UHFFFAOYSA-N 2-(2,4-difluorophenoxy)pyridin-3-amine Chemical compound NC1=CC=CN=C1OC1=CC=C(F)C=C1F LCPVQAHEFVXVKT-UHFFFAOYSA-N 0.000 description 1
- PAWQVTBBRAZDMG-UHFFFAOYSA-N 2-(3-bromo-2-fluorophenyl)acetic acid Chemical compound OC(=O)CC1=CC=CC(Br)=C1F PAWQVTBBRAZDMG-UHFFFAOYSA-N 0.000 description 1
- RILZRCJGXSFXNE-UHFFFAOYSA-N 2-[4-(trifluoromethoxy)phenyl]ethanol Chemical compound OCCC1=CC=C(OC(F)(F)F)C=C1 RILZRCJGXSFXNE-UHFFFAOYSA-N 0.000 description 1
- MNNZINNZIQVULG-UHFFFAOYSA-N 2-chloroethylbenzene Chemical compound ClCCC1=CC=CC=C1 MNNZINNZIQVULG-UHFFFAOYSA-N 0.000 description 1
- WBIQQQGBSDOWNP-UHFFFAOYSA-N 2-dodecylbenzenesulfonic acid Chemical compound CCCCCCCCCCCCC1=CC=CC=C1S(O)(=O)=O WBIQQQGBSDOWNP-UHFFFAOYSA-N 0.000 description 1
- DVGVMQVOCJNXNJ-UHFFFAOYSA-M 2-hydroxyethyl(trimethyl)azanium;4-methylbenzenesulfonate Chemical compound C[N+](C)(C)CCO.CC1=CC=C(S([O-])(=O)=O)C=C1 DVGVMQVOCJNXNJ-UHFFFAOYSA-M 0.000 description 1
- MVVJINIUPYKZHR-UHFFFAOYSA-N 3-[[4-[5-(methoxymethyl)-2-oxo-1,3-oxazolidin-3-yl]phenoxy]methyl]benzonitrile Chemical compound O=C1OC(COC)CN1C(C=C1)=CC=C1OCC1=CC=CC(C#N)=C1 MVVJINIUPYKZHR-UHFFFAOYSA-N 0.000 description 1
- GDDNTTHUKVNJRA-UHFFFAOYSA-N 3-bromo-3,3-difluoroprop-1-ene Chemical compound FC(F)(Br)C=C GDDNTTHUKVNJRA-UHFFFAOYSA-N 0.000 description 1
- UPMCDOMOBNMTPH-UHFFFAOYSA-N 6-phenyl-5,6-dihydroimidazo[2,1-b][1,3]thiazole Chemical compound N1=C2SC=CN2CC1C1=CC=CC=C1 UPMCDOMOBNMTPH-UHFFFAOYSA-N 0.000 description 1
- LSNNMFCWUKXFEE-UHFFFAOYSA-M Bisulfite Chemical compound OS([O-])=O LSNNMFCWUKXFEE-UHFFFAOYSA-M 0.000 description 1
- MNAHCZZIMJUOPZ-UHFFFAOYSA-N BrO.N Chemical compound BrO.N MNAHCZZIMJUOPZ-UHFFFAOYSA-N 0.000 description 1
- GAWIXWVDTYZWAW-UHFFFAOYSA-N C[CH]O Chemical group C[CH]O GAWIXWVDTYZWAW-UHFFFAOYSA-N 0.000 description 1
- LZZYPRNAOMGNLH-UHFFFAOYSA-M Cetrimonium bromide Chemical compound [Br-].CCCCCCCCCCCCCCCC[N+](C)(C)C LZZYPRNAOMGNLH-UHFFFAOYSA-M 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- 206010073306 Exposure to radiation Diseases 0.000 description 1
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 1
- 229910005793 GeO 2 Inorganic materials 0.000 description 1
- 229910005939 Ge—Sn Inorganic materials 0.000 description 1
- BAVYZALUXZFZLV-UHFFFAOYSA-O Methylammonium ion Chemical compound [NH3+]C BAVYZALUXZFZLV-UHFFFAOYSA-O 0.000 description 1
- ABLZXFCXXLZCGV-UHFFFAOYSA-N Phosphorous acid Chemical compound OP(O)=O ABLZXFCXXLZCGV-UHFFFAOYSA-N 0.000 description 1
- 206010036790 Productive cough Diseases 0.000 description 1
- 229910020175 SiOH Inorganic materials 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- 239000005708 Sodium hypochlorite Substances 0.000 description 1
- 229910052770 Uranium Inorganic materials 0.000 description 1
- RUJMFYVXRLKDHV-UHFFFAOYSA-N [Cl+].CCCCCCCCCCCCCCCC[N+]1=CC=CC=C1 Chemical compound [Cl+].CCCCCCCCCCCCCCCC[N+]1=CC=CC=C1 RUJMFYVXRLKDHV-UHFFFAOYSA-N 0.000 description 1
- YKDZGOZJJMSOMF-UHFFFAOYSA-M [Cl-].C[N+]1=CN(C=C1)S Chemical compound [Cl-].C[N+]1=CN(C=C1)S YKDZGOZJJMSOMF-UHFFFAOYSA-M 0.000 description 1
- WXIUBYCJAAEOFL-UHFFFAOYSA-N [S].ClOCl Chemical compound [S].ClOCl WXIUBYCJAAEOFL-UHFFFAOYSA-N 0.000 description 1
- 238000005054 agglomeration Methods 0.000 description 1
- 230000002776 aggregation Effects 0.000 description 1
- 125000005211 alkyl trimethyl ammonium group Chemical group 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 229910000147 aluminium phosphate Inorganic materials 0.000 description 1
- KHPLPBHMTCTCHA-UHFFFAOYSA-N ammonium chlorate Chemical compound N.OCl(=O)=O KHPLPBHMTCTCHA-UHFFFAOYSA-N 0.000 description 1
- 235000019270 ammonium chloride Nutrition 0.000 description 1
- ROOXNKNUYICQNP-UHFFFAOYSA-N ammonium peroxydisulfate Substances [NH4+].[NH4+].[O-]S(=O)(=O)OOS([O-])(=O)=O ROOXNKNUYICQNP-UHFFFAOYSA-N 0.000 description 1
- VAZSKTXWXKYQJF-UHFFFAOYSA-N ammonium persulfate Chemical compound [NH4+].[NH4+].[O-]S(=O)OOS([O-])=O VAZSKTXWXKYQJF-UHFFFAOYSA-N 0.000 description 1
- 229910001870 ammonium persulfate Inorganic materials 0.000 description 1
- 150000008064 anhydrides Chemical class 0.000 description 1
- 239000003945 anionic surfactant Substances 0.000 description 1
- 239000007864 aqueous solution Substances 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- GDCXBZMWKSBSJG-UHFFFAOYSA-N azane;4-methylbenzenesulfonic acid Chemical compound [NH4+].CC1=CC=C(S([O-])(=O)=O)C=C1 GDCXBZMWKSBSJG-UHFFFAOYSA-N 0.000 description 1
- KBKZYWOOZPIUJT-UHFFFAOYSA-N azane;hypochlorous acid Chemical compound N.ClO KBKZYWOOZPIUJT-UHFFFAOYSA-N 0.000 description 1
- ZRDJERPXCFOFCP-UHFFFAOYSA-N azane;iodic acid Chemical compound [NH4+].[O-]I(=O)=O ZRDJERPXCFOFCP-UHFFFAOYSA-N 0.000 description 1
- YUUVAZCKXDQEIS-UHFFFAOYSA-N azanium;chlorite Chemical compound [NH4+].[O-]Cl=O YUUVAZCKXDQEIS-UHFFFAOYSA-N 0.000 description 1
- UNTBPXHCXVWYOI-UHFFFAOYSA-O azanium;oxido(dioxo)vanadium Chemical compound [NH4+].[O-][V](=O)=O UNTBPXHCXVWYOI-UHFFFAOYSA-O 0.000 description 1
- URGYLQKORWLZAQ-UHFFFAOYSA-N azanium;periodate Chemical compound [NH4+].[O-]I(=O)(=O)=O URGYLQKORWLZAQ-UHFFFAOYSA-N 0.000 description 1
- ITHZDDVSAWDQPZ-UHFFFAOYSA-L barium acetate Chemical compound [Ba+2].CC([O-])=O.CC([O-])=O ITHZDDVSAWDQPZ-UHFFFAOYSA-L 0.000 description 1
- DSAJWYNOEDNPEQ-UHFFFAOYSA-N barium atom Chemical compound [Ba] DSAJWYNOEDNPEQ-UHFFFAOYSA-N 0.000 description 1
- RQPZNWPYLFFXCP-UHFFFAOYSA-L barium dihydroxide Chemical compound [OH-].[OH-].[Ba+2] RQPZNWPYLFFXCP-UHFFFAOYSA-L 0.000 description 1
- 229910001863 barium hydroxide Inorganic materials 0.000 description 1
- BQJILRFOGPBJQJ-UHFFFAOYSA-L barium(2+);2,2,2-trifluoroacetate Chemical compound [Ba+2].[O-]C(=O)C(F)(F)F.[O-]C(=O)C(F)(F)F BQJILRFOGPBJQJ-UHFFFAOYSA-L 0.000 description 1
- UREZNYTWGJKWBI-UHFFFAOYSA-M benzethonium chloride Chemical compound [Cl-].C1=CC(C(C)(C)CC(C)(C)C)=CC=C1OCCOCC[N+](C)(C)CC1=CC=CC=C1 UREZNYTWGJKWBI-UHFFFAOYSA-M 0.000 description 1
- KHSLHYAUZSPBIU-UHFFFAOYSA-M benzododecinium bromide Chemical compound [Br-].CCCCCCCCCCCC[N+](C)(C)CC1=CC=CC=C1 KHSLHYAUZSPBIU-UHFFFAOYSA-M 0.000 description 1
- JBIROUFYLSSYDX-UHFFFAOYSA-M benzododecinium chloride Chemical compound [Cl-].CCCCCCCCCCCC[N+](C)(C)CC1=CC=CC=C1 JBIROUFYLSSYDX-UHFFFAOYSA-M 0.000 description 1
- HUTDDBSSHVOYJR-UHFFFAOYSA-H bis[(2-oxo-1,3,2$l^{5},4$l^{2}-dioxaphosphaplumbetan-2-yl)oxy]lead Chemical compound [Pb+2].[Pb+2].[Pb+2].[O-]P([O-])([O-])=O.[O-]P([O-])([O-])=O HUTDDBSSHVOYJR-UHFFFAOYSA-H 0.000 description 1
- 238000009835 boiling Methods 0.000 description 1
- KGBXLFKZBHKPEV-UHFFFAOYSA-N boric acid Chemical compound OB(O)O KGBXLFKZBHKPEV-UHFFFAOYSA-N 0.000 description 1
- 239000004327 boric acid Substances 0.000 description 1
- 230000031709 bromination Effects 0.000 description 1
- 238000005893 bromination reaction Methods 0.000 description 1
- 239000001273 butane Substances 0.000 description 1
- LYQFWZFBNBDLEO-UHFFFAOYSA-M caesium bromide Chemical compound [Br-].[Cs+] LYQFWZFBNBDLEO-UHFFFAOYSA-M 0.000 description 1
- 238000003763 carbonization Methods 0.000 description 1
- 125000002843 carboxylic acid group Chemical group 0.000 description 1
- 150000001735 carboxylic acids Chemical class 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 125000002091 cationic group Chemical group 0.000 description 1
- 239000003093 cationic surfactant Substances 0.000 description 1
- XMPZTFVPEKAKFH-UHFFFAOYSA-P ceric ammonium nitrate Chemical compound [NH4+].[NH4+].[Ce+4].[O-][N+]([O-])=O.[O-][N+]([O-])=O.[O-][N+]([O-])=O.[O-][N+]([O-])=O.[O-][N+]([O-])=O.[O-][N+]([O-])=O XMPZTFVPEKAKFH-UHFFFAOYSA-P 0.000 description 1
- 229910000420 cerium oxide Inorganic materials 0.000 description 1
- SMMQENOPXQZDIX-UHFFFAOYSA-K cerium(3+) hydrogen sulfate Chemical compound [Ce+3].OS([O-])(=O)=O.OS([O-])(=O)=O.OS([O-])(=O)=O SMMQENOPXQZDIX-UHFFFAOYSA-K 0.000 description 1
- XPQVQIJYDXCEKC-UHFFFAOYSA-K cerium(3+);methanesulfonate Chemical compound [Ce+3].CS([O-])(=O)=O.CS([O-])(=O)=O.CS([O-])(=O)=O XPQVQIJYDXCEKC-UHFFFAOYSA-K 0.000 description 1
- PHSMPGGNMIPKTH-UHFFFAOYSA-K cerium(3+);trifluoromethanesulfonate Chemical compound [Ce+3].[O-]S(=O)(=O)C(F)(F)F.[O-]S(=O)(=O)C(F)(F)F.[O-]S(=O)(=O)C(F)(F)F PHSMPGGNMIPKTH-UHFFFAOYSA-K 0.000 description 1
- LJBTWTBUIINKRU-UHFFFAOYSA-K cerium(3+);triperchlorate Chemical compound [Ce+3].[O-]Cl(=O)(=O)=O.[O-]Cl(=O)(=O)=O.[O-]Cl(=O)(=O)=O LJBTWTBUIINKRU-UHFFFAOYSA-K 0.000 description 1
- OZECDDHOAMNMQI-UHFFFAOYSA-H cerium(3+);trisulfate Chemical compound [Ce+3].[Ce+3].[O-]S([O-])(=O)=O.[O-]S([O-])(=O)=O.[O-]S([O-])(=O)=O OZECDDHOAMNMQI-UHFFFAOYSA-H 0.000 description 1
- SXPWTBGAZSPLHA-UHFFFAOYSA-M cetalkonium chloride Chemical compound [Cl-].CCCCCCCCCCCCCCCC[N+](C)(C)CC1=CC=CC=C1 SXPWTBGAZSPLHA-UHFFFAOYSA-M 0.000 description 1
- 229960000228 cetalkonium chloride Drugs 0.000 description 1
- YMKDRGPMQRFJGP-UHFFFAOYSA-M cetylpyridinium chloride Chemical compound [Cl-].CCCCCCCCCCCCCCCC[N+]1=CC=CC=C1 YMKDRGPMQRFJGP-UHFFFAOYSA-M 0.000 description 1
- 229960001927 cetylpyridinium chloride Drugs 0.000 description 1
- WOWHHFRSBJGXCM-UHFFFAOYSA-M cetyltrimethylammonium chloride Chemical compound [Cl-].CCCCCCCCCCCCCCCC[N+](C)(C)C WOWHHFRSBJGXCM-UHFFFAOYSA-M 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 239000003153 chemical reaction reagent Substances 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 150000003841 chloride salts Chemical class 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 150000001805 chlorine compounds Chemical class 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 238000004132 cross linking Methods 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- HXWGXXDEYMNGCT-UHFFFAOYSA-M decyl(trimethyl)azanium;chloride Chemical compound [Cl-].CCCCCCCCCC[N+](C)(C)C HXWGXXDEYMNGCT-UHFFFAOYSA-M 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- 239000008367 deionised water Substances 0.000 description 1
- 229910021641 deionized water Inorganic materials 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000000151 deposition Methods 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- LFINSDKRYHNMRB-UHFFFAOYSA-N diazanium;oxido sulfate Chemical compound [NH4+].[NH4+].[O-]OS([O-])(=O)=O LFINSDKRYHNMRB-UHFFFAOYSA-N 0.000 description 1
- SGZVXLFVBKDMJH-UHFFFAOYSA-M dihydrogen phosphate;hexadecyl-(2-hydroxyethyl)-dimethylazanium Chemical compound OP(O)([O-])=O.CCCCCCCCCCCCCCCC[N+](C)(C)CCO SGZVXLFVBKDMJH-UHFFFAOYSA-M 0.000 description 1
- PSLWZOIUBRXAQW-UHFFFAOYSA-M dimethyl(dioctadecyl)azanium;bromide Chemical compound [Br-].CCCCCCCCCCCCCCCCCC[N+](C)(C)CCCCCCCCCCCCCCCCCC PSLWZOIUBRXAQW-UHFFFAOYSA-M 0.000 description 1
- SIYLLGKDQZGJHK-UHFFFAOYSA-N dimethyl-(phenylmethyl)-[2-[2-[4-(2,4,4-trimethylpentan-2-yl)phenoxy]ethoxy]ethyl]ammonium Chemical compound C1=CC(C(C)(C)CC(C)(C)C)=CC=C1OCCOCC[N+](C)(C)CC1=CC=CC=C1 SIYLLGKDQZGJHK-UHFFFAOYSA-N 0.000 description 1
- 229940113088 dimethylacetamide Drugs 0.000 description 1
- REZZEXDLIUJMMS-UHFFFAOYSA-M dimethyldioctadecylammonium chloride Chemical compound [Cl-].CCCCCCCCCCCCCCCCCC[N+](C)(C)CCCCCCCCCCCCCCCCCC REZZEXDLIUJMMS-UHFFFAOYSA-M 0.000 description 1
- 238000006073 displacement reaction Methods 0.000 description 1
- 239000004664 distearyldimethylammonium chloride (DHTDMAC) Substances 0.000 description 1
- SNRUBQQJIBEYMU-UHFFFAOYSA-N dodecane Chemical compound CCCCCCCCCCCC SNRUBQQJIBEYMU-UHFFFAOYSA-N 0.000 description 1
- QBQLPWLODYZCLE-UHFFFAOYSA-M dodecyl-(2-hydroxyethyl)-dimethylazanium;bromide Chemical compound [Br-].CCCCCCCCCCCC[N+](C)(C)CCO QBQLPWLODYZCLE-UHFFFAOYSA-M 0.000 description 1
- BKRJTJJQPXVRRY-UHFFFAOYSA-M dodecyl-(2-hydroxyethyl)-dimethylazanium;chloride Chemical compound [Cl-].CCCCCCCCCCCC[N+](C)(C)CCO BKRJTJJQPXVRRY-UHFFFAOYSA-M 0.000 description 1
- FFGSPQDSOUPWGY-UHFFFAOYSA-M dodecyl-ethyl-dimethylazanium;bromide Chemical compound [Br-].CCCCCCCCCCCC[N+](C)(C)CC FFGSPQDSOUPWGY-UHFFFAOYSA-M 0.000 description 1
- 229940060296 dodecylbenzenesulfonic acid Drugs 0.000 description 1
- XJWSAJYUBXQQDR-UHFFFAOYSA-M dodecyltrimethylammonium bromide Chemical compound [Br-].CCCCCCCCCCCC[N+](C)(C)C XJWSAJYUBXQQDR-UHFFFAOYSA-M 0.000 description 1
- VICYBMUVWHJEFT-UHFFFAOYSA-N dodecyltrimethylammonium ion Chemical compound CCCCCCCCCCCC[N+](C)(C)C VICYBMUVWHJEFT-UHFFFAOYSA-N 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- SEACYXSIPDVVMV-UHFFFAOYSA-L eosin Y Chemical compound [Na+].[Na+].[O-]C(=O)C1=CC=CC=C1C1=C2C=C(Br)C(=O)C(Br)=C2OC2=C(Br)C([O-])=C(Br)C=C21 SEACYXSIPDVVMV-UHFFFAOYSA-L 0.000 description 1
- 150000002148 esters Chemical class 0.000 description 1
- XWBDWHCCBGMXKG-UHFFFAOYSA-N ethanamine;hydron;chloride Chemical compound Cl.CCN XWBDWHCCBGMXKG-UHFFFAOYSA-N 0.000 description 1
- VUFOSBDICLTFMS-UHFFFAOYSA-M ethyl-hexadecyl-dimethylazanium;bromide Chemical compound [Br-].CCCCCCCCCCCCCCCC[N+](C)(C)CC VUFOSBDICLTFMS-UHFFFAOYSA-M 0.000 description 1
- 239000000835 fiber Substances 0.000 description 1
- 238000001914 filtration Methods 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 239000012634 fragment Substances 0.000 description 1
- 150000002290 germanium Chemical class 0.000 description 1
- YBMRDBCBODYGJE-UHFFFAOYSA-N germanium oxide Inorganic materials O=[Ge]=O YBMRDBCBODYGJE-UHFFFAOYSA-N 0.000 description 1
- QFWPJPIVLCBXFJ-UHFFFAOYSA-N glymidine Chemical compound N1=CC(OCCOC)=CN=C1NS(=O)(=O)C1=CC=CC=C1 QFWPJPIVLCBXFJ-UHFFFAOYSA-N 0.000 description 1
- 229940093915 gynecological organic acid Drugs 0.000 description 1
- 229910000449 hafnium oxide Inorganic materials 0.000 description 1
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 1
- 150000004820 halides Chemical class 0.000 description 1
- 229910052736 halogen Inorganic materials 0.000 description 1
- 150000002367 halogens Chemical class 0.000 description 1
- 238000003306 harvesting Methods 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- WJLUBOLDZCQZEV-UHFFFAOYSA-M hexadecyl(trimethyl)azanium;hydroxide Chemical compound [OH-].CCCCCCCCCCCCCCCC[N+](C)(C)C WJLUBOLDZCQZEV-UHFFFAOYSA-M 0.000 description 1
- GGDGVDMTSZPOIB-UHFFFAOYSA-M hexadecyl-(2-hydroxyethyl)-dimethylazanium;bromide Chemical compound [Br-].CCCCCCCCCCCCCCCC[N+](C)(C)CCO GGDGVDMTSZPOIB-UHFFFAOYSA-M 0.000 description 1
- 150000002429 hydrazines Chemical class 0.000 description 1
- 238000006460 hydrolysis reaction Methods 0.000 description 1
- 230000002209 hydrophobic effect Effects 0.000 description 1
- 230000005661 hydrophobic surface Effects 0.000 description 1
- 238000007654 immersion Methods 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- 238000010348 incorporation Methods 0.000 description 1
- ICIWUVCWSCSTAQ-UHFFFAOYSA-M iodate Chemical compound [O-]I(=O)=O ICIWUVCWSCSTAQ-UHFFFAOYSA-M 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 239000007791 liquid phase Substances 0.000 description 1
- MOVBJUGHBJJKOW-UHFFFAOYSA-N methyl 2-amino-5-methoxybenzoate Chemical compound COC(=O)C1=CC(OC)=CC=C1N MOVBJUGHBJJKOW-UHFFFAOYSA-N 0.000 description 1
- NQMRYBIKMRVZLB-UHFFFAOYSA-N methylamine hydrochloride Chemical compound [Cl-].[NH3+]C NQMRYBIKMRVZLB-UHFFFAOYSA-N 0.000 description 1
- TWGUNBIAZLMQMJ-UHFFFAOYSA-N methylazanium bromide chloride Chemical compound [Cl-].[Br-].C[NH3+].C[NH3+] TWGUNBIAZLMQMJ-UHFFFAOYSA-N 0.000 description 1
- XKBGEWXEAPTVCK-UHFFFAOYSA-M methyltrioctylammonium chloride Chemical compound [Cl-].CCCCCCCC[N+](C)(CCCCCCCC)CCCCCCCC XKBGEWXEAPTVCK-UHFFFAOYSA-M 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 1
- 229910052758 niobium Inorganic materials 0.000 description 1
- 239000010955 niobium Substances 0.000 description 1
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 description 1
- 229910000484 niobium oxide Inorganic materials 0.000 description 1
- URLJKFSTXLNXLG-UHFFFAOYSA-N niobium(5+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Nb+5].[Nb+5] URLJKFSTXLNXLG-UHFFFAOYSA-N 0.000 description 1
- 239000002736 nonionic surfactant Substances 0.000 description 1
- 150000007524 organic acids Chemical class 0.000 description 1
- 235000005985 organic acids Nutrition 0.000 description 1
- 229920000620 organic polymer Polymers 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- AENSXLNDMRQIEX-UHFFFAOYSA-L oxido sulfate;tetrabutylazanium Chemical compound [O-]OS([O-])(=O)=O.CCCC[N+](CCCC)(CCCC)CCCC.CCCC[N+](CCCC)(CCCC)CCCC AENSXLNDMRQIEX-UHFFFAOYSA-L 0.000 description 1
- BMMGVYCKOGBVEV-UHFFFAOYSA-N oxo(oxoceriooxy)cerium Chemical compound [Ce]=O.O=[Ce]=O BMMGVYCKOGBVEV-UHFFFAOYSA-N 0.000 description 1
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 1
- PVADDRMAFCOOPC-UHFFFAOYSA-N oxogermanium Chemical compound [Ge]=O PVADDRMAFCOOPC-UHFFFAOYSA-N 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- UKLQXHUGTKWPSR-UHFFFAOYSA-M oxyphenonium bromide Chemical compound [Br-].C=1C=CC=CC=1C(O)(C(=O)OCC[N+](C)(CC)CC)C1CCCCC1 UKLQXHUGTKWPSR-UHFFFAOYSA-M 0.000 description 1
- 229960001125 oxyphenonium bromide Drugs 0.000 description 1
- 239000008188 pellet Substances 0.000 description 1
- 230000000149 penetrating effect Effects 0.000 description 1
- KHIWWQKSHDUIBK-UHFFFAOYSA-N periodic acid Chemical compound OI(=O)(=O)=O KHIWWQKSHDUIBK-UHFFFAOYSA-N 0.000 description 1
- 150000002978 peroxides Chemical class 0.000 description 1
- JRKICGRDRMAZLK-UHFFFAOYSA-L peroxydisulfate Chemical compound [O-]S(=O)(=O)OOS([O-])(=O)=O JRKICGRDRMAZLK-UHFFFAOYSA-L 0.000 description 1
- FHHJDRFHHWUPDG-UHFFFAOYSA-L peroxysulfate(2-) Chemical compound [O-]OS([O-])(=O)=O FHHJDRFHHWUPDG-UHFFFAOYSA-L 0.000 description 1
- 239000002798 polar solvent Substances 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 238000006116 polymerization reaction Methods 0.000 description 1
- SATVIFGJTRRDQU-UHFFFAOYSA-N potassium hypochlorite Chemical compound [K+].Cl[O-] SATVIFGJTRRDQU-UHFFFAOYSA-N 0.000 description 1
- JLKDVMWYMMLWTI-UHFFFAOYSA-M potassium iodate Chemical compound [K+].[O-]I(=O)=O JLKDVMWYMMLWTI-UHFFFAOYSA-M 0.000 description 1
- 239000001230 potassium iodate Substances 0.000 description 1
- 229940093930 potassium iodate Drugs 0.000 description 1
- 235000006666 potassium iodate Nutrition 0.000 description 1
- 239000012286 potassium permanganate Substances 0.000 description 1
- BDERNNFJNOPAEC-UHFFFAOYSA-N propan-1-ol Chemical compound CCCO BDERNNFJNOPAEC-UHFFFAOYSA-N 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 238000010926 purge Methods 0.000 description 1
- 125000001453 quaternary ammonium group Chemical group 0.000 description 1
- 230000035484 reaction time Effects 0.000 description 1
- 238000004064 recycling Methods 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 238000009877 rendering Methods 0.000 description 1
- 150000003839 salts Chemical class 0.000 description 1
- 239000005368 silicate glass Substances 0.000 description 1
- 150000004760 silicates Chemical class 0.000 description 1
- SUKJFIGYRHOWBL-UHFFFAOYSA-N sodium hypochlorite Chemical compound [Na+].Cl[O-] SUKJFIGYRHOWBL-UHFFFAOYSA-N 0.000 description 1
- 229960001922 sodium perborate Drugs 0.000 description 1
- CHQMHPLRPQMAMX-UHFFFAOYSA-L sodium persulfate Substances [Na+].[Na+].[O-]S(=O)(=O)OOS([O-])(=O)=O CHQMHPLRPQMAMX-UHFFFAOYSA-L 0.000 description 1
- CRWJEUDFKNYSBX-UHFFFAOYSA-N sodium;hypobromite Chemical compound [Na+].Br[O-] CRWJEUDFKNYSBX-UHFFFAOYSA-N 0.000 description 1
- YKLJGMBLPUQQOI-UHFFFAOYSA-M sodium;oxidooxy(oxo)borane Chemical compound [Na+].[O-]OB=O YKLJGMBLPUQQOI-UHFFFAOYSA-M 0.000 description 1
- 230000003595 spectral effect Effects 0.000 description 1
- 238000005507 spraying Methods 0.000 description 1
- 210000003802 sputum Anatomy 0.000 description 1
- 208000024794 sputum Diseases 0.000 description 1
- 230000006641 stabilisation Effects 0.000 description 1
- 238000011105 stabilization Methods 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 125000001424 substituent group Chemical group 0.000 description 1
- BDHFUVZGWQCTTF-UHFFFAOYSA-M sulfonate Chemical compound [O-]S(=O)=O BDHFUVZGWQCTTF-UHFFFAOYSA-M 0.000 description 1
- 230000001502 supplementing effect Effects 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- OKJMLYFJRFYBPS-UHFFFAOYSA-J tetraazanium;cerium(4+);tetrasulfate Chemical compound [NH4+].[NH4+].[NH4+].[NH4+].[Ce+4].[O-]S([O-])(=O)=O.[O-]S([O-])(=O)=O.[O-]S([O-])(=O)=O.[O-]S([O-])(=O)=O OKJMLYFJRFYBPS-UHFFFAOYSA-J 0.000 description 1
- UNWZKBKTIYBBRV-UHFFFAOYSA-N tetraazanium;tetrachloride Chemical compound [NH4+].[NH4+].[NH4+].[NH4+].[Cl-].[Cl-].[Cl-].[Cl-] UNWZKBKTIYBBRV-UHFFFAOYSA-N 0.000 description 1
- YQIVQBMEBZGFBY-UHFFFAOYSA-M tetraheptylazanium;bromide Chemical compound [Br-].CCCCCCC[N+](CCCCCCC)(CCCCCCC)CCCCCCC YQIVQBMEBZGFBY-UHFFFAOYSA-M 0.000 description 1
- QEMXHQIAXOOASZ-UHFFFAOYSA-N tetramethylammonium Chemical compound C[N+](C)(C)C QEMXHQIAXOOASZ-UHFFFAOYSA-N 0.000 description 1
- LUVHDTDFZLTVFM-UHFFFAOYSA-M tetramethylazanium;chlorate Chemical compound [O-]Cl(=O)=O.C[N+](C)(C)C LUVHDTDFZLTVFM-UHFFFAOYSA-M 0.000 description 1
- FDXKBUSUNHRUIZ-UHFFFAOYSA-M tetramethylazanium;chlorite Chemical compound [O-]Cl=O.C[N+](C)(C)C FDXKBUSUNHRUIZ-UHFFFAOYSA-M 0.000 description 1
- ZRVXFJFFJZFRLQ-UHFFFAOYSA-M tetramethylazanium;iodate Chemical compound [O-]I(=O)=O.C[N+](C)(C)C ZRVXFJFFJZFRLQ-UHFFFAOYSA-M 0.000 description 1
- ZCWKIFAQRXNZCH-UHFFFAOYSA-M tetramethylazanium;perchlorate Chemical compound C[N+](C)(C)C.[O-]Cl(=O)(=O)=O ZCWKIFAQRXNZCH-UHFFFAOYSA-M 0.000 description 1
- HLQAWDQQEJSALG-UHFFFAOYSA-M tetramethylazanium;periodate Chemical compound C[N+](C)(C)C.[O-]I(=O)(=O)=O HLQAWDQQEJSALG-UHFFFAOYSA-M 0.000 description 1
- WBWDWFZTSDZAIG-UHFFFAOYSA-M thonzonium bromide Chemical compound [Br-].N=1C=CC=NC=1N(CC[N+](C)(C)CCCCCCCCCCCCCCCC)CC1=CC=C(OC)C=C1 WBWDWFZTSDZAIG-UHFFFAOYSA-M 0.000 description 1
- 229940051002 thonzonium bromide Drugs 0.000 description 1
- 230000001988 toxicity Effects 0.000 description 1
- 231100000419 toxicity Toxicity 0.000 description 1
- GPQCSCQDQNXQSV-UHFFFAOYSA-N tridodecylazanium;chloride Chemical compound Cl.CCCCCCCCCCCCN(CCCCCCCCCCCC)CCCCCCCCCCCC GPQCSCQDQNXQSV-UHFFFAOYSA-N 0.000 description 1
- SZEMGTQCPRNXEG-UHFFFAOYSA-M trimethyl(octadecyl)azanium;bromide Chemical compound [Br-].CCCCCCCCCCCCCCCCCC[N+](C)(C)C SZEMGTQCPRNXEG-UHFFFAOYSA-M 0.000 description 1
- GLFDLEXFOHUASB-UHFFFAOYSA-N trimethyl(tetradecyl)azanium Chemical compound CCCCCCCCCCCCCC[N+](C)(C)C GLFDLEXFOHUASB-UHFFFAOYSA-N 0.000 description 1
- CEYYIKYYFSTQRU-UHFFFAOYSA-M trimethyl(tetradecyl)azanium;chloride Chemical compound [Cl-].CCCCCCCCCCCCCC[N+](C)(C)C CEYYIKYYFSTQRU-UHFFFAOYSA-M 0.000 description 1
- UYPYRKYUKCHHIB-UHFFFAOYSA-N trimethylamine N-oxide Chemical compound C[N+](C)(C)[O-] UYPYRKYUKCHHIB-UHFFFAOYSA-N 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- AQLJVWUFPCUVLO-UHFFFAOYSA-N urea hydrogen peroxide Chemical compound OO.NC(N)=O AQLJVWUFPCUVLO-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/42—Stripping or agents therefor
- G03F7/422—Stripping or agents therefor using liquids only
- G03F7/423—Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/26—Bombardment with radiation
- H01L21/263—Bombardment with radiation with high-energy radiation
- H01L21/265—Bombardment with radiation with high-energy radiation producing ion implantation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/3115—Doping the insulating layers
- H01L21/31155—Doping the insulating layers by ion implantation
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- High Energy & Nuclear Physics (AREA)
- Health & Medical Sciences (AREA)
- Toxicology (AREA)
- Cleaning Or Drying Semiconductors (AREA)
- Photosensitive Polymer And Photoresist Processing (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Detergent Compositions (AREA)
- Weting (AREA)
Abstract
本發明係發展一種自微電子裝置移除塊狀及/或離子植入抗蝕劑材料之方法及組成物。該等組成物有效地移除離子植入抗蝕劑材料,同時不會損壞含矽或含鍺材料。
Description
本申請案主張以下專利案之優先權:2013年12月20日以Steven Bilodeau等人之名義提出申請之美國臨時專利申請案第61/919,177號,標題「移除離子植入抗蝕劑之非氧化強酸類之用途(Use of Non-Oxidizing Strong Acids for the Removal of Ion-Implanted Resist)」;2014年9月5日以Steven Bilodeau等人之名義提出申請之美國臨時專利申請案第62/046,495號,標題「移除離子植入抗蝕劑之非氧化強酸類之用途(Use of Non-Oxidizing Strong Acids for the Removal of Ion-Implanted Resist)」;及2014年9月4日以Steven Bilodeau等人之名義提出申請之美國臨時專利申請案第62/045,946號,標題「使用與鍺相容之組成物剝除離子植入抗蝕劑(Stripping of Ion-Implanted Resist Using Compositions that are Compatible with Germanium)」;各案以全文引用的方式併入本文。
本發明大致係關於一種自包含抗蝕劑(明確言之離子植入抗蝕劑)之微電子裝置移除該材料之組成物及方法。所揭示之組成物及方法相對於含鍺材料選擇性地移除該抗蝕劑。
抗蝕劑(包括光阻劑)係一種在半導體裝置製造期間用來在基板(例如,半導體晶圓)上形成圖案化層之輻射敏感性(例
如,光輻射敏感性)材料。於使經塗布抗蝕劑之基板的一部分暴露至輻射後,移除抗蝕劑之經暴露部分(對於正型抗蝕劑)或抗蝕劑之未經暴露部分(對於負型抗蝕劑)以顯現基板的下層表面,留下基板的其餘表面經抗蝕劑塗布及保護。抗蝕劑更一般地可稱為遮蓋材料。可於基板之未經覆蓋表面及其餘抗蝕劑上進行其他製程,諸如離子植入、蝕刻、或沈積。於進行其他製程後,於剝除操作中移除殘留抗蝕劑。
在離子植入中,使摻雜劑離子(例如,硼、二氟化硼、砷、銦、鎵、磷、鍺、銻、氙或鉍之離子)朝向基板加速以進行植入。離子被植入於基板的經暴露區域以及殘留抗蝕劑中。離子植入可被用於,例如,在基板中形成植入區域(諸如電晶體的通道區域及源極及汲極區域)。離子植入亦可用於形成輕度摻雜汲極及雙重擴散汲極區域。然而,於抗蝕劑中植入之高劑量離子可能會自抗蝕劑之表面奪取氫,導致抗蝕劑形成可為較抗蝕劑層之下層部分(即抗蝕劑層之本體部分)硬之碳化層的外層或外殼。外層及本體部分具有不同的熱膨脹速率且以不同速率對剝除過程反應。
抗蝕劑剝除的一重要態樣係關於對基板的損壞、或可由抗蝕劑剝除所導致之一部分基板的不期望移除。該損壞係不期望的,因其會導致形成於基板之中或之上的結構及裝置(例如,形成於半導體晶圓之中或之上的電晶體或其他電子裝置)無法作用或作用不良。該損壞可涉及溶解(蝕刻)、轉變為不同的固相(諸如氧化物)、或兩者之組合。舉例來說,用於移除經植入抗蝕劑的典型方法係於氣相(氧化電漿)或於液相(例如,硫酸+過氧化物或「SPM」)中利用強氧化介質。此等方法對於含鍺基板無法良好地作用,因其
在動力學上比矽對氧化更敏感,部分由於氧化鍺更可溶解且係表面的不良保護體(不同於SiO2)。
因此,有需要一種可有效及有效率地移除離子植入抗蝕劑,不利用強氧化劑,足夠快速而可用於單一晶圓工具中,引起最少鍺損耗,且留下至少通過典型製造佇列時間對氧化穩定之光滑鍺表面的新穎組成物及方法。此外,期望對其他基板(例如,矽、氧化矽、及氮化矽)的高選擇性。
本發明大致係關於一種自包含抗蝕劑(明確言之離子植入抗蝕劑)之微電子裝置移除該材料之組成物及方法。所揭示之組成物及方法有效地移除該抗蝕劑,同時不會實質地損壞存於裝置表面上之其他材料(諸如含矽材料及含鍺材料)。
在一態樣中,描述一種自其上具有抗蝕劑之微電子裝置表面移除該抗蝕劑之方法,該方法包括使一組成物與微電子裝置表面在自微電子裝置表面實質地移除抗蝕劑所需之條件下接觸,其中該組成物包含至少一種非氧化酸及至少一種氟化物及/或溴化物化合物,其限制條件為該組成物實質上不含氧化劑。
在另一態樣中,描述一種自其上具有抗蝕劑之微電子裝置表面移除該抗蝕劑之方法,該方法包括使一組成物與微電子裝置表面在自微電子裝置表面實質地移除抗蝕劑所需之條件下接觸,其中該組成物包括含硫酸、HCl、及視情況之至少一種氟化物及/或溴化物化合物,其中該HCl係使用作為溶解氣體添加之HCl(氯化氫)或HCl產生化合物所產生。
在又另一態樣中,描述一種自包含抗蝕劑(明確言之
離子植入抗蝕劑)之微電子裝置剝除該材料之組成物,該組成物包含至少一種非氧化酸、氟化物物質及/或溴化物化合物中之至少一者、及至少一種降低該組成物熔點之化合物,其限制條件為該組成物實質上不含氧化劑。
在又另一態樣中,描述一種自包含抗蝕劑(明確言之離子植入抗蝕劑)之微電子裝置剝除該材料之組成物,該組成物包含至少一種非氧化酸、氟化物物質及/或溴化物化合物中之至少一者、及至少一種降低該組成物熔點之化合物,其限制條件為該組成物實質上不含氧化劑。
本發明之其他態樣、特徵及優勢將可由隨後之揭示內容及隨附之申請專利範圍而更完整明瞭。
圖1繪示在使用調配物A-J移除抗蝕劑後的RMS粗糙度。
圖2繪示在使用DMSO於20℃下移除抗蝕劑10分鐘後的試樣。圖2A顯示在移除後的橫剖面分析及圖2B顯示在移除後的AFM掃描。
圖3繪示在使用調配物H於20℃下移除抗蝕劑10分鐘後的試樣。圖3A顯示在移除後的橫剖面分析及圖3B顯示在移除後的AFM掃描。
圖4繪示在使用調配物H於60℃下移除抗蝕劑10分鐘後的試樣。圖4A顯示在移除後的橫剖面分析及圖4B顯示在移除後的AFM掃描。
圖5繪示SiO2、SiNx及Ge在於調配物H中於25℃
及60℃下浸泡後的蝕刻速率。
圖6包括於在調配物N中於室溫下浸泡10分鐘後在部位1(圖6A)及部位2(圖6B)的AFM顯微照片。
圖7包括於在調配物U中於室溫下浸泡10分鐘後在部位1(圖7A)及部位2(圖7B)的AFM顯微照片。
圖8包括於在調配物AA中於室溫下浸泡2分鐘後在部位1及部位2的AFM顯微照片連同平均分佈。
圖9包括於在調配物AA中於室溫下浸泡10分鐘後在部位1及部位2的AFM顯微照片連同平均分佈。
圖10包括於在調配物BB中於室溫下浸泡2.5分鐘後在部位1及部位2的AFM顯微照片連同平均分佈。
圖11包括於在調配物CC中於室溫下浸泡2.5分鐘後在部位1及部位2的AFM顯微照片連同平均分佈。
圖12包括於在調配物DD中於室溫下浸泡2.5分鐘後在部位1及部位2的AFM顯微照片連同平均分佈。
圖13包括於在調配物EE中於室溫下浸泡1分鐘,接著30秒水沖洗、吹乾、及在室溫下利用該組成物第二次浸泡1分鐘後在部位1及部位2的AFM顯微照片連同平均分佈。
本發明大致係關於一種自包含抗蝕劑(明確言之離子植入抗蝕劑)之微電子裝置移除該材料之組成物及方法。所揭示之組成物及方法有效地移除該抗蝕劑,同時不會實質地損壞存於裝置表面上之其他材料(諸如含矽材料及含鍺材料)。
為容易參考起見,「微電子裝置」係相當於經製造用
於微電子、積體電路、能量收集、或電腦晶片應用中之半導體基板、平板顯示器、相變記憶裝置、太陽能面板及包括太陽能電池裝置、光伏打元件、及微機電系統(MEMS)之其他產品。應明瞭術語「微電子裝置」、「微電子基板」及「微電子裝置材料」並不具任何限制意味,且其包括任何最終將成為微電子裝置或微電子組件的基板或結構。
「離子植入」係一種可將摻雜劑材料之離子植入至標靶材料(通常為固體)中的過程。離子植入被使用於半導體裝置製造中,例如,用來製造積體電路及矽半導體裝置。由於經植入之離子係不同於標靶之元素,因此該等離子可能會引起或導致標靶的化學變化,及/或結構變化,其中標靶可能會被離子植入改質、損壞或甚至毀壞。僅作為實例,通常用於半導體製造中之植入物質的元素包括硼、二氟化硼、砷、銦、鎵、鍺、鉍、氙、磷及銻。硼係矽中之p型摻雜劑,因其於矽中供給或產生「電洞」(即電子空位)。砷係矽中之n型摻雜劑,因其於矽中供給或產生額外電子。經植入於本質矽中之摻雜劑(諸如硼及砷)可能會導致本質矽作為半導體成為導電性。可將一或多種摻雜劑材料植入至標靶材料中。離子植入通常以劑量及能量來表徵。劑量係每單位面積之標靶材料所植入的離子數。能量係所植入離子的能量。更先進的半導體加工或製造技術通常使用較早先技術高的劑量及/或高的能量。
「抗蝕劑」(包括「光阻劑」)係一種用來在表面(例如,基板或標靶之表面)上形成圖案化塗層的輻射敏感性材料。抗蝕劑被用於半導體裝置(例如,積體電路及矽半導體裝置)之製造中。抗蝕劑於半導體裝置製造中之一項用途係作為將摻雜劑選擇性離子
植入至半導體基板中的遮罩。將一層抗蝕劑施加至半導體基板之表面,或施加至基板之上或之內之一層(諸如半導體層上方之絕緣體層)的表面。使一部分的抗蝕劑暴露至輻射,該部分之抗蝕劑係對應於待植入之半導體區域(正型抗蝕劑)或不欲植入之半導體區域(負型抗蝕劑)。然後使抗蝕劑暴露至顯影劑,其促進移除一部分之抗蝕劑,以致僅殘留期望部分的抗蝕劑。「正型抗蝕劑」係經暴露至輻射之抗蝕劑部分變得可被抗蝕劑顯影劑溶解及移除的抗蝕劑類型。未暴露的抗蝕劑部分保持不可被抗蝕劑顯影劑溶解且未被其移除。「負型抗蝕劑」係暴露至輻射之抗蝕劑部分變得不可被光阻劑顯影劑溶解且未被其移除的抗蝕劑類型。未暴露至輻射的抗蝕劑部分保持可被抗蝕劑顯影劑溶解及移除。抗蝕劑之可溶解部分被抗蝕劑顯影劑溶解。離子植入係發生在抗蝕劑經由暴露至輻射並經顯影劑顯影而圖案化之後。殘留的抗蝕劑部分阻擋植入離子到達在抗蝕劑下方的半導體或其他材料。經抗蝕劑阻擋的離子被植入至抗蝕劑中而非下層基板中。未經抗蝕劑覆蓋之半導體部分經離子植入。
由於經抗蝕劑阻擋之植入離子的相當高劑量及/或高能量,抗蝕劑於離子衝擊及被吸收處之抗蝕劑的外部部分或外側上形成外殼或硬殼。抗蝕劑硬化可起因於或與碳化、聚合或聚合物交聯相關。明確言之,穿透至抗蝕劑之外部區域中之離子可導致抗蝕劑的外部區域(例如,抗蝕劑的頂部及側面)成為外殼,且接近外部區域之抗蝕劑之內部區域中的化學鍵交聯。已知外殼難以在抗蝕劑剝除過程期間移除(例如,外殼不溶於一些用於剝除的已知溶劑中)。由於離子僅穿透至抗蝕劑材料中的有限距離,因此外殼主要係形成於抗蝕劑之外部部分上。由於抗蝕劑之底部經植入材料或基
板覆蓋,因此外殼可形成於抗蝕劑之頂部及側表面上,而不會形成於抗蝕劑的底部部分上或內部部分中。關於典型的抗蝕劑,由於離子通常主要係以向下的入射方向植入,因此頂部外殼較側面外殼厚。抗蝕劑外殼之厚度係取決於植入離子之劑量及離子植入能量。在內部或外殼下方之抗蝕劑材料(即大致不受離子影響之抗蝕劑部分)稱為本體抗蝕劑或本體抗蝕劑材料。抗蝕劑之硬化或成殼(例如)使得抗蝕劑之外部部分不可溶解(或較不可溶解)於水或一些其他水溶液中(但不一定不溶於所有其他水溶液或所有有機溶劑中)。
「矽」可定義為包括Si、多晶Si、及單晶Si,以及其他含矽材料諸如氧化矽、熱氧化物、SiOH及SiCOH。矽包含在(例如)可使用作為諸如FET及積體電路之電子裝置之基板或一部分基板的絕緣體上矽(SOI)晶圓中。其他類型之晶圓亦可包含矽。
如本文所使用之「含矽材料」係相當於矽;氧化矽,包括閘氧化物(例如,熱或化學生長之SiO2)及TEOS;氮化矽;及低k介電材料。如本文所定義之「低k介電材料」係相當於在層狀微電子裝置中用作介電材料之任何材料,其中該材料具有低於約3.5之介電常數。較佳地,低k介電材料包括低極性材料諸如含矽有機聚合物、含矽有機/無機混合材料、有機矽酸鹽玻璃(OSG)、TEOS、氟化矽酸鹽玻璃(FSG)、二氧化矽、及摻碳氧化物(CDO)玻璃。應明瞭低k介電材料可具有不同密度及不同孔隙度。
如本文所定義之「實質上不含」係相當於基於該組成物之總重量小於該組成物之約2重量%,更佳小於1重量%,及最佳小於0.1重量%。「不含」係相當於該組成物之0重量%。
如本文所使用之「氟化物」物質係相當於包括離子氟
化物(F-)或可水解共價鍵結氟之物質。應明瞭氟化物物質可作為氟化物物質包含或於原位產生。
如本文所定義之「含鍺材料」可為塊狀鍺晶圓、絕緣體上鍺(GOI)晶圓(在此情況該層係形成於基板頂部上之介電層上的鍺層)、基板上之鍺層、Ge-Sn合金、或SiGe材料(不管Si:Ge之百分比為何)。含鍺材料可為至少部分延伸於基板上方之連續層或可分割成個別區域。
如本文所定義之「非氧化酸」係相當於相對於標準氫電極具有低於約+0.25V之標準氧化/還原電位的酸。非氧化酸之實例包括硫酸、磷酸及膦酸、及大部分的有機酸(例如,羧酸),但不包括硝酸或任何「鹵化物」酸(即鹵素+氧諸如碘酸鹽、過氯酸鹽、次氯酸鹽等)。
如本文所定義之「氧化劑」包括,但不限於,過氧化氫、FeCl3、FeF3、Fe(NO3)3、Sr(NO3)2、CoF3、MnF3、發氧方(oxone,2KHSO5˙KHSO4˙K2SO4)、過碘酸、碘酸、氧化釩(V)、氧化釩(IV、V)、釩酸銨、過氧單硫酸銨、亞氯酸銨、氯酸銨、碘酸銨、硝酸銨、過硼酸銨、過氯酸銨、過碘酸銨、過硫酸銨、次氯酸銨、次溴酸銨、鎢酸銨、過硫酸鈉、次氯酸鈉、過硼酸鈉、次溴酸鈉、碘酸鉀、過錳酸鉀、過硫酸鉀、硝酸、過硫酸鉀、次氯酸鉀、亞氯酸四甲銨、氯酸四甲銨、碘酸四甲銨、過硼酸四甲銨、過氯酸四甲銨、過碘酸四甲銨、過硫酸四甲銨、過氧單硫酸四丁銨、過氧單硫酸、硝酸鐵、尿素過氧化氫、過乙酸、1,4-苯醌、甲苯醌、二甲基-1,4-苯醌、二氯-1,4-苯醌、四氯苯醌、四氧嘧啶(alloxan)、N-甲基啉N-氧化物、三甲胺N-氧化物、硝酸銨鈰、硝酸鈰、硫酸銨鈰、硫酸鈰、硫酸氫
鈰、過氯酸鈰、甲磺酸鈰、三氟甲磺酸鈰、氯化鈰、氫氧化鈰、羧酸鈰、β-二酮鈰、三氟乙酸鈰及乙酸鈰、及其組合。
抗蝕劑剝除的四個重要態樣係:(i)在相當低溫下剝除;(ii)相當短的抗蝕劑剝除時間以容許可接受的晶圓生產量;(iii)自微電子裝置表面實質上完全移除抗蝕劑;及(iv)使可能由抗蝕劑剝除所導致之對來自微電子裝置表面之其他材料(例如,含矽材料、含鍺材料、或兩者)的損壞或不期望地移除該其他材料減至最少或實質上地消除。該損壞(例如)可涉及溶解(蝕刻)、轉變為不同的固相(諸如氧化物)、或兩者之組合。
在第一態樣中,描述一種自包含抗蝕劑(明確言之離子植入抗蝕劑)之微電子裝置剝除該材料之組成物。在一具體例中,用於剝除抗蝕劑之組成物包含至少一種非氧化酸及至少一種氟化物及/或溴化物化合物,由其等所組成,或基本上由其等所組成,其限制條件為該組成物實質上不含氧化劑。在另一具體例中,用於剝除抗蝕劑之組成物包含至少一種非氧化酸、至少一種氟化物及/或溴化物化合物、及至少一種耐酸性界面活性劑,由其等所組成,或基本上由其等所組成,其限制條件為該組成物實質上不含氧化劑。在又另一具體例中,用於剝除抗蝕劑之組成物包含至少一種非氧化酸及至少一種耐酸性界面活性劑,由其等所組成,或基本上由其等所組成,其限制條件為該組成物實質上不含氧化劑。一般而言,可適當地改變組分相對於彼此的明確比例及量,以提供組成物對於抗蝕劑及/或加工設備之期望的移除作用,此可無需過多心力而於技藝技能內輕易地決定。
第一態樣之組成物實質上不含添加水及有機溶劑。應
瞭解一些商業組分包含少量水,然而,較佳不向文中所述之組成物添加額外的水。因此,基於組成物之總重量,第一態樣之組成物包含少於約5重量%之添加水,更佳少於3重量%之添加水,再更佳少於2重量%之添加水,及最佳少於1重量%之添加水。存於商業購得組分(例如,氟化氫)中之水不被視為「添加水」。此外,第一態樣之組成物較佳亦實質上不含化學機械拋光研磨材料、強鹼(例如,鹼及鹼性金屬氫氧化物及第四銨氫氧化物)、及胺中之至少一者。如本文所定義之「胺」物質包括至少一種第一、第二、及第三胺,其限制條件為(i)同時包括羧酸基及胺基之物質,(ii)包括胺基之界面活性劑,及(iii)其中之胺基為取代基(例如,連接至芳基或雜環部分)之物質不被視為根據此定義之「胺」。胺之化學式可以NR1R2R3表示,其中R1、R2及R3可彼此相同或不同且係選自由氫、直鏈或分支鏈C1-C6烷基(例如,甲基、乙基、丙基、丁基、戊基、己基)、C6-C10芳基(例如,苄基)、直鏈或分支鏈C1-C6烷醇(例如,甲醇、乙醇、丙醇、丁醇、戊醇、己醇)、及其組合所組成之群,其限制條件為R1、R2及R3不可皆為氫。
存在非氧化酸主要係要將光阻劑質子化及溶解。此處涵蓋的非氧化酸包括,但不限於,甲磺酸、草酸、檸檬酸、酒石酸、吡啶甲酸、琥珀酸、乙酸、乳酸、磺基琥珀酸、苯甲酸、丙酸、甲酸、丙酮酸、草酸、順丁烯二酸、丙二酸、反丁烯二酸、蘋果酸、抗壞血酸、苯乙醇酸、庚酸、丁酸、戊酸、戊二酸、酞酸、次磷酸、水楊酸、5-磺基水楊酸、乙磺酸、丁磺酸、對甲苯磺酸、二氯乙酸、二氟乙酸、單氯乙酸、單氟乙酸、氫氯酸、三氯乙酸、三氟乙酸、氫溴酸(62重量%)、硫酸、及其組合。非氧化酸較佳包含甲磺酸
(99%)、氫氯酸(濃)、三氟乙酸、氫溴酸(62%)、硫酸(95-98%)、或其組合。組成物中非氧化酸之量較佳大於90重量%,更佳大於95重量%,及最佳大於98重量%。
據認為該至少一種氟化物及/或溴化物化合物可改良在經抗蝕劑覆蓋之區域邊緣(即「軌條」)處之成殼抗蝕劑材料的移除。涵蓋的氟化物化合物包括氫氟酸。或者,除HF外之氟化物化合物可有利於維持與含矽材料之相容性,其包括,但不限於,四氟硼酸、六氟鈦酸、六氟矽酸、六氟鋯酸、四氟硼酸、三氟甲磺酸四丁銨、四氟硼酸四烷基銨(NR1R2R3R4BF4)諸如四氟硼酸四丁基銨、六氟磷酸四烷基銨(NR1R2R3R4PF6)、氟化四烷基銨(NR1R2R3R4F)(其無水或水合物)諸如氟化四甲基銨、氟化氫銨、氟化銨,其中R1、R2、R3、R4可彼此相同或不同且係選自由氫、直鏈或分支鏈C1-C6烷基(例如,甲基、乙基、丙基、丁基、戊基、己基)、C1-C6烷氧基(例如,羥乙基、羥丙基)、經取代或未經取代之芳基(例如,苄基)所組成之群。氟化物物質較佳包括氫氟酸。涵蓋的溴化物化合物包括氫溴酸及任何其他在溶液中於低pH下產生大部分HBr的化合物。當存在時,氫氟酸之量係在約0.01重量%至約1重量%,更佳0.1重量%至約0.4重量%之範圍內。當存在時,除HF外之氟化物化合物及/或溴化物化合物之量係在約0.01重量%至約8重量%之範圍內。此處涵蓋氟化物及溴化物化合物之組合。
由於抗蝕劑部分係藉由剝離(lift-off)而非溶解移除,因此需防止顆粒再沈積於晶圓上。為此,可針對此目的視需要地添加耐酸性界面活性劑,其亦有助於剝離過程。舉例來說,可將十二烷基苯磺酸(0.02-0.15%)添加至MSA,或可將Novec 4300
(0.005-0.02%)添加至濃氫氯酸。其他涵蓋的耐酸性界面活性劑包括氯化苄烷銨、DOWFAX界面活性劑(Dow Chemical)、及更通常的長鏈第四銨陽離子界面活性劑、長鏈磺酸陰離子界面活性劑、及氟化醚基非離子界面活性劑,當存在時,其濃度為約0.002%至約2%。
第一態樣之組成物可進一步包含至少一種分散劑,其包括,但不限於,雜環諸如吡啶、咪唑、苯并咪唑及哌啶,以及包括下列中之至少一者之雜環的衍生物:C1-10烷基、苯基、苄基、苯乙基、3-苯丙基、苄氧基、羧基、氯基、溴基、甲氧基、硝基、及氰基,包括,但不限於,4-苄基吡啶、4-苄基哌啶、2-苄基吡啶、4-(4-硝苄基)吡啶、4-(5-壬基)吡啶、4-(3-苯丙基)吡啶、4-(3-苯丙基)吡啶-N-氧化物、1-辛基咪唑、1-辛基苯并咪唑、聚(2-乙烯基吡啶)、聚(4-乙烯基吡啶)、及包含最後兩者中之一或兩者作為組分的共聚物。如熟悉技藝人士所當明瞭,大部分的分散劑亦可被視為(及充作)界面活性劑。
在第二態樣中,描述另一種自包含抗蝕劑(明確言之離子植入抗蝕劑)之微電子裝置剝除該材料之組成物。用於剝除抗蝕劑之組成物包含至少一種非氧化酸、至少一種氟化物及/或溴化物化合物、及至少一種降低該組成物熔點之化合物,由其等所組成,或基本上由其等所組成,其限制條件為該組成物實質上不含氧化劑。在另一具體例中,用於剝除抗蝕劑之組成物包含至少一種非氧化酸、至少一種氟化物及/或溴化物化合物、至少一種耐酸性界面活性劑、及至少一種降低該組成物熔點之化合物,由其等所組成,或基本上由其等所組成,其限制條件為該組成物實質上不含氧化劑。在又另一具體例中,用於剝除抗蝕劑之組成物包含至少一種
非氧化酸、至少一種耐酸性界面活性劑、及至少一種降低該組成物熔點之化合物,由其等所組成,或基本上由其等所組成,其限制條件為該組成物實質上不含氧化劑。一般而言,可適當地改變組分相對於彼此的明確比例及量,以提供組成物對於抗蝕劑及/或加工設備之期望的移除作用,此可無需過多心力而於技藝技能內輕易地決定。
第二態樣之組成物實質上不含添加水。應瞭解一些商業組分包含少量水,然而,較佳不向文中所述之第二態樣之組成物添加額外的水。因此,基於組成物之總重量,第二態樣之組成物包含少於約5重量%之添加水,更佳少於3重量%之添加水,再更佳少於2重量%之添加水,及最佳少於1重量%之添加水。存於商業購得組分(例如,氟化氫)中之水不被視為「添加水」。此外,第二態樣之組成物較佳亦實質上不含化學機械拋光研磨材料、強鹼(例如,鹼及鹼性金屬氫氧化物及第四銨氫氧化物)、及胺中之至少一者。
存在非氧化酸主要係要將光阻劑質子化及溶解。經涵蓋用於第二態樣之組成物的非氧化酸包括彼等於第一態樣中所列舉者。非氧化酸較佳包含甲磺酸(99%)、氫氯酸(濃)、三氟乙酸、氫溴酸(62%)、硫酸(95-98%)、或其組合。第二態樣之組成物中非氧化酸之量較佳大於90重量%,更佳大於95重量%,及最佳大於98重量%。
該至少一種氟化物及/或溴化物化合物被認為可改良在經抗蝕劑覆蓋之區域邊緣(即「軌條」)處之成殼抗蝕劑材料的移除。經涵蓋用於第二態樣之組成物的氟化物及溴化物化合物包括彼等於第一態樣中所列舉者。氟化物化合物較佳包括氫氟酸。當存
在時,氫氟酸之量係在約0.01重量%至約1重量%,更佳0.1重量%至約0.4重量%之範圍內。當存在時,除HF外之氟化物化合物及/或溴化物化合物之量係在約0.01重量%至約8重量%之範圍內。此處涵蓋氟化物及溴化物化合物之組合。
當存在耐酸性界面活性劑時係如前文關於第一態樣所述。
驚人地發現至少一種非氧化酸及至少一種氟化物及/或溴化物化合物之一些組合產生可能具有相當高之熔點且可能於運輸中冷凍的組成物。因此,可將至少一種降低該組成物熔點之化合物添加至該至少一種非氧化酸及至少一種氟化物及/或溴化物化合物,此係熟悉技藝人士所可輕易決定。重點在於,該至少一種降低該組成物熔點之化合物不會降低組成物移除抗蝕劑之能力或組成物對微電子裝置上之下層含矽及含鍺材料及金屬閘極材料的相容性。如於2014年3月14日提出申請之美國專利申請案第14/211,528號,標題「作為環境非質子性溶劑的四氫噻吩碸混合物(Sulfolane Mixtures as Ambient Aprotic Polar Solvents)」(該案以全文引用的方式併入本文)中所述,本發明人先前發現於組成物中包含至少一種二烷基碸(其中該至少一種二烷基碸具有式R-(SO2)-R’,其中R及R’可彼此相同或不同且可為任何C1-C6烷基)可降低組成物的熔融溫度。因此,該至少一種降低該組成物熔點之化合物包括,但不限於,至少一種二烷基碸,其中該至少一種二烷基碸具有式R-(SO2)-R’,其中R及R’可彼此相同或不同且可為任何C1-C6烷基,諸如二甲基碸(亦稱為甲碸基甲烷、MSM、DMSO2)、乙基甲基碸、二丙基碸、乙基丙基碸、二乙基碸、二丁基碸、及其組合。除此之
外,或替代地,該至少一種降低該組成物熔點之化合物可包括硫酸及四氫噻吩碸。較佳地,該至少一種降低該組成物熔點之化合物包括二甲基碸、四氫噻吩碸或硫酸。二甲基碸具有與四氫噻吩碸相似的溶劑性質,但亦有利地具有高沸點、高閃點、無已知毒性、且高度穩定。
第二態樣之組成物可進一步包含至少一種分散劑,其包括,但不限於,雜環諸如吡啶、咪唑、苯并咪唑及哌啶,以及包括下列中之至少一者之雜環的衍生物:C1-10烷基、苯基、苄基、苯乙基、3-苯丙基、苄氧基、羧基、氯基、溴基、甲氧基、硝基、及氰基,包括,但不限於,4-苄基吡啶、4-苄基哌啶、2-苄基吡啶、4-(4-硝苄基)吡啶、4-(5-壬基)吡啶、4-(3-苯丙基)吡啶、4-(3-苯丙基)吡啶-N-氧化物、1-辛基咪唑、1-辛基苯并咪唑、聚(2-乙烯基吡啶)、聚(4-乙烯基吡啶)、及包含最後兩者中之一或兩者作為組分的共聚物。
第一及第二態樣之組成物具有低於約2,更佳低於約1之pH。當明瞭視所使用組分及其量而定,第一及第二態樣之組成物的pH可低於零或甚至無法利用常用儀器量測。
在另一具體例中,第一及第二態樣之組成物進一步包括塊狀及硬化抗蝕劑材料,其中該塊狀及硬化抗蝕劑材料可包括硼、砷、二氟化硼、銦、銻、鍺、碳及/或磷離子。舉例來說,第一及第二態樣之組成物可包括至少一種非氧化酸、至少一種氟化物及/或溴化物化合物、及塊狀及硬化抗蝕劑材料,其限制條件為該組成物實質上不含氧化劑。抗蝕劑材料及植入離子可溶解及/或懸浮於第一及第二態樣之組成物中。
第一及第二態樣之組成物可與微電子裝置上之下層含矽及含鍺材料及金屬閘極材料相容。
第一及第二態樣之組成物可輕易地調配為單一包裝調配物或在使用點處及/或使用點前混合的多份調配物,例如,多份調配物之個別份可於工具處、於工具上游之儲槽中、或於直接將混合調配物傳遞至工具的運送包裝中混合。舉例而言,單一運送包裝可包括至少兩個分開的容器或囊袋,其可由使用者於工廠混合在一起及可將混合調配物直接傳遞至工具。運送包裝及包裝的內部容器或囊袋必需適於儲存及運送該組成物組分,例如,由Advanced Technology Materials,Inc.(Danbury,Conn.,USA)所提供之包裝。
或者,可調配第一及第二態樣之組成物的濃縮物,及將其包裝在一個運送容器中,及在使用前及/或使用期間與現場組分混合,其中該使用方法述於文中。舉例來說,可調配該濃縮物以在使用前及/或使用期間添加額外的非氧化酸。
在第三態樣中,描述另一種自包含抗蝕劑(明確言之離子植入抗蝕劑)之微電子裝置剝除該材料之組成物。在一具體例中,描述一種組成物,該組成物包含含硫酸及HCl,由其等所組成,或基本上由其等所組成,其中該HCl係使用作為溶解氣體添加之HCl(氯化氫)或HCl產生化合物所產生。組成物中HCl產生化合物之量較佳係在約0.1重量%至約10重量%之範圍內,更佳約0.5重量%至約5重量%。其餘的組成物係含硫酸。此具體例之組成物實質上不含添加的氟化物物質及過氧化氫及其他氧化劑。舉例來說,該含硫酸可為硫酸及該HCl產生化合物可為典型濃度為1-5%的亞硫醯氯(SOCl2),其中該SOCl2與存於硫酸中之水反應產生HCl及
SO2。在此實例中,大部分的HCl蒸發,但溶液保持經HCl飽和,且具有實質含量的SO2氣體。在另一實例中,該含硫酸可為硫酸及該HCl產生化合物可為典型濃度為0.5-5%的氯磺酸,其中該氯磺酸與存於硫酸中之水反應產生HCl及H2SO4,其中該組成物經HCl飽和。雖然不希望受理論所限制,但據認為氯化環境有助於將抗蝕劑殘留物自含鍺表面「剝離」及留下據推測經氯化物覆蓋的疏水性表面。經處理之含鍺表面的疏水性實際上容許水基沖洗表面而不會顯著損傷基板。
在另一具體例中,第三態樣之組成物包含含硫酸、HCl(其中該HCl係使用作為溶解氣體添加之HCl(氯化氫)或HCl產生化合物所產生)、及至少一種氟化物及/或溴化物化合物,由其等所組成,或基本上由其等所組成。組成物中HCl產生化合物之量較佳係在約0.1重量%至約10重量%之範圍內,更佳約0.5重量%至約5重量%。氟化物及/或溴化物化合物之量較佳係在約0.1重量%至約5重量%之範圍內。其餘的組成物係含硫酸。此具體例之組成物實質上不含添加水及過氧化氫及其他氧化劑。
含硫酸包括,但不限於,濃硫酸、100%硫酸、「發煙」硫酸(含過量SO3)、氯磺酸(ClSO3H)、氯磺酸及硫酸之混合物、三氟甲磺酸(CF3SO3H)及氟磺酸(FSO3H)。
HCl產生化合物包括,但不限於,亞硫醯氯(SOCl2)、三氯化磷醯(POCl3)、硫醯氯(SO2Cl2)、三氯化硼(BCl3)、四氯化鍺(GeCl4)、氯磺酸、及其組合。此外,HCl可直接經由使HCl氣體鼓泡通過含硫酸而添加,或可將濃(35-38%)氫氯酸直接添加至含硫酸。亦可添加氯化物鹽(例如,氯化銨或氫氯酸乙銨)作為HCl來源。
不管來源為何,第三態樣之組成物較佳經HCl飽和。
當存在該至少一種氟化物化合物時可為經列舉用於第一態樣之組成物之氟化物化合物中的一者,較佳為HF。當存在時,該至少一種溴化物物質包括HBr或任何其他將在溶液中於極低pH下產生大部分HBr的溴化物來源。
在第三態樣之一具體例中,組成物包括硫酸及SOCl2。SOCl2與濃(95-98%)硫酸中之殘留水的反應在攪拌條件下相當快速,及於室溫下在10-30分鐘後溶解接近完全(由停止HCl鼓泡所證實)。
SOCl2+H2O → SO2+2 HCl
在H2SO4及SOCl2之情況中,由於SOCl2於濃硫酸中之溶解度<<1%,因此需要劇烈攪拌,故大部分反應時間系統係相分離。若需要,可藉由添加界面活性劑(例如,氯化第四銨諸如氯化十二烷基三甲基銨(DTAC))、或可溶解於濃硫酸中且於其中穩定的有機溶劑(例如,四氫噻吩碸、二甲基碸)、或兩者之混合物來加速兩相的凝聚。許多經由水解反應產生的SO2保持溶解於硫酸中,但大部分氯化氫經由於反應期間蒸發而損耗,且若溶液暴露至空氣,則稍後會損耗再更多。在一典型情況中,經SOCl2處理之硫酸的HCl含量自於新鮮溶液中之起始0.185%減小至於在敞開瓶中26小時後之0.131%。
舉例來說,利用100% H2SO4+5% SOCl2獲得優良的結果係為驚人的,因100% H2SO4雖然可進行良好的剝除作業,但會導致Ge基板之孔蝕。雖然不希望受限於理論,但咸信於H2SO4+SOCl2混合物中存在S(IV)防止其充作對含鍺基板的氧化劑。有利
地,對於包含H2SO4+SOCl2之組成物,SiOx、SiNx及Ge於40℃下之蝕刻速率皆≦0.1埃/分鐘。
如前文所述,可添加至少一種界面活性劑及/或至少一種有機溶劑以增進HCl產生化合物於含硫化合物中之溶解度。因此,在第三態樣之組成物的另一具體例中,組成物包含含硫酸、HCl產生化合物、及至少一種界面活性劑及/或至少一種有機溶劑,由其等所組成,或基本上由其等所組成。
該至少一種界面活性劑可為具有C10-C16烷基鏈之陽離子四級界面活性劑,包括,但不限於,氯化苄烷銨、氯化苄基二甲基十二烷基銨、溴化肉豆蔻基三甲基銨、溴化十二烷基三甲基銨、氯化十二烷基三甲基銨(DTAC)、氯化癸基三甲基銨、氯化二烯丙基二甲基銨、氯化三甲基十四烷基銨、氯化十四烷基二甲基苄基銨、氯化十二烷基(2-羥乙基)二甲基銨、氯化十六烷基(2-羥乙基)二甲基銨、溴化十二烷基(2-羥乙基)二甲基銨、溴化十六烷基(2-羥乙基)二甲基銨、氯化十六烷基吡錠、Aliquat 336(Cognis)、氯化苄基二甲基苯基銨、Crodaquat TES(Croda Inc.)、Rewoquat CPEM(Witco)、對甲苯磺酸十六烷基三甲基銨、氫氧化十六烷基三甲基銨、二氯化1-甲基-1’-十四烷基-4,4’-聯吡錠、溴化烷基三甲基銨、鹽酸安保寧(amprolium hydrochloride)、氫氧化苯乙基銨(benzethonium hydroxide)、氯化苯乙基銨(benzethonium chloride)、氯化苄基二甲基十六烷基銨、氯化苄基二甲基十四烷基銨、溴化苄基十二烷基二甲基銨、氯化苄基十二烷基二甲基銨、氯化鯨蠟基吡錠、膽鹼對甲苯磺酸鹽、溴化二甲基二-十八烷基銨、溴化十二烷基乙基二甲基銨、氯化十二烷基三甲基銨、溴化乙基十六烷基二甲
基銨、吉拉德試劑(Girard’s reagent)、十六烷基(2-羥乙基)二甲基磷酸二氫銨、溴化十六烷基吡錠、溴化十六烷基三甲基銨、氯化十六烷基三甲基銨、氯化甲基苯乙基銨、Hyamine® 1622、LuviquatTM、N,N’,N’-聚氧伸乙基(10)-N-牛脂-1,3-二胺基丙烷液體、溴化羥苯乙胺(oxyphenonium bromide)、溴化四庚基銨、溴化肆(癸基)銨、通佐溴銨(thonzonium bromide)、氯化三-十二烷基銨、溴化三甲基十八烷基銨、四氟硼酸1-甲基-3-正辛基咪唑鎓、四氟硼酸1-癸基-3-甲基咪唑鎓、氯化1-癸基-3-甲基咪唑鎓、溴化三-十二烷基甲基銨、氯化二甲基二硬脂基銨、及氯化六羥季銨(hexamethonium chloride)。如使用氯化物界面活性劑(如DTAC),則其可直接以固體或以濃溶液添加並溶解(在劇烈攪拌下)(例如,可於1:1(w/w)硫酸中製造25% DTAC,以使添加至最終混合物中之水減至最少)。
涵蓋的有機溶劑包括,但不限於,碸諸如四氫噻吩碸、二甲基碸;酐諸如乙酸酐;酸氯化物諸如甲磺醯氯、對甲苯磺醯氯;及其組合。
第三態樣之組成物可進一步包含至少一種分散劑,其包括,但不限於,雜環諸如吡啶、咪唑、苯并咪唑及哌啶,以及包括下列中之至少一者之雜環的衍生物:C1-10烷基、苯基、苄基、苯乙基、3-苯丙基、苄氧基、羧基、氯基、溴基、甲氧基、硝基、及氰基,包括,但不限於,4-苄基吡啶、4-苄基哌啶、2-苄基吡啶、4-(4-硝苄基)吡啶、4-(5-壬基)吡啶、4-(3-苯丙基)吡啶、4-(3-苯丙基)吡啶-N-氧化物、1-辛基咪唑、1-辛基苯并咪唑、聚(2-乙烯基吡啶)、聚(4-乙烯基吡啶)、及包含最後兩者中之一或兩者作為組分的共聚物。
第三態樣之組成物實質上不含添加水。應瞭解一些商業組分包含少量水,然而,較佳不向文中所述之組成物添加額外的水。因此,基於組成物之總重量,第三態樣之組成物包含少於約5重量%之添加水,更佳少於3重量%之添加水,再更佳少於2重量%之添加水,及最佳少於1重量%之添加水。存於商業購得組分(例如,濃H2SO4)中之水不被視為「添加水」。
在另一具體例中,第三態樣之組成物進一步包括塊狀及硬化抗蝕劑材料,其中該塊狀及硬化抗蝕劑材料可包括硼、砷、二氟化硼、銦、銻、鍺、碳及/或磷離子。舉例來說,第三態樣之組成物可包括含硫酸、HCl(其中該HCl係使用作為溶解氣體添加之HCl(氯化氫)或HCl產生化合物所產生)、及塊狀及硬化抗蝕劑材料,其限制條件為該組成物實質上不含氟化物物質及氧化劑。或者,第三態樣之組成物可包括含硫化合物、HCl(其中該HCl係使用作為溶解氣體添加之HCl(氯化氫)或HCl產生化合物所產生)、至少一種氟化物及/或溴化物化合物、及塊狀及硬化抗蝕劑材料。抗蝕劑材料及植入離子可溶解及/或懸浮於第三態樣之組成物中。
第三態樣之組成物可與微電子裝置上之下層含矽及含鍺材料及金屬閘極材料相容。
第三態樣之組成物較佳係於使用點前混合的多份調配物,例如,可將多份調配物之個別份於工具上游之儲槽中混合。由於需要攪拌且HCl係於原位產生,因此組成物應立即使用或於密閉容器中儲存短時間以使降解減至最少。
在第三態樣之一具體例中,因HCl之濃度由於蒸發而隨時間減小,因此補充包含HCl之第三態樣之組成物。明確言之,
在第三態樣之組成物中補充HCl的方法包括以下步驟,由其等所組成,或基本上由其等所組成:將水與四氫噻吩碸組合形成第一混合物;添加一定量之氯磺酸至第一混合物以於四氫噻吩碸中產生HCl,而得到第二混合物,其中氯磺酸之量係與第一混合物中之水量等莫耳;及經由將第二混合物添加至第三態樣之組成物來補充第三態樣之組成物中的HCl,其中第二混合物之添加量係至少部分取代先前自第三態樣之組成物蒸發之HCl所需的量。
在第四態樣中,有效使用文中所述之組成物來自微電子裝置之表面清潔塊狀及硬化抗蝕劑。第四態樣之微電子裝置可為於其上或其內形成電子裝置的晶圓(例如,半導體晶圓)。抗蝕劑可能黏著至晶圓之表面。抗蝕劑可能已在晶圓的離子植入期間經離子植入。抗蝕劑之離子植入可能已導致在抗蝕劑中形成硬化、成殼、聚合及/或碳化外層。該組成物係經調配成較佳不損壞裝置表面上之含矽材料或含鍺材料。文中所述之組成物較佳移除至少85%在抗蝕劑移除之前存於裝置上的塊狀及硬化抗蝕劑,更佳至少90%,再更佳至少95%,及最佳至少99%。
在移除應用中,組成物可以任何適當方式施用至其上具有抗蝕劑材料之微電子裝置,例如,經由將組成物噴塗於裝置之表面上,經由將包括抗蝕劑材料之裝置浸泡(於一定量之組成物中),經由使裝置與經組成物飽和之另一材料(例如,墊、或纖維吸收性塗布器元件)接觸,經由使包括抗蝕劑材料之裝置與循環的組成物接觸,或藉由任何其他藉以使組成物與微電子裝置上之抗蝕劑
材料接觸之適當手段、方式或技術。該應用可於批式或單一晶圓裝置中用於動態或靜態清潔。
在使用文中所述之組成物於自其上具有塊狀及硬化抗蝕劑之微電子裝置移除該材料時,典型上使該組成物與裝置在約20℃至約200℃範圍內,較佳約20℃至約80℃之溫度下接觸約10秒鐘至約60分鐘,較佳1分鐘至20分鐘之時間。該等接觸時間及溫度係為說明性,可使用任何其他可有效地自裝置至少部分地清潔塊狀及硬化抗蝕劑之適宜時間及溫度條件。「至少部分地清潔」及「實質移除」皆相當於移除至少85%在抗蝕劑移除之前存於裝置上的塊狀及硬化抗蝕劑,更佳至少90%,再更佳至少95%,及最佳至少99%。
在第四態樣之一具體例中,自其上具有抗蝕劑之微電子裝置表面移除該材料之方法包括使文中所述之組成物與微電子裝置表面在自微電子裝置表面實質地移除抗蝕劑所需的條件下接觸。較佳地,該抗蝕劑包括塊狀及離子植入之抗蝕劑材料及該等條件包括上述時間及溫度。
在第四態樣之另一具體例中,自其上具有抗蝕劑之微電子裝置表面移除該材料之方法包括:使第一或第二或第三態樣之組成物與微電子裝置表面在自微電子裝置表面至少部分地移除抗蝕劑所需的第一溫度下接觸第一時間;及將組成物之溫度提高至第二溫度,以自微電子裝置表面移除殘留抗蝕劑,其中該第二溫度係高於該第一溫度。較佳地,該抗蝕劑包括塊
狀及離子植入之抗蝕劑材料及該殘留抗蝕劑包括在經抗蝕劑覆蓋之區域邊緣(即「軌條」)處之成殼抗蝕劑材料或其他未在該第一溫度下移除的抗蝕劑。
在第四態樣之另一具體例中,自其上具有抗蝕劑之微電子裝置表面移除該材料之方法包括:使該微電子裝置表面與第一組成物在自微電子裝置表面至少部分地移除抗蝕劑所需的第一溫度下接觸第一時間;及使該微電子裝置表面與第二組成物在自微電子裝置表面移除殘留抗蝕劑所需的第二溫度下接觸第二時間,其中該第一時間可與該第二時間相同或不同,其中該第一溫度可與該第二溫度相同或低於該第二溫度,及其中該第一組成物係不具有氟化物物質之第一或第二或第三態樣之組成物或氟化物物質之濃度低於第二組成物中之氟化物物質濃度之第一或第二或第三態樣之組成物。第二組成物係具有至少一種氟化物物質之第一或第二或第三態樣之組成物。較佳地,該抗蝕劑包括塊狀及離子植入之抗蝕劑材料及該殘留抗蝕劑包括在經抗蝕劑覆蓋之區域邊緣(即「軌條」)處之成殼抗蝕劑材料或其他未經該第一組成物移除的抗蝕劑。
於達成期望的移除作用後,可輕易地將文中所述之組成物自其先前經施用的裝置移除,此可能係在文中所述組成物的給定最終應用中所期望且有效的。沖洗溶液可包括二甲亞碸(DMSO)、異丙醇、N-甲基吡咯啶酮(NMP)、二甲基乙醯胺、四氫噻吩碸及其他碸類、甲醇、及γ-丁內酯中之至少一者。當組成物包括HCl產生化合物諸如氯磺酸時,沖洗溶液除上述沖洗組分外,
可進一步包含水。在一具體例中,沖洗過程包括DMSO沖洗及接著異丙醇沖洗。在另一具體例中,沖洗過程包括異丙醇沖洗。在另一具體例中,沖洗過程包括水沖洗及接著異丙醇沖洗。在又另一具體例中,沖洗過程包括利用水性界面活性劑溶液及接著利用去離子水及利用異丙醇沖洗。其後,裝置可使用氮氣或旋轉乾燥循環乾燥。
又另一態樣係關於根據文中所述方法製得之經改良的微電子裝置及包含此等微電子裝置之產品。
另一態樣係關於一種經再利用的第一或第二態樣之組成物,其中該組成物可經再利用直至所負載之光阻劑組分達到組成物所可容納的最大量為止,此係熟悉技藝人士所可輕易地決定。熟悉技藝人士應明瞭再利用過程可能需要過濾及/或泵送系統,且可能需要補充HCl或HCl產生組分,例如,藉由前文所述之方法。
又另一態樣係關於製造包含微電子裝置之物件的方法,該方法包括使用文中所述之組成物,使微電子裝置與文中所述之組成物接觸足夠的時間以自其上具有塊狀及硬化抗蝕劑之微電子裝置清潔該抗蝕劑,及將該微電子裝置併入該物件中。
另一態樣係關於一種自其上具有抗蝕劑之微電子裝置表面移除該材料之方法,該方法包括:使組成物與微電子裝置表面在自微電子裝置表面至少部分地移除抗蝕劑所需的第一溫度下接觸第一時間;及將組成物之溫度提高至第二溫度,以自微電子裝置表面移除殘留抗蝕劑,其中該第二溫度係高於該第一溫度。
又另一態樣係關於一種自包含離子植入抗蝕劑之微
電子裝置之表面移除該材料之方法,該方法包括:使微電子裝置與第一或第二態樣之組成物以達成抗蝕劑之至少部分移除所需的時間及溫度接觸,其中該組成物包含至少一種非氧化酸及至少一種氟化物及/或溴化物化合物,其限制條件為該組成物實質上不含氧化劑;用DMSO沖洗微電子裝置以達成抗蝕劑殘留物及組成物的移除;及用異丙醇沖洗微電子裝置以達成自裝置移除DMSO。
又另一態樣係關於一種自包含離子植入抗蝕劑之微電子裝置之表面移除該材料之方法,該方法包括:使微電子裝置與第一或第二態樣之組成物在第一溫度下接觸達成自表面至少部分移除抗蝕劑所需的第一時間,其中該組成物包含至少一種非氧化酸及至少一種氟化物及/或溴化物化合物,其限制條件為該組成物實質上不含氧化劑;將該組成物之溫度提高至第二溫度及繼續與該微電子裝置接觸第二時間以達成自表面實質上移除抗蝕劑,其中該第二溫度係高於該第一溫度;用DMSO沖洗微電子裝置以達成抗蝕劑殘留物及組成物的移除;及用異丙醇沖洗微電子裝置以達成自裝置移除DMSO。
又另一態樣係關於一種自包含離子植入抗蝕劑之微電子裝置之表面移除該材料之方法,該方法包括:將含硫酸與作為溶解氣體添加之HCl(氯化氫)或HCl產生化合物組合產生至少包含含硫酸及HCl之組成物所需的時間;
使微電子裝置與組成物以達成抗蝕劑之至少部分移除所需的時間及溫度接觸;用包含水、DMSO或甲醇之第一沖洗液沖洗微電子裝置以達成抗蝕劑殘留物及組成物的移除;及視需要用異丙醇沖洗微電子裝置以達成自裝置移除第一沖洗液。
本發明之特徵及優點由以下論述的說明性實施例作更完整展示。
製備下列調配物及將其用於文中所述之過程中以自試樣移除塊狀及硬化抗蝕劑。
植入抗蝕劑剝除過程包括將試樣於各調配物A-J中在室溫下浸泡10分鐘,接著DMSO沖洗,接著異丙醇沖洗,接著N2乾燥。毯覆式晶圓之蝕刻速率測量包括量測SiO2(於Si上之100
奈米熱氧化物)及PECVD SiN(於Si上之400奈米PECVD SiNx)試樣之起始厚度,將試樣於40立方公分之調配物A-J中在20℃下浸泡20分鐘,接著溢流沖洗1分鐘,接著於該流中沖洗大約10秒,接著N2乾燥,及最後量測SiO2及PECVD SiN之最終厚度。起始及處理後厚度係使用光譜橢圓計測量法測量。結果示於表1。
可看見降低HF濃度(調配物H-J)線性地降低SiN及SiO2蝕刻速率兩者。包含氟化物物質四氟硼酸四丁基銨、三氟甲磺酸四丁基銨、及氟硼酸之調配物實際上導致較HF低的SiN及SiO2蝕刻速率。
關於抗蝕劑移除,參照圖1,可看見除四氟硼酸四丁基銨外,抗蝕劑之移除並未大大地取決於所選擇的氟化物物質。
值得注意地,降低HF之濃度導致具有較低SiO2及SiN蝕刻速率之相當的抗蝕劑移除。
利用調配物H進行額外的研究。在第一個實驗中,將於Ge上具有中劑量高能量植入的圖案化晶圓試樣未經攪拌地在DMSO中於20℃下浸泡10分鐘。將試樣移除及用異丙醇沖洗。參照圖2A-2B,於圖2B中顯示AFM掃描及於圖2A中顯示橫剖面分析,可看見大部分的外殼及所有的「軌條」仍然存在。
在第二個實驗中,將於Ge上具有中劑量高能量植入的圖案化晶圓試樣未經攪拌地在調配物H中於20℃下浸泡10分鐘。將試樣移除及用DMSO沖洗,接著用異丙醇作第二次沖洗。參照圖3A-3B,於圖3B中顯示AFM掃描及於圖3A中顯示橫剖面分析,可看見仍然存在少量的「軌條」。雖然不希望受限於理論,但據認為碎片係再沈積的外殼及軌條顆粒。
在第三個實驗中,將於Ge上具有中劑量高能量植入的圖案化晶圓試樣未經攪拌地在調配物H中於60℃下浸泡10分鐘。將試樣移除及用DMSO沖洗,接著用異丙醇作第二次沖洗。參照圖4A-4B,於圖4B中顯示AFM掃描及於圖4A中顯示橫剖面分析,可看見抗蝕劑及外殼已實質上地移除。
毯覆式晶圓之蝕刻速率係經由將SiO2(於Si上之100奈米熱氧化物)、PECVD SiN(於Si上之400奈米PECVD SiNx)、及Ge(於Si上之120奈米Ge)試樣未經攪拌地在調配物H中於25℃及60℃下浸泡來測定。參照圖5,可看見含矽層之蝕刻速率係取決於溫度,而Ge之蝕刻速率係低於0.5埃/分鐘,不管溫度為何。
即使存在HF,Ge及SiO2於調配物H中之蝕刻速率仍係適度,尤其在室溫下。抗蝕劑移除在室溫下可接近完全,但較
高溫度(例如,60℃)有幫助。
製備如表2中所指示的溶液K-U。使用橢圓計測量法預測量鍺試樣,及在室溫下用老化18小時的溶液K-U處理10分鐘。將試樣用DMSO沖洗60秒,然後用異丙醇沖洗30秒,接著經氮氣乾燥。再次測量試樣以確定Ge損耗及GeO2損耗。
參照圖6及7,其分別係浸泡於調配物N及U中之鍺試樣的AFM顯微照片,可看見調配物N完全地自部位1移除軌條及大致將其自部位2移除。調配物U自兩個部位移除軌條。
經由組合95重量%濃H2SO4(96%)及5重量% SOCl2來製備組成物AA。組成物係於摻混24小時後使用。植入抗蝕劑剝除過程包括將試樣於AA組成物中在室溫下浸泡2分鐘,接著60秒的DMSO沖洗,接著30秒的異丙醇沖洗及氮氣吹乾。
於沖洗後,使用原子力顯微術(AFM)在經圖案化為
250及500奈米寬之平行線條組的兩個部位(至此分別為部位1及部位2)使試樣成像。由「水平」(平行於x-軸)掃描所得之影像、連同經由成x-軸位移之函數平均所有水平掃描所得的平均分佈(以利於測量軌條及其他殘留系統抗蝕劑特徵)示於圖8。未觀察到軌條;最高的顆粒為53奈米高。
實施例5之植入抗蝕劑剝除過程包括將試樣於AA組成物中在室溫下浸泡10分鐘,接著60秒的DMSO沖洗,接著30秒的異丙醇沖洗及氮氣吹乾。
於沖洗後,使用原子力顯微術(AFM)在部位1及部位2使試樣成像。影像連同平均分佈示於圖9。可看到未觀察到軌條;最高的顆粒係低於20奈米高。值得注意地,觀察到新摻混的溶液(於摻混100分鐘及尤其係10分鐘後使用)未移除抗蝕劑以及老化溶液,留下甚多較高的軌條。
經由組合98.9重量%濃H2SO4(96%)、1重量% SOCl2、及0.1重量% DTAC來製備組成物BB。組成物係於摻混68小時後使用。植入抗蝕劑剝除過程包括將試樣於BB組成物中在室溫下浸泡2.5分鐘,接著60秒的DMSO沖洗,接著30秒的異丙醇沖洗及氮氣吹乾。
於沖洗後,使用原子力顯微術(AFM)在部位1及部位2使試樣成像。影像連同平均分佈示於圖10。可看到較低的SOCl2含量似乎留下較高的「軌條」,但存在DTAC有助於減少顆粒的存在。
經由組合95重量% H2SO4(100%,輕度發煙)及5重量% SOCl2來製備組成物CC。組成物係於摻混4天後使用。植入抗蝕劑剝除過程包括將試樣於CC組成物中在室溫下浸泡2.5分鐘,接著60秒的DMSO沖洗,接著30秒的異丙醇沖洗及氮氣吹乾。
於沖洗後,使用原子力顯微術(AFM)在部位1及部位2使試樣成像。影像連同平均分佈示於圖11。
經由組合94.9重量%濃H2SO4(100%,輕度發煙)、5重量% SOCl2、及0.1重量% DTAC來製備組成物DD。組成物係於摻混4天後使用。植入抗蝕劑剝除過程包括將試樣於DD組成物中在室溫下浸泡2.5分鐘,接著60秒的DMSO沖洗,接著30秒的異丙醇沖洗及氮氣吹乾。
於沖洗後,使用原子力顯微術(AFM)在部位1及部位2使試樣成像。影像連同平均分佈示於圖12。將來自實施例5之結果與來自實施例4之結果比較,可看到部位1可自界面活性劑之存在獲益。
經由組合98.9重量%濃H2SO4(96%)、1重量% SOCl2、及0.1重量% DTAC來製備組成物EE。組成物係於摻混21天後使用。植入抗蝕劑剝除過程包括將試樣於EE組成物中在室溫下浸泡1分鐘,接著30秒的水沖洗,吹乾,及第二次在室溫下用EE組成物浸泡1分鐘,接著30秒的水沖洗,30秒的異丙醇沖洗,及氮氣吹乾。
於沖洗後,使用原子力顯微術(AFM)在部位1及部位2使試樣成像。影像連同平均分佈示於圖13。
雖然本發明已參照說明性具體例及特徵以不同方式揭示於文中,但當明瞭前文描述之具體例及特徵並不意欲限制本發明,且熟悉技藝人士基於文中之揭示內容當可明白其他變化、修改及其他具體例。因此,本發明應廣泛地解釋為涵蓋於後文陳述之申請專利範圍之精神及範疇內之所有該等變化、修改及替代具體例。
Claims (33)
- 一種自具有抗蝕劑之微電子裝置表面上移除該抗蝕劑之方法,該方法包括使一組成物與微電子裝置表面在自微電子裝置表面實質地移除抗蝕劑所需之條件下接觸。
- 如申請專利範圍第1項之方法,其中,該抗蝕劑包括塊狀抗蝕劑、離子植入抗蝕劑、或塊狀及離子植入抗蝕劑兩者。
- 如申請專利範圍第1或2項之方法,其中,該等條件包括在約20℃至約200℃,較佳約20℃至約80℃範圍內之溫度下,在約10秒至約60分鐘,較佳1分鐘至20分鐘範圍內之時間。
- 如申請專利範圍第1或2項之方法,其進一步包括用至少一種選自由下列所組成之群之溶劑沖洗該表面:水、二甲亞碸(DMSO)、異丙醇、N-甲基吡咯啶酮(NMP)、二甲基乙醯胺、四氫噻吩碸及其他碸類、甲醇、界面活性劑及γ-丁內酯。
- 如申請專利範圍第4項之方法,其中,該表面係用DMSO、異丙醇、或依序用DMSO及異丙醇沖洗。
- 如申請專利範圍第4項之方法,其中,該沖洗溶劑包括界面活性劑。
- 如申請專利範圍第4項之方法,其中,該表面係使用氮氣乾燥。
- 如申請專利範圍第1或2項之方法,其中,該組成物包含至少一種非氧化酸及至少一種氟化物及/或溴化物化合物,其限制條件為該組成物實質上不含氧化劑。
- 如申請專利範圍第8項之方法,其中,該至少一種非氧化酸包括選自由下列所組成之群之物質:甲磺酸、草酸、檸檬酸、酒石酸、吡啶甲酸、琥珀酸、乙酸、乳酸、磺基琥珀酸、苯甲酸、丙酸、甲 酸、丙酮酸、草酸、順丁烯二酸、丙二酸、反丁烯二酸、蘋果酸、抗壞血酸、苯乙醇酸、庚酸、丁酸、戊酸、戊二酸、酞酸、次磷酸、水楊酸、5-磺基水楊酸、乙磺酸、丁磺酸、對甲苯磺酸、二氯乙酸、二氟乙酸、單氯乙酸、單氟乙酸、氫氯酸、三氯乙酸、三氟乙酸、氫溴酸(62重量%)、硫酸、及其組合,較佳為甲磺酸(99%)、氫氯酸(濃)、三氟乙酸、氫溴酸(62%)、硫酸(95-98%)、或其組合。
- 如申請專利範圍第8項之方法,其包括至少一種氟化物化合物,其中該至少一種氟化物化合物包括選自由下列所組成之群之物質:氫氟酸、四氟硼酸、六氟鈦酸、六氟矽酸、六氟鋯酸、四氟硼酸、三氟甲磺酸四丁銨、四氟硼酸四烷基銨(NR1R2R3R4BF4)、六氟磷酸四烷基銨(NR1R2R3R4PF6)、氟化四烷基銨(NR1R2R3R4F)、氟化氫銨、氟化銨、及其組合,其中R1、R2、R3、R4可彼此相同或不同且係選自由氫、直鏈或分支鏈C1-C6烷基、C1-C6烷氧基、及經取代或未經取代之芳基、及其組合所組成之群,較佳為氫氟酸。
- 如申請專利範圍第8項之方法,其包括至少一種溴化物化合物,其中該至少一種溴化物化合物包括氫溴酸。
- 如申請專利範圍第8項之方法,其中,該組成物進一步包括至少一種耐酸性界面活性劑。
- 如申請專利範圍第8項之方法,其中,該組成物進一步包括至少一種降低該組成物熔點之化合物,其中該至少一種化合物包括至少一種二烷基碸、硫酸、或四氫噻吩碸。
- 如申請專利範圍第13項之方法,其中,該至少一種二烷基碸包括選自由下列所組成之群之物質:二甲基碸、乙基甲基碸、二丙基碸、乙基丙基碸、二乙基碸、二丁基碸、及其組合。
- 如申請專利範圍第8項之方法,其中,該組成物實質上不含水、有機溶劑、化學機械拋光研磨材料、強鹼、及胺類。
- 如申請專利範圍第1或2項之方法,其中,該組成物包括含硫酸、HCl、及視情況之至少一種氟化物及/或溴化物化合物,其中該HCl係使用作為溶解氣體添加之HCl(氯化氫)或HCl產生化合物所產生。
- 如申請專利範圍第16項之方法,其中,該含硫酸包括選自由下列所組成之群之物質:濃硫酸、100%硫酸、「發煙」硫酸(含過量SO3)、氯磺酸(ClSO3H)、氯磺酸及硫酸之混合物、三氟甲磺酸(CF3SO3H)及氟磺酸(FSO3H)。
- 如申請專利範圍第16項之方法,其中,該HCl產生化合物包括選自由下列所組成之群之物質:亞硫醯氯(SOCl2)、三氯化磷醯(POCl3)、硫醯氯(SO2Cl2)、三氯化硼(BCl3)、四氯化鍺(GeCl4)、氯磺酸(ClSO3H)、及其組合。
- 如申請專利範圍第16項之方法,其包括至少一種氟化物化合物,其中該至少一種氟化物物質包括HF。
- 如申請專利範圍第16項之方法,其包括至少一種溴化物化合物,其中該至少一種溴化物物質包括HBr。
- 如申請專利範圍第16項之方法,其中,該組成物進一步包括至少一種界面活性劑、至少一種有機溶劑、或至少一種界面活性劑及至少一種有機溶劑之組合。
- 如申請專利範圍第16項之方法,其中,該組成物實質上不含氧化劑。
- 一種自包含抗蝕劑之微電子裝置剝除該抗蝕劑之組成物,該 抗蝕劑特別為離子植入抗蝕劑,該組成物包含至少一種非氧化酸、氟化物物質及/或溴化物化合物中之至少一者、及至少一種降低該組成物熔點之化合物,其限制條件為該組成物實質上不含氧化劑。
- 如申請專利範圍第23項之組成物,其中,該組成物實質上不含添加水、化學機械拋光研磨材料、強鹼、及胺類。
- 如申請專利範圍第23或24項之組成物,其中,該至少一種非氧化酸包括選自由下列所組成之群之物質:甲磺酸、草酸、檸檬酸、酒石酸、吡啶甲酸、琥珀酸、乙酸、乳酸、磺基琥珀酸、苯甲酸、丙酸、甲酸、丙酮酸、草酸、順丁烯二酸、丙二酸、反丁烯二酸、蘋果酸、抗壞血酸、苯乙醇酸、庚酸、丁酸、戊酸、戊二酸、酞酸、次磷酸、水楊酸、5-磺基水楊酸、乙磺酸、丁磺酸、對甲苯磺酸、二氯乙酸、二氟乙酸、單氯乙酸、單氟乙酸、氫氯酸、三氯乙酸、三氟乙酸、氫溴酸(62重量%)、硫酸、及其組合,較佳為甲磺酸(99%)、氫氯酸(濃)、三氟乙酸、氫溴酸(62%)、硫酸(95-98%)、或其組合。
- 如申請專利範圍第23或24項之組成物,其包括至少一種氟化物化合物,其中該至少一種氟化物物質包括選自由下列所組成之群之物質:氫氟酸、四氟硼酸、六氟鈦酸、六氟矽酸、六氟鋯酸、四氟硼酸、三氟甲磺酸四丁銨、四氟硼酸四烷基銨(NR1R2R3R4BF4)、六氟磷酸四烷基銨(NR1R2R3R4PF6)、氟化四烷基銨(NR1R2R3R4F)、氟化氫銨、氟化銨、及其組合,其中R1、R2、R3、R4可彼此相同或不同且係選自由氫、直鏈或分支鏈C1-C6烷基、C1-C6烷氧基、及經取代或未經取代之芳基、及其組合所組成之群,較佳為氫氟酸。
- 如申請專利範圍第23或24項之組成物,其包括至少一種溴化物物質,其中該至少一種溴化物物質包括氫溴酸。
- 如申請專利範圍第23或24項之組成物,其中,該至少一種降低該組成物熔點之化合物包括至少一種二烷基碸、硫酸、或四氫噻吩碸。
- 如申請專利範圍第28項之組成物,其中,該至少一種二烷基碸包括選自由下列所組成之群之物質:二甲基碸、乙基甲基碸、二丙基碸、乙基丙基碸、二乙基碸、二丁基碸、及其組合。
- 一種包括含硫酸、HCl、及視情況之至少一種氟化物及/或至少一種溴化物化合物之組成物。
- 如申請專利範圍第30項之組成物,其中,該含硫酸包括選自由下列所組成之群之物質:濃硫酸、100%硫酸、「發煙」硫酸(含過量SO3)、氯磺酸(ClSO3H)、氯磺酸及硫酸之混合物、三氟甲磺酸(CF3SO3H)及氟磺酸(FSO3H)。
- 如申請專利範圍第30或31項之組成物,其中,該組成物經以HCl飽和。
- 如申請專利範圍第30或31項之組成物,其中,該組成物實質上不含氧化劑。
Applications Claiming Priority (6)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201361919177P | 2013-12-20 | 2013-12-20 | |
US61/919,177 | 2013-12-20 | ||
US201462045946P | 2014-09-04 | 2014-09-04 | |
US62/045,946 | 2014-09-04 | ||
US201462046495P | 2014-09-05 | 2014-09-05 | |
US62/046,495 | 2014-09-05 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201546577A true TW201546577A (zh) | 2015-12-16 |
TWI662379B TWI662379B (zh) | 2019-06-11 |
Family
ID=53403749
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW103144470A TWI662379B (zh) | 2013-12-20 | 2014-12-19 | 移除離子植入抗蝕劑之非氧化強酸類之用途 |
Country Status (8)
Country | Link |
---|---|
US (2) | US20160322232A1 (zh) |
EP (1) | EP3084809A4 (zh) |
JP (1) | JP6776125B2 (zh) |
KR (1) | KR102352475B1 (zh) |
CN (1) | CN105960699B (zh) |
SG (2) | SG10201805234YA (zh) |
TW (1) | TWI662379B (zh) |
WO (1) | WO2015095726A1 (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI803551B (zh) * | 2017-12-27 | 2023-06-01 | 日商東京應化工業股份有限公司 | 去除基板上之有機系硬化膜之方法,及酸性洗淨液 |
Families Citing this family (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2015103146A1 (en) | 2013-12-31 | 2015-07-09 | Advanced Technology Materials, Inc. | Formulations to selectively etch silicon and germanium |
TWI659098B (zh) | 2014-01-29 | 2019-05-11 | 美商恩特葛瑞斯股份有限公司 | 化學機械研磨後配方及其使用方法 |
US11127587B2 (en) | 2014-02-05 | 2021-09-21 | Entegris, Inc. | Non-amine post-CMP compositions and method of use |
JP7150433B2 (ja) * | 2017-12-28 | 2022-10-11 | 東京応化工業株式会社 | リワーク方法、及び酸性洗浄液 |
KR102069345B1 (ko) * | 2018-03-06 | 2020-01-22 | 에스케이씨 주식회사 | 반도체 공정용 조성물 및 반도체 공정 |
JP7196177B2 (ja) * | 2018-07-20 | 2022-12-26 | 富士フイルム株式会社 | 処理液および処理方法 |
KR102609919B1 (ko) * | 2019-07-11 | 2023-12-04 | 메르크 파텐트 게엠베하 | 포토레지스트 리무버 조성물 |
Family Cites Families (162)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US2651596A (en) * | 1952-04-04 | 1953-09-08 | Standard Oil Co | Refining of distillates with sulfuric acid and so2cl2 |
US4064284A (en) * | 1975-07-22 | 1977-12-20 | Cpc International Inc. | Process for the debranning of wheat |
US4187191A (en) * | 1978-07-26 | 1980-02-05 | General Motors Corporation | Photoresist stripper with dodecylsulfonic acid and chlorinated solvents |
US5008515A (en) * | 1990-05-10 | 1991-04-16 | Mccormack William C | Body temperature responsive transport warming blanket |
US5320709A (en) | 1993-02-24 | 1994-06-14 | Advanced Chemical Systems International Incorporated | Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution |
US5429764A (en) * | 1993-08-24 | 1995-07-04 | Eftichios Van Vlahakis | Liquid drain opener compositions based on sulfuric acid |
JP3236220B2 (ja) * | 1995-11-13 | 2001-12-10 | 東京応化工業株式会社 | レジスト用剥離液組成物 |
US5702075A (en) | 1996-01-31 | 1997-12-30 | David Lehrman | Automatically collapsible support for an electrical cord for use with an ironing board |
US6323168B1 (en) | 1996-07-03 | 2001-11-27 | Advanced Technology Materials, Inc. | Post plasma ashing wafer cleaning formulation |
US7534752B2 (en) | 1996-07-03 | 2009-05-19 | Advanced Technology Materials, Inc. | Post plasma ashing wafer cleaning formulation |
US6224785B1 (en) | 1997-08-29 | 2001-05-01 | Advanced Technology Materials, Inc. | Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates |
US6755989B2 (en) | 1997-01-09 | 2004-06-29 | Advanced Technology Materials, Inc. | Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate |
US6896826B2 (en) | 1997-01-09 | 2005-05-24 | Advanced Technology Materials, Inc. | Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate |
US5993685A (en) | 1997-04-02 | 1999-11-30 | Advanced Technology Materials | Planarization composition for removing metal films |
WO1998048453A1 (en) | 1997-04-23 | 1998-10-29 | Advanced Chemical Systems International, Inc. | Planarization compositions for cmp of interlayer dielectrics |
JP3076270B2 (ja) | 1997-06-24 | 2000-08-14 | キヤノン販売株式会社 | レジスト膜の除去方法及び半導体装置の製造方法 |
US6346741B1 (en) | 1997-11-20 | 2002-02-12 | Advanced Technology Materials, Inc. | Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same |
US5976928A (en) | 1997-11-20 | 1999-11-02 | Advanced Technology Materials, Inc. | Chemical mechanical polishing of FeRAM capacitors |
US6280651B1 (en) | 1998-12-16 | 2001-08-28 | Advanced Technology Materials, Inc. | Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent |
US6211126B1 (en) | 1997-12-23 | 2001-04-03 | Advanced Technology Materials, Inc. | Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates |
KR20010025043A (ko) | 1998-05-18 | 2001-03-26 | 바누치 유진 지. | 반도체 기판용 스트립팅 조성물 |
US6875733B1 (en) | 1998-10-14 | 2005-04-05 | Advanced Technology Materials, Inc. | Ammonium borate containing compositions for stripping residues from semiconductor substrates |
US6395194B1 (en) | 1998-12-18 | 2002-05-28 | Intersurface Dynamics Inc. | Chemical mechanical polishing compositions, and process for the CMP removal of iridium thin using same |
US6344432B1 (en) | 1999-08-20 | 2002-02-05 | Advanced Technology Materials, Inc. | Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures |
US6723691B2 (en) | 1999-11-16 | 2004-04-20 | Advanced Technology Materials, Inc. | Post chemical-mechanical planarization (CMP) cleaning composition |
US6492308B1 (en) | 1999-11-16 | 2002-12-10 | Esc, Inc. | Post chemical-mechanical planarization (CMP) cleaning composition |
US6194366B1 (en) | 1999-11-16 | 2001-02-27 | Esc, Inc. | Post chemical-mechanical planarization (CMP) cleaning composition |
US6409781B1 (en) | 2000-05-01 | 2002-06-25 | Advanced Technology Materials, Inc. | Polishing slurries for copper and associated materials |
US6777380B2 (en) * | 2000-07-10 | 2004-08-17 | Ekc Technology, Inc. | Compositions for cleaning organic and plasma etched residues for semiconductor devices |
US7456140B2 (en) * | 2000-07-10 | 2008-11-25 | Ekc Technology, Inc. | Compositions for cleaning organic and plasma etched residues for semiconductor devices |
US6566315B2 (en) | 2000-12-08 | 2003-05-20 | Advanced Technology Materials, Inc. | Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures |
US6627587B2 (en) | 2001-04-19 | 2003-09-30 | Esc Inc. | Cleaning compositions |
US7029373B2 (en) | 2001-08-14 | 2006-04-18 | Advanced Technology Materials, Inc. | Chemical mechanical polishing compositions for metal and associated materials and method of using same |
US6800218B2 (en) | 2001-08-23 | 2004-10-05 | Advanced Technology Materials, Inc. | Abrasive free formulations for chemical mechanical polishing of copper and associated materials and method of using same |
US6802983B2 (en) | 2001-09-17 | 2004-10-12 | Advanced Technology Materials, Inc. | Preparation of high performance silica slurry using a centrifuge |
US7326673B2 (en) | 2001-12-31 | 2008-02-05 | Advanced Technology Materials, Inc. | Treatment of semiconductor substrates using long-chain organothiols or long-chain acetates |
US7119418B2 (en) | 2001-12-31 | 2006-10-10 | Advanced Technology Materials, Inc. | Supercritical fluid-assisted deposition of materials on semiconductor substrates |
US7557073B2 (en) | 2001-12-31 | 2009-07-07 | Advanced Technology Materials, Inc. | Non-fluoride containing supercritical fluid composition for removal of ion-implant photoresist |
US7030168B2 (en) | 2001-12-31 | 2006-04-18 | Advanced Technology Materials, Inc. | Supercritical fluid-assisted deposition of materials on semiconductor substrates |
US6773873B2 (en) * | 2002-03-25 | 2004-08-10 | Advanced Technology Materials, Inc. | pH buffered compositions useful for cleaning residue from semiconductor substrates |
US6849200B2 (en) | 2002-07-23 | 2005-02-01 | Advanced Technology Materials, Inc. | Composition and process for wet stripping removal of sacrificial anti-reflective material |
CN1678961B (zh) * | 2002-08-22 | 2010-05-05 | 大金工业株式会社 | 剥离液 |
US6989358B2 (en) | 2002-10-31 | 2006-01-24 | Advanced Technology Materials, Inc. | Supercritical carbon dioxide/chemical formulation for removal of photoresists |
US7485611B2 (en) | 2002-10-31 | 2009-02-03 | Advanced Technology Materials, Inc. | Supercritical fluid-based cleaning compositions and methods |
US7223352B2 (en) | 2002-10-31 | 2007-05-29 | Advanced Technology Materials, Inc. | Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal |
US20060019850A1 (en) | 2002-10-31 | 2006-01-26 | Korzenski Michael B | Removal of particle contamination on a patterned silicon/silicon dioxide using dense fluid/chemical formulations |
US7011716B2 (en) | 2003-04-29 | 2006-03-14 | Advanced Technology Materials, Inc. | Compositions and methods for drying patterned wafers during manufacture of integrated circuitry products |
US6943139B2 (en) | 2002-10-31 | 2005-09-13 | Advanced Technology Materials, Inc. | Removal of particle contamination on patterned silicon/silicon dioxide using supercritical carbon dioxide/chemical formulations |
US7300601B2 (en) | 2002-12-10 | 2007-11-27 | Advanced Technology Materials, Inc. | Passivative chemical mechanical polishing composition for copper film planarization |
US8236485B2 (en) | 2002-12-20 | 2012-08-07 | Advanced Technology Materials, Inc. | Photoresist removal |
US6735978B1 (en) | 2003-02-11 | 2004-05-18 | Advanced Technology Materials, Inc. | Treatment of supercritical fluid utilized in semiconductor manufacturing applications |
US7736405B2 (en) | 2003-05-12 | 2010-06-15 | Advanced Technology Materials, Inc. | Chemical mechanical polishing compositions for copper and associated materials and method of using same |
WO2004101222A2 (en) | 2003-05-12 | 2004-11-25 | Advanced Technology Materials, Inc. | Chemical mechanical polishing compositions for step-ii copper liner and other associated materials and method of using same |
US7119052B2 (en) | 2003-06-24 | 2006-10-10 | Advanced Technology Materials, Inc. | Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers |
US7335239B2 (en) | 2003-11-17 | 2008-02-26 | Advanced Technology Materials, Inc. | Chemical mechanical planarization pad |
US20050118832A1 (en) | 2003-12-01 | 2005-06-02 | Korzenski Michael B. | Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations |
EP1690135A4 (en) | 2003-12-02 | 2007-05-09 | Advanced Tech Materials | METHOD AND CHEMICAL FOR REMOVING PHOTOSENSITIVE RESIN, ANTI-REFLECTIVE COATING OR FILLING MATERIAL |
US20050145311A1 (en) | 2003-12-30 | 2005-07-07 | Walker Elizabeth L. | Method for monitoring surface treatment of copper containing devices |
US7553803B2 (en) | 2004-03-01 | 2009-06-30 | Advanced Technology Materials, Inc. | Enhancement of silicon-containing particulate material removal using supercritical fluid-based compositions |
US8338087B2 (en) | 2004-03-03 | 2012-12-25 | Advanced Technology Materials, Inc | Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate |
US20050227482A1 (en) | 2004-03-24 | 2005-10-13 | Korzenski Michael B | Composition useful for removal of bottom anti-reflection coatings from patterned ion-implanted photoresist wafers |
US20060063687A1 (en) | 2004-09-17 | 2006-03-23 | Minsek David W | Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate |
US20060148666A1 (en) | 2004-12-30 | 2006-07-06 | Advanced Technology Materials Inc. | Aqueous cleaner with low metal etch rate |
US20060154186A1 (en) | 2005-01-07 | 2006-07-13 | Advanced Technology Materials, Inc. | Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings |
US7923423B2 (en) | 2005-01-27 | 2011-04-12 | Advanced Technology Materials, Inc. | Compositions for processing of semiconductor substrates |
US7365045B2 (en) | 2005-03-30 | 2008-04-29 | Advanced Tehnology Materials, Inc. | Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide |
SG161211A1 (en) * | 2005-04-04 | 2010-05-27 | Mallinckrodt Baker Inc | Compositions for cleaning ion implanted photoresist in front end of line applications |
WO2006110645A2 (en) | 2005-04-11 | 2006-10-19 | Advanced Technology Materials, Inc. | Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices |
US20070251551A1 (en) | 2005-04-15 | 2007-11-01 | Korzenski Michael B | Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems |
TW200726858A (en) | 2005-04-15 | 2007-07-16 | Advanced Tech Materials | Apparatus and method for supercritical fluid removal or deposition processes |
CN101198416A (zh) | 2005-04-15 | 2008-06-11 | 高级技术材料公司 | 从微电子器件上清除离子注入光致抗蚀剂层的配方 |
JP2008541447A (ja) * | 2005-05-13 | 2008-11-20 | サッチェム,インコーポレイテッド | 酸化物の選択的な湿式エッチング |
KR20080025697A (ko) | 2005-05-26 | 2008-03-21 | 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 | 구리를 부동태화하는 cmp후 세정 조성물 및 이용 방법 |
JP2008546214A (ja) | 2005-06-06 | 2008-12-18 | アドバンスド テクノロジー マテリアルズ,インコーポレイテッド | 集積された化学機械研磨組成物および単一プラテン処理のためのプロセス |
JP2008546036A (ja) | 2005-06-07 | 2008-12-18 | アドバンスド テクノロジー マテリアルズ,インコーポレイテッド | 金属および誘電体相溶性の犠牲反射防止コーティング浄化および除去組成物 |
EP1894230A2 (en) | 2005-06-13 | 2008-03-05 | Advanced Technology Materials, Inc. | Compositions and methods for selective removal of metal or metal alloy after metal silicide formation |
US20090192065A1 (en) | 2005-06-16 | 2009-07-30 | Advanced Technology Materials, Inc. | Dense fluid compositions for removal of hardened photoresist, post-etch residue and/or bottom anti-reflective coating |
CN101356628B (zh) | 2005-08-05 | 2012-01-04 | 高级技术材料公司 | 用于对金属膜进行平坦化的高通量化学机械抛光组合物 |
WO2007027522A2 (en) | 2005-08-29 | 2007-03-08 | Advanced Technology Materials, Inc. | Composition and method for removing thick film photoresist |
KR101444468B1 (ko) | 2005-10-05 | 2014-10-30 | 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 | 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제 |
EP1949424A2 (en) | 2005-10-05 | 2008-07-30 | Advanced Technology Materials, Inc. | Composition and method for selectively etching gate spacer oxide material |
CN101421386B (zh) | 2005-10-13 | 2011-08-10 | 高级技术材料公司 | 金属相容的光致抗蚀剂和/或牺牲性抗反射涂层去除组合物 |
WO2007120259A2 (en) | 2005-11-08 | 2007-10-25 | Advanced Technology Materials, Inc. | Formulations for removing copper-containing post-etch residue from microelectronic devices |
CN101356629B (zh) * | 2005-11-09 | 2012-06-06 | 高级技术材料公司 | 用于将其上具有低k介电材料的半导体晶片再循环的组合物和方法 |
TW200734448A (en) | 2006-02-03 | 2007-09-16 | Advanced Tech Materials | Low pH post-CMP residue removal composition and method of use |
JP4839968B2 (ja) * | 2006-06-08 | 2011-12-21 | 東ソー株式会社 | レジスト除去用組成物及びレジストの除去方法 |
US8685909B2 (en) | 2006-09-21 | 2014-04-01 | Advanced Technology Materials, Inc. | Antioxidants for post-CMP cleaning formulations |
US20080076688A1 (en) | 2006-09-21 | 2008-03-27 | Barnes Jeffrey A | Copper passivating post-chemical mechanical polishing cleaning composition and method of use |
WO2008036823A2 (en) | 2006-09-21 | 2008-03-27 | Advanced Technology Materials, Inc. | Uric acid additive for cleaning formulations |
US20100056410A1 (en) | 2006-09-25 | 2010-03-04 | Advanced Technology Materials, Inc. | Compositions and methods for the removal of photoresist for a wafer rework application |
JP5017985B2 (ja) * | 2006-09-25 | 2012-09-05 | 東ソー株式会社 | レジスト除去用組成物及びレジストの除去方法 |
US20080125342A1 (en) | 2006-11-07 | 2008-05-29 | Advanced Technology Materials, Inc. | Formulations for cleaning memory device structures |
SG10201610631UA (en) | 2006-12-21 | 2017-02-27 | Entegris Inc | Liquid cleaner for the removal of post-etch residues |
TWI509690B (zh) | 2006-12-21 | 2015-11-21 | Entegris Inc | 選擇性移除氮化矽之組合物及方法 |
CN101636465A (zh) | 2007-01-31 | 2010-01-27 | 高级技术材料公司 | 用于化学机械抛光浆料应用的聚合物-二氧化硅分散剂的稳定化 |
TWI516573B (zh) | 2007-02-06 | 2016-01-11 | 安堤格里斯公司 | 選擇性移除TiSiN之組成物及方法 |
US20100112728A1 (en) * | 2007-03-31 | 2010-05-06 | Advanced Technology Materials, Inc. | Methods for stripping material for wafer reclamation |
TW200908148A (en) * | 2007-03-31 | 2009-02-16 | Advanced Tech Materials | Methods for stripping material for wafer reclamation |
WO2008157345A2 (en) | 2007-06-13 | 2008-12-24 | Advanced Technology Materials, Inc. | Wafer reclamation compositions and methods |
TW200916571A (en) | 2007-08-02 | 2009-04-16 | Advanced Tech Materials | Non-fluoride containing composition for the removal of residue from a microelectronic device |
TW200927918A (en) | 2007-08-20 | 2009-07-01 | Advanced Tech Materials | Composition and method for removing ion-implanted photoresist |
WO2009025317A1 (ja) * | 2007-08-22 | 2009-02-26 | Daikin Industries, Ltd. | 半導体ドライプロセス後の残渣除去液及びそれを用いた残渣除去方法 |
EP2227319A2 (en) | 2007-11-14 | 2010-09-15 | Advanced Technology Materials, Inc. | Solvent-free synthesis of soluble nanocrystals |
TW200934865A (en) | 2007-11-30 | 2009-08-16 | Advanced Tech Materials | Formulations for cleaning memory device structures |
CN102007196B (zh) | 2008-03-07 | 2014-10-29 | 高级技术材料公司 | 非选择性氧化物蚀刻湿清洁组合物及使用方法 |
US20090253072A1 (en) | 2008-04-01 | 2009-10-08 | Petruska Melissa A | Nanoparticle reversible contrast enhancement material and method |
JP2011520142A (ja) | 2008-05-01 | 2011-07-14 | アドバンスド テクノロジー マテリアルズ,インコーポレイテッド | 高密度注入レジストの除去のための低pH混合物 |
CN102216854A (zh) | 2008-08-04 | 2011-10-12 | 高级技术材料公司 | 环境友好型聚合物剥离组合物 |
KR20110063845A (ko) | 2008-10-02 | 2011-06-14 | 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 | 실리콘 기판의 금속 로딩 및 표면 패시베이션을 향상시키기 위한 계면활성제/소포제 혼합물의 용도 |
KR101752684B1 (ko) | 2008-10-21 | 2017-07-04 | 엔테그리스, 아이엔씨. | 구리 세척 및 보호 조성물 |
SG173172A1 (en) | 2009-01-28 | 2011-08-29 | Advanced Tech Materials | Lithographic tool in situ clean formulations |
WO2010086745A1 (en) | 2009-02-02 | 2010-08-05 | Atmi Taiwan Co., Ltd. | Method of etching lanthanum-containing oxide layers |
WO2010091045A2 (en) | 2009-02-05 | 2010-08-12 | Advanced Technology Materials, Inc. | Non-fluoride containing composition for the removal of polymers and other organic material from a surface |
US8754021B2 (en) | 2009-02-27 | 2014-06-17 | Advanced Technology Materials, Inc. | Non-amine post-CMP composition and method of use |
US8367555B2 (en) | 2009-12-11 | 2013-02-05 | International Business Machines Corporation | Removal of masking material |
JP5858597B2 (ja) | 2010-01-29 | 2016-02-10 | アドバンスド テクノロジー マテリアルズ,インコーポレイテッド | タングステン配線半導体用洗浄剤 |
US9045717B2 (en) | 2010-01-29 | 2015-06-02 | Advanced Technology Materials, Inc. | Cleaning agent for semiconductor provided with metal wiring |
JP5792284B2 (ja) | 2010-04-15 | 2015-10-07 | アドバンスド テクノロジー マテリアルズ,インコーポレイテッド | 老朽化したプリント回路基板のリサイクル方法 |
JP2012021151A (ja) | 2010-06-16 | 2012-02-02 | Sanyo Chem Ind Ltd | 銅配線半導体用洗浄剤 |
SG187551A1 (en) | 2010-07-16 | 2013-03-28 | Advanced Tech Materials | Aqueous cleaner for the removal of post-etch residues |
JP2012036750A (ja) | 2010-08-04 | 2012-02-23 | Panasonic Corp | 圧縮機 |
JP6101421B2 (ja) | 2010-08-16 | 2017-03-22 | インテグリス・インコーポレーテッド | 銅または銅合金用エッチング液 |
CN105274338A (zh) | 2010-08-20 | 2016-01-27 | 安格斯公司 | 从电子垃圾回收贵金属和贱金属的可持续方法 |
WO2012027667A2 (en) | 2010-08-27 | 2012-03-01 | Advanced Technology Materials, Inc. | Method for preventing the collapse of high aspect ratio structures during drying |
SG189292A1 (en) | 2010-10-06 | 2013-05-31 | Advanced Tech Materials | Composition and process for selectively etching metal nitrides |
KR101891363B1 (ko) | 2010-10-13 | 2018-08-24 | 엔테그리스, 아이엔씨. | 티타늄 니트라이드 부식을 억제하기 위한 조성물 및 방법 |
KR102064487B1 (ko) | 2011-01-13 | 2020-01-10 | 엔테그리스, 아이엔씨. | 세륨-함유 용액에 의해 발생된 입자의 제거를 위한 배합물 |
JP2012186470A (ja) | 2011-02-18 | 2012-09-27 | Sanyo Chem Ind Ltd | 銅配線半導体用洗浄剤 |
WO2012154498A2 (en) | 2011-05-06 | 2012-11-15 | Advanced Technology Materials, Inc. | Removal of metal impurities from silicon surfaces for solar cell and semiconductor applications |
JP2012251026A (ja) | 2011-05-31 | 2012-12-20 | Sanyo Chem Ind Ltd | 半導体用洗浄剤 |
WO2012174518A2 (en) | 2011-06-16 | 2012-12-20 | Advanced Technology Materials, Inc. | Compositions and methods for selectively etching silicon nitride |
BR112013032436A2 (pt) | 2011-06-21 | 2019-09-24 | Advanced Tech Materials Inc | método para a recuperação de óxido de cobalto litiado de baterias de íon de lítio |
JP5933950B2 (ja) | 2011-09-30 | 2016-06-15 | アドバンスド テクノロジー マテリアルズ,インコーポレイテッド | 銅または銅合金用エッチング液 |
CN105869997A (zh) | 2011-10-21 | 2016-08-17 | 安格斯公司 | 无胺cmp后组合物及其使用方法 |
US8618036B2 (en) | 2011-11-14 | 2013-12-31 | International Business Machines Corporation | Aqueous cerium-containing solution having an extended bath lifetime for removing mask material |
SG11201403228RA (en) | 2011-12-15 | 2014-07-30 | Advanced Tech Materials | Apparatus and method for stripping solder metals during the recycling of waste electrical and electronic equipment |
JP6329909B2 (ja) | 2011-12-28 | 2018-05-23 | インテグリス・インコーポレーテッド | 窒化チタンを選択的にエッチングするための組成物および方法 |
WO2013123317A1 (en) | 2012-02-15 | 2013-08-22 | Advanced Technology Materials, Inc. | Post-cmp removal using compositions and method of use |
KR102352465B1 (ko) | 2012-03-12 | 2022-01-18 | 엔테그리스 아시아 엘엘씨 | 애싱된 스핀-온 유리의 선택적 제거 방법 |
WO2013138278A1 (en) | 2012-03-12 | 2013-09-19 | Advanced Technology Materials, Inc. | Copper cleaning and protection formulations |
EP2828371A4 (en) | 2012-03-18 | 2015-10-14 | Entegris Inc | POST-CMP FORMULATION HAVING COMPATIBILITY WITH ENHANCED BARRIER LAYERS AND CLEANING PERFORMANCE |
US20150050199A1 (en) | 2012-04-06 | 2015-02-19 | Entegris, Inc. | Removal of lead from solid materials |
US20130295712A1 (en) | 2012-05-03 | 2013-11-07 | Advanced Technology Materials, Inc. | Methods of texturing surfaces for controlled reflection |
KR102100254B1 (ko) | 2012-05-11 | 2020-04-13 | 엔테그리스, 아이엔씨. | 규소화물 제작 중의 NiPt 습식 에칭을 위한 배합물 |
SG11201407657YA (en) | 2012-05-18 | 2014-12-30 | Advanced Tech Materials | Aqueous clean solution with low copper etch rate for organic residue removal improvement |
EP2850495A4 (en) | 2012-05-18 | 2016-01-20 | Entegris Inc | COMPOSITION AND METHOD FOR REMOVING PHOTOLACK FROM A SURFACE WITH TITANNITRIDE |
US8709277B2 (en) * | 2012-09-10 | 2014-04-29 | Fujifilm Corporation | Etching composition |
KR102118964B1 (ko) | 2012-12-05 | 2020-06-08 | 엔테그리스, 아이엔씨. | Iii-v 반도체 물질을 세척하기 위한 조성물 및 이를 사용하는 방법 |
CN103414963A (zh) | 2013-08-01 | 2013-11-27 | 浙江生辉照明有限公司 | 一种音箱led灯 |
CN105102584B (zh) | 2013-03-04 | 2018-09-21 | 恩特格里斯公司 | 用于选择性蚀刻氮化钛的组合物和方法 |
US9520617B2 (en) | 2013-03-14 | 2016-12-13 | Advanced Technology Materials, Inc. | Sulfolane mixtures as ambient aprotic polar solvents |
CN105143517A (zh) | 2013-04-22 | 2015-12-09 | 高级技术材料公司 | 铜清洁和保护配制物 |
US20160122696A1 (en) | 2013-05-17 | 2016-05-05 | Advanced Technology Materials, Inc. | Compositions and methods for removing ceria particles from a surface |
SG11201509933QA (en) | 2013-06-06 | 2016-01-28 | Advanced Tech Materials | Compositions and methods for selectively etching titanium nitride |
US10138117B2 (en) | 2013-07-31 | 2018-11-27 | Entegris, Inc. | Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility |
CN105473536B (zh) | 2013-08-30 | 2018-01-09 | 国际壳牌研究有限公司 | 用于转化含糖类原料的方法 |
US10428271B2 (en) | 2013-08-30 | 2019-10-01 | Entegris, Inc. | Compositions and methods for selectively etching titanium nitride |
US10340150B2 (en) | 2013-12-16 | 2019-07-02 | Entegris, Inc. | Ni:NiGe:Ge selective etch formulations and method of using same |
WO2015103146A1 (en) | 2013-12-31 | 2015-07-09 | Advanced Technology Materials, Inc. | Formulations to selectively etch silicon and germanium |
TWI659098B (zh) | 2014-01-29 | 2019-05-11 | 美商恩特葛瑞斯股份有限公司 | 化學機械研磨後配方及其使用方法 |
WO2015116679A1 (en) | 2014-01-29 | 2015-08-06 | Advanced Technology Materials, Inc. | Post chemical mechanical polishing formulations and method of use |
US20160362804A1 (en) | 2014-02-25 | 2016-12-15 | Entegris, Inc. | Wet based formulations for the selective removal of noble metals |
-
2014
- 2014-12-19 JP JP2016560865A patent/JP6776125B2/ja active Active
- 2014-12-19 WO PCT/US2014/071540 patent/WO2015095726A1/en active Application Filing
- 2014-12-19 KR KR1020167019206A patent/KR102352475B1/ko active IP Right Grant
- 2014-12-19 SG SG10201805234YA patent/SG10201805234YA/en unknown
- 2014-12-19 CN CN201480073682.7A patent/CN105960699B/zh active Active
- 2014-12-19 TW TW103144470A patent/TWI662379B/zh not_active IP Right Cessation
- 2014-12-19 SG SG11201605003WA patent/SG11201605003WA/en unknown
- 2014-12-19 EP EP14873036.9A patent/EP3084809A4/en not_active Withdrawn
- 2014-12-19 US US15/105,833 patent/US20160322232A1/en not_active Abandoned
-
2018
- 2018-02-09 US US15/892,775 patent/US10347504B2/en active Active
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI803551B (zh) * | 2017-12-27 | 2023-06-01 | 日商東京應化工業股份有限公司 | 去除基板上之有機系硬化膜之方法,及酸性洗淨液 |
Also Published As
Publication number | Publication date |
---|---|
US20180240680A1 (en) | 2018-08-23 |
CN105960699B (zh) | 2019-11-01 |
EP3084809A4 (en) | 2017-08-23 |
SG11201605003WA (en) | 2016-07-28 |
SG10201805234YA (en) | 2018-08-30 |
CN105960699A (zh) | 2016-09-21 |
TWI662379B (zh) | 2019-06-11 |
KR102352475B1 (ko) | 2022-01-18 |
KR20160098462A (ko) | 2016-08-18 |
JP2017508187A (ja) | 2017-03-23 |
US10347504B2 (en) | 2019-07-09 |
WO2015095726A1 (en) | 2015-06-25 |
EP3084809A1 (en) | 2016-10-26 |
JP6776125B2 (ja) | 2020-10-28 |
US20160322232A1 (en) | 2016-11-03 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI662379B (zh) | 移除離子植入抗蝕劑之非氧化強酸類之用途 | |
JP6309999B2 (ja) | 窒化チタンハードマスク及びエッチ残留物除去 | |
US11017995B2 (en) | Composition for TiN hard mask removal and etch residue cleaning | |
KR102102792B1 (ko) | 티타늄 나이트라이드의 선택적인 에칭을 위한 조성물 및 방법 | |
TWI693305B (zh) | 於製造一半導體裝置時用於從矽-鍺/矽堆疊同時移除矽及矽-鍺合金的蝕刻溶液 | |
TWI651396B (zh) | 選擇性蝕刻氮化鈦之組成物及方法 | |
JP6363116B2 (ja) | 窒化チタンを選択的にエッチングするための組成物および方法 | |
TWI494710B (zh) | 用於移除高密度經摻雜光阻之低pH混合物 | |
TW201510180A (zh) | 用於移除金屬硬遮罩及蝕刻後殘餘物之具有Cu/W相容性的水性配方 | |
TW201619354A (zh) | 具有鍺化矽及鎢相容性之用於蝕刻氮化鈦之組成物 | |
TWI654340B (zh) | Ni:NiGe:Ge選擇性蝕刻配方及其使用方法 | |
US20220298417A1 (en) | Liquid Compositions For Selectively Removing Polysilicon Over P-Doped Silicon And Silicon-Germanium During Manufacture Of A Semiconductor Device | |
TW202212540A (zh) | 氮化物蝕刻劑組合物及方法 | |
KR20220032575A (ko) | 실리콘-게르마늄 재료를 선택적으로 에칭하기 위한 조성물, 그의 용도 및 방법 | |
TWI716348B (zh) | 與NiGe及Ge相容之Ni選擇性蝕刻組成物 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
MM4A | Annulment or lapse of patent due to non-payment of fees |