TW201343905A - 利用後段化學機械拋光移除之組成物及其使用方法 - Google Patents

利用後段化學機械拋光移除之組成物及其使用方法 Download PDF

Info

Publication number
TW201343905A
TW201343905A TW102105519A TW102105519A TW201343905A TW 201343905 A TW201343905 A TW 201343905A TW 102105519 A TW102105519 A TW 102105519A TW 102105519 A TW102105519 A TW 102105519A TW 201343905 A TW201343905 A TW 201343905A
Authority
TW
Taiwan
Prior art keywords
acid
composition
ether
ammonium
glycol
Prior art date
Application number
TW102105519A
Other languages
English (en)
Other versions
TWI600756B (zh
Inventor
Jun Liu
Jeffrey A Barnes
Emanuel I Cooper
Laisheng Sun
Elizabeth Thomas
Jason Chang
Original Assignee
Advanced Tech Materials
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Tech Materials filed Critical Advanced Tech Materials
Publication of TW201343905A publication Critical patent/TW201343905A/zh
Application granted granted Critical
Publication of TWI600756B publication Critical patent/TWI600756B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/02Anionic compounds
    • C11D1/12Sulfonic acids or sulfuric acid esters; Salts thereof
    • C11D1/22Sulfonic acids or sulfuric acid esters; Salts thereof derived from aromatic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/38Cationic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/66Non-ionic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/66Non-ionic compounds
    • C11D1/72Ethers of polyoxyalkylene glycols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/044Hydroxides or bases
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2003Alcohols; Phenols
    • C11D3/2041Dihydric alcohols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2068Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • C11D3/2079Monocarboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • C11D3/2082Polycarboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/34Organic compounds containing sulfur
    • C11D3/3409Alkyl -, alkenyl -, cycloalkyl - or terpene sulfates or sulfonates
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3942Inorganic per-compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/06Hydroxides
    • C11D2111/22

Abstract

一種用於自其上具有後段化學機械拋光(CMP)殘留物及污染物之微電子裝置清潔該等殘留物及污染物之不含胺的組成物及方法。該不含胺之組成物較佳包含至少一種氧化劑、至少一種錯合劑、至少一種鹼性化合物、及水,且具有在約2.5至約11.5範圍內之pH。該組成物達成後段CMP殘留物及污染物材料自微電子裝置表面之高度有效的清潔,而不會損壞低k介電材料或銅互連材料。

Description

利用後段化學機械拋光移除之組成物及其使用方法
本申請案主張2012年2月15日以Jun Liu、Jeffrey Barnes、Emanuel I. Cooper、Laisheng Sun、Steven Medd、Jieh-Hwa Shyu、Lucy Dai及Zachary Wan之名義提出申請之美國臨時專利申請案第61/599,162號,標題「改良的後段化學機械拋光調配物及其使用方法(Improved Post-Chemical Mechanical Polishing Formulations and Methods of Using Same)」,2012年5月24日以Jun Liu、Jeffrey A. Barnes、Laisheng Sun、及Elizabeth Thomas之名義提出申請之美國臨時專利申請案第61/651,287號,標題「低pH值的後段化學機械拋光殘留物移除之組成物及使用方法(Low pH Post-CMP Residue Removal Composition and Method of Use)」,2012年6月7日以Jun Liu、Jeffrey A. Barnes、Laisheng Sun、及Elizabeth Thomas之名義提出申請之美國臨時專利申請案第61/656,992號,標題「低pH值的後段化學機械拋光殘留物移除組成物及使用方法(Low pH Post-CMP Residue Removal Composition and Method of Use),及2012年6月18日以Jun Liu、Jeffrey A. Barnes、Emanuel I. Cooper、Laisheng Sun、Elizabeth Thomas、及Jason Chang之名義提出申請之美國臨時專利申請案第61/661,160號,標題「使用包含界面活性劑之組成物的後段化學機械拋光移除(Post-CMP Removal Using Compositions Comprising Surfactant)」之優先權,將其各者之全體內容併入本文為參考資料。
本發明係關於用於自其上具有殘留物及/或污染物之微電子裝置並將其等實質上且有效率地清潔之不含胺的組成物。
針對先進微電子應用,積體電路(IC)製造商已知以銅取代鋁及鋁合金,因銅具有轉變為互連效能之顯著改良的較高傳導性。此外,銅基互連體提供較鋁佳之電遷移抗性(electromigration resistance),因而改良互連可靠性。亦即,銅之實施面臨特定的挑戰。舉例來說,銅(Cu)對二氧化矽(SiO2)及對其他介電材料之黏著力一般不佳。不良的黏著會導致Cu於製程期間自鄰接薄膜脫層。此外,Cu離子易於電偏壓下擴散至SiO2中,且即使係在介電質內之極低Cu濃度下亦增加Cu線間的介電漏電。此外,如銅擴散至主動裝置所處的下層矽中,則裝置效能會退化。
銅於二氧化矽(SiO2)及於其他金屬間介電質(IMD)/層間介電質(ILD)中之高擴散性的問題仍保持高度相關。為處理此問題,必需將積體電路基板塗布適當的障蔽層,其囊封銅及阻止銅原子之擴散。通常將包含傳導性及非傳導性材料兩者之障蔽層形成於圖案化介電層上,隨後再沉積銅。障蔽層之典型材料包括鉭(Ta)、氮化鉭(TaNx)、鎢(W)、鈦(Ti)、氮化鈦(TiN)、釕(Ru)、鈷(Co)、鉬(Mo)、錸(Rh)、及其合金。
在深次微米半導體之製造中,使用銅鑲嵌製程來在低k介電層中形成傳導銅線及通孔。鑲嵌製程的一重要步驟係銅化學機械拋光(CMP)以移除在介電層表面上方的過剩銅。CMP製程涉及在CMP漿液之存在下並於受控壓力及溫度中使半導體裝置之薄且平坦的基板 抵靠經潤濕的拋光墊固持且旋轉。該等漿液包含研磨劑材料及適用於特定CMP製程及需求的化學添加劑。在CMP製程之後,由來自拋光漿液之顆粒、添加至漿液之化學物質、及拋光漿液之反應副產物組成之污染物殘留於晶圓表面上。必需在微電子裝置製程中之任何進一步的步驟之前將所有污染物移除,以避免裝置可靠性的退化及將瑕疵引入至裝置中。此等污染物之顆粒通常小於0.3微米。
在此方面的一特定問題係在CMP加工後殘留於微電子裝置基板上之殘留物。此等殘留物包括CMP材料及腐蝕抑制劑化合物諸如苯并三唑(BTA)。若未經移除,則此等殘留物會導致損壞銅線或使銅金屬化嚴重變粗糙,以及導致後段CMP塗覆層於裝置基板上之不良黏著。銅金屬化之嚴重粗糙化尤其成為問題,因過度粗糙的銅會導致產物微電子裝置之不良電效能。為此,已發展出後段CMP移除組成物來移除後段CMP殘留物及污染物。
習知之清潔技術使用流體流動之清潔溶液(例如,基於氫氧化銨之鹼性溶液)組合超音波振盪、噴射或刷拂來移除晶圓表面上之污染物。該等清潔溶液藉由在自晶圓移除脫落的污染物之前侵蝕晶圓表面或與污染物反應來移除污染物。一些污染物可能不利地對清潔溶液中之化學成分呈化學惰性。此外,技藝中已知之含胺清潔溶液有臭味且會釋放會破壞光阻劑的胺蒸氣至廠中。
於技藝中提供用於微電子裝置之後段CMP清潔、用於自該裝置之表面實質上無瑕疵且實質上無刮痕地移除CMP殘留物及污染物之改良的不含胺之組成物將係一項顯著的進步。該等水性組成物達成移除裝置表面上的實質殘留物及污染物,而不會損壞經暴露的低k介電材料、互連、以及通孔材料(例如,含銅及/或鋁之材料)。
本發明大致係關於用於自其上具有殘留物及/或污染物之微電子裝置清潔該等殘留物及污染物之不含胺的組成物及方法。在一態樣中,文中所述之組成物包含以下成分,由其所組成,或基本上由其所組成:至少一種氧化劑、至少一種錯合劑、至少一種鹼性化合物、至少一種緩衝劑、及水,其中該組成物實質上不含通常用於化學機械拋光製程中之胺、四級鹼、含氟化物來源、及研磨劑材料。視需要,該清潔組成物可進一步包含至少一種溶劑合劑、至少一種界面活性劑、或兩者。該殘留物可包括後段CMP殘留物。
在另一態樣中,本發明係關於一種套組,其包括存於一或多個容器中之一或多種以下用於形成不含胺之組成物的試劑,該一或多種試劑係選自由至少一種氧化劑、至少一種錯合劑、至少一種鹼性化合物、至少一種緩衝劑、及水組成之群,其中該組成物實質上不含通常用於化學機械拋光製程中之胺、四級鹼、含氟化物來源、及研磨劑材料,且其中該套組適合形成適用於自其上具有後段CMP殘留物及污染物之微電子裝置清潔該等留物及污染物之不含胺的組成物。該至少一種氧化劑可在清潔裝置處或其上游添加至不含胺的組成物。
在又另一態樣中,本發明係關於一種自其上具有殘留物及污染物之微電子裝置清潔該等殘留物及污染物之方法,該方法包括使微電子裝置與不含胺之組成物接觸足以自微電子裝置至少部分地清潔該等殘留物及污染物之時間,其中該不含胺之組成物包含至少一種氧化劑、至少一種錯合劑、至少一種鹼性化合物、至少一種緩衝劑、及水,其中該組成物實質上不含通常用於化學機械拋光製程中之胺、四級鹼、含氟化物來源、及研磨劑材料。該殘留物可包括後段CMP殘 留物。
在另一態樣中,本發明係關於一種自其上具有後段CMP殘留物及污染物之微電子裝置移除該等材料之方法,該方法包括:用CMP漿液拋光微電子裝置;使微電子裝置與包含至少一種氧化劑、至少一種錯合劑、至少一種鹼性化合物、至少一種緩衝劑、及水之不含胺之組成物接觸足以自微電子裝置實質上地移除後段CMP殘留物及污染物之時間,其中該組成物實質上不含通常用於化學機械拋光製程中之胺、四級鹼、含氟化物來源、及研磨劑材料。
在又另一態樣中,本發明係關於一種清潔其上具有殘留物及污染物之微電子裝置之方法,該方法包括使微電子裝置與不含胺之組成物接觸足以自其上具有殘留物及污染物之微電子裝置移除該等材料之時間,其中該組成物包含至少一種氧化劑、至少一種錯合劑、至少一種鹼性化合物、至少一種緩衝劑、及水,其中該組成物實質上不含通常用於化學機械拋光製程中之胺、四級鹼、含氟化物來源、及研磨劑材料。
在又另一態樣中,本發明係關於一種清潔其上具有後段CMP殘留物及污染物之微電子裝置之方法,該方法包括使微電子裝置與不含胺之組成物接觸足以自其上具有後段CMP殘留物及污染物之微電子裝置移除該等材料之時間,其中該組成物包含至少一種氧化劑、至少一種錯合劑、至少一種鹼性化合物、至少一種緩衝劑、及水,其中該組成物實質上不含通常用於化學機械拋光製程中之胺、四級鹼、含氟化物來源、及研磨劑材料。
在另一態樣中,本發明係關於一種製造微電子裝置之方 法,該方法包括使微電子裝置與文中所述之不含胺之清潔組成物接觸足以自其上具有後段CMP殘留物及污染物之微電子裝置至少部分地清潔該等殘留物及污染物之時間。
本發明之又另一態樣係關於經改良的微電子裝置,及併入該裝置之產品,其係使用包括利用文中所述之方法及/或組成物自其上具有後段CMP殘留物及污染物之微電子裝置清潔該等殘留物及污染物之本發明方法所製得,及視需要將該微電子裝置併入產品中。
本發明之另一態樣係關於一種包括不含胺之清潔組成物、微電子裝置晶圓、及後段CMP殘留物及污染物之製造物件,其中該不含胺之清潔組成物包含至少一種氧化劑、至少一種錯合劑、至少一種鹼性化合物、至少一種緩衝劑、及水,其中該組成物實質上不含通常用於化學機械拋光製程中之胺、四級鹼、含氟化物來源、及研磨劑材料。
本發明之其他態樣、特徵及優點將可由隨後之揭示內容及隨附之申請專利範圍而更完整明瞭。
本發明大致係關於自其上具有後段CMP殘留物及污染物之微電子裝置清潔該等殘留物及污染物之不含胺的組成物。該等清潔組成物可與經暴露的材料相容,同時自微電子裝置之表面實質上地移除該等後段CMP殘留物及污染物。
為便於參考,「微電子裝置」係對應於經製造用於微電子、積體電路、或電腦晶片應用中之半導體基板、平板顯示器、相變 記憶體裝置、太陽能面板及包括太陽能基板、光伏打元件、及微機電系統(MEMS)的其他產品。應瞭解術語「微電子裝置」不具任何限制意味,且包括任何最終將成為微電子裝置或微電子組件的基板。
如本文所用之「殘留物」係相當於在微電子裝置之製造期間(包括,但不限於,電漿蝕刻、灰化、化學機械拋光、濕式蝕刻、及其組合)產生的顆粒。
如本文所用之「污染物」係相當於存在於CMP漿液中之化學物質,例如,苯并三唑(BTA)、拋光漿液之反應副產物、存在於濕式蝕刻組成物中之化學物質、濕式蝕刻組成物之反應副產物、及任何其他作為CMP製程、濕式蝕刻、電漿蝕刻或電漿灰化製程之副產物的材料。
如本文所用之「後段CMP殘留物」係相當於來自拋光漿液之顆粒(例如,含矽石顆粒)、存在於漿液中之化學物質、拋光漿液之反應副產物、富碳顆粒、拋光墊顆粒、刷的卸載顆粒、設備的構造材料顆粒、銅、銅氧化物、有機殘留物、及任何其他作為CMP製程之副產物的材料。
如本文所定義之「低k介電材料」係相當於任何在層狀微電子裝置中使用作為介電材料的材料,其中該材料具有小於約3.5之介電常數。低k介電材料較佳包括低極性材料諸如含矽有機聚合物、含矽之有機/無機混合材料、有機矽酸鹽玻璃(OSG)、TEOS、氟化矽酸鹽玻璃(FSG)、二氧化矽、摻碳氧化物(CDO)玻璃、購自Novellus Systems,Inc.之CORALTM、購自Applied Materials,Inc.之BLACK DIAMONDTM、購自Dow Corning,Inc.之SiLKTM、及Nanopore,Inc.之NANOGLASSTM、及其類似物。應明瞭低k介電材料可具有不同密度 及不同孔隙度。
如本文所定義之「不含胺之清潔組成物」係相當於恰在與其上具有後段CMP及/或污染物之微電子裝置接觸之前的不含胺之組成物。
如本文所定義之「錯合劑」包括熟悉技藝人士理解為錯合劑、鉗合劑及/或錯隔劑的該等化合物。錯合劑將與待使用本發明之組成物移除的金屬原子及/或金屬離子化學結合或以物理方式將其固持住。
如本文所定義之術語「障壁材料」係相當於任何在技藝中用來密封金屬線(例如,銅互連體),以使該金屬(例如,銅)之擴散至介電材料中減至最小的材料。習知之障壁層材料包括鉭或鈦、其氮化物及矽化物、及其合金。可用作可直接電鍍擴散障壁之候選材料包括釕(Ru)、鈷(Co)、鎢(W)、鉬(Mo)、錸(Rh)、及其合金。
如本文所使用之「約」係意指相當於所述值之±5%。
「實質上不含」在本文係定義為小於2重量%,較佳小於1重量%,更佳小於0.5重量%,再更佳小於0.1重量%,及最佳0重量%。
如本文所定義之「後段蝕刻殘留物」係相當於在氣相電漿蝕刻製程(例如,BEOL雙重鑲嵌加工)後殘留的材料。後段蝕刻殘留物之性質可為有機、有機金屬、有機矽、或無機,例如,含矽材料、碳基有機材料、及蝕刻氣體殘留物(包括,但不限於,氧及氟)。文中所使用之「後段灰化殘留物」係相當於在用來移除硬化光阻劑及/或底部抗反射塗層(BARC)材料之氧化或還原電漿灰化後殘留的材料。後段灰化殘留物之性質可為有機、有機金屬、有機矽、或無機。
熟悉技藝人士當明瞭當組成物為水性時,氫氧化銨(NH4OH)可與氨(NH3)交替使用。
關於本發明,「胺」係定義為至少一種一級、二級、或三級胺,其限制條件為(i)醯胺基、(ii)同時包括羧酸基及胺基之物質(例如,胺基酸)、(iii)氨、(iv)包括胺基之界面活性劑、及(v)胺-N-氧化物不被視為根據此定義之「胺」。胺之化學式可以NR1R2R3表示,其中R1、R2及R3可彼此相同或不同且係選自由氫、直鏈或分支鏈C1-C6烷基(例如,甲基、乙基、丙基、丁基、戊基、己基)、C6-C10芳基(例如,苄基)、直鏈或分支鏈C1-C6烷醇(例如,甲醇、乙醇、丙醇、丁醇、戊醇、己醇)、及其組合所組成之群。
如本文所用,「適用」於自其上具有殘留物及污染物之微電子裝置清潔該等殘留物及污染物係相當於自該微電子裝置至少部分移除該等殘留物/污染物。清潔效力係藉由在微電子裝置上的物體減少來評定。舉例來說,可使用原子力顯微鏡來進行前段及後段清潔分析。可將樣品上之顆粒登錄為一像素範圍。可應用直方圖(例如,Sigma Scan Pro)來過濾特定強度(例如,231-235)中之像素,且計算顆粒數目。顆粒減少可使用下式來計算:
值得注意地,清潔效力之測定方法僅係提供作為實例,而不意欲對其造成限制。或者,可將清潔效力視為經顆粒物質覆蓋之總表面的百分比。舉例來說,AFM可經程式化以執行z平面掃描,來識別高於一特定高度臨限值之相關形貌面積,然後再計算經該相關面積覆蓋之總表面面積。熟悉技藝人士當可輕易明瞭在清潔後經該相關面積覆蓋 的面積愈小,清潔組成物就愈有效。較佳地,使用文中所述之組成物自微電子裝置移除至少75%之殘留物/污染物,更佳至少90%,再更佳至少95%,及最佳移除至少99%之殘留物/污染物。
本發明之組成物可以如更完整說明於下文之相當多樣的特定調配物具體實施。
在所有此等組成物中,當參照包括零下限之重量百分比範圍論述組成物之特定組分時,當明瞭在組成物之各種特定具體例中可存在或不存在此等組分,且在存在此等組分之情況中,其可以基於其中使用此等組分之組成物之總重量計低至0.001重量百分比之濃度存在。
在第一態樣中,本發明係關於用於清潔後段CMP殘留物及污染物之不含胺的組成物,該第一組成物包含至少一種氧化劑、至少一種錯合劑、至少一種鹼性化合物、至少一種緩衝劑、及水。視需要,該第一組成物可進一步包含至少一種溶劑合劑、至少一種界面活性劑、或其組合。第一組成物中之組分係基於組成物之總重量以下述之重量百分比範圍存在: 如熟悉技藝人士所可輕易明瞭,當經稀釋時,經濃縮之第一組成物中 之組分的重量百分比值將隨稀釋率之因數而變化。
在一具體例中,第一組成物包含以下成分,由其所組成,或基本上由其所組成:至少一種氧化劑、至少一種錯合劑、至少一種鹼性化合物、至少一種緩衝劑、及水。在另一具體例中,第一組成物包含以下成分,由其所組成,或基本上由其所組成:至少一種氧化劑、至少一種錯合劑、至少一種鹼性化合物、至少一種緩衝劑、至少一種溶劑合劑、及水。在又另一具體例中,第一組成物包含以下成分,由其所組成,或基本上由其所組成:至少一種氧化劑、至少一種錯合劑、至少一種鹼性化合物、至少一種界面活性劑、至少一種緩衝劑、及水。在又另一具體例中,第一組成物包含以下成分,由其所組成,或基本上由其所組成:至少一種氧化劑、至少一種錯合劑、至少一種鹼性化合物、至少一種界面活性劑、至少一種溶劑合劑、至少一種緩衝劑、及水。該水較佳係去離子水。應明瞭至少一種氧化劑可在清潔裝置之上游處或在清潔裝置處才添加。
在本發明之寬廣實務中,第一組成物之pH範圍係在約2.5至約12之範圍內。取決於待清潔表面之性質,有時稍微酸性的第一組成物將較佳,例如在約2.5至7之範圍內,及其他時候稍微鹼性的第一組成物將較佳,例如在7至約12之範圍內。
文中所述之組成物可有用於包括,但不限於,下列應用中:後段蝕刻殘留物移除、後段灰化殘留物移除表面製備、後段電鍍清潔、後段CMP殘留物移除、銅晶種蝕刻/清潔、穿透矽通孔(through silicon via;TSV)清潔、MEMS清潔、及鈷及鈷合金表面清潔。
涵蓋的鹼性化合物包括鹼金屬氫氧化物、氫氧化銨、及其組合,其中鹼金屬氫氧化物包括KOH、CsOH、及其組合。較佳地, 用於第一組成物之鹼性化合物包含KOH。
文中涵蓋的錯合劑包括有機酸,於其鹽中包含至少一個COOH基團或羧酸酯基團,包括,但不限於,乳酸、順丁烯二酸、抗壞血酸、蘋果酸、檸檬酸、苯甲酸、反丁烯二酸、琥珀酸、草酸、丙二酸、苯乙醇酸、順丁烯二酸酐、酞酸、天冬胺酸、麩胺酸、戊二酸、羥乙酸、乙醛酸、苯乙酸、奎尼酸、1,2,4,5-苯四甲酸、酒石酸、對苯二甲酸、1,2,4-苯三甲酸、1,3,5-苯三甲酸、葡萄糖酸、甘油酸、甲酸、乙酸、丙酸、丙烯酸、己二酸、衣康酸、葡萄糖醛酸、甘胺酸、離胺酸、β-丙胺酸、組胺酸、苯基丙胺酸、半胱胺酸、白胺酸、絲胺酸、8-羥基喹啉、2,4-戊二酮、苯四羧酸、丙酮酸、單寧酸、對胺苯磺酸、2-羥基膦醯羧酸(HPAA)、鄰苯二酚、五倍子酚、五倍子酸、單寧酸、乙二胺四乙酸(EDTA)、二伸乙三胺五乙酸(DTPA)、(1,2-伸環己基二氮基)四乙酸(CDTA)、亞胺二乙酸、2-膦醯丁烷-1,2,4-三羧酸(PBTCA)、其他脂族及芳族羧酸、其鹽以及前述酸之組合。可作為替代物或附加物涵蓋的其他錯合劑包括膦酸及其衍生物(例如,羥基亞乙基二膦酸(HEDP)、1-羥乙烷-1,1-二膦酸、氮基參(亞甲基膦酸))、水楊酸、對甲苯磺酸、磺基水楊酸及其衍生物、及其任何組合。較佳地,用於第一組成物之錯合劑包含檸檬酸、HEDP、磺基水楊酸、及其任何組合。
涵蓋的氧化劑包括臭氧、硝酸、鼓泡空氣、環己胺基磺酸、過氧化氫(H2O2)、FeCl3(包括水合及未水合)、發氧方(oxone)(2KHSO5‧KHSO4‧K2SO4)、多原子銨鹽(例如,過氧單硫酸銨、亞氯酸銨(NH4ClO2)、氯酸銨(NH4ClO3)、碘酸銨(NH4IO3)、過硼酸銨(NH4BO3)、過氯酸銨(NH4ClO4)、過碘酸銨(NH4IO3)、過硫酸銨((NH4)2S2O8)、次氯酸銨(NH4ClO)、過硼酸鈉(NaBO3)、多原子鈉鹽(例 如,過硫酸鈉(Na2S2O8)、次氯酸鈉(NaClO))、多原子鉀鹽(例如,碘酸鉀(KIO3)、過錳酸鉀(KMnO4)、過硫酸鉀、過硫酸鉀(K2S2O8)、次氯酸鉀(KClO))、多原子四甲銨鹽(例如,亞氯酸四甲銨((N(CH3)4)ClO2)、氯酸四甲銨((N(CH3)4)ClO3)、碘酸四甲銨((N(CH3)4)IO3)、過硼酸四甲銨((N(CH3)4)BO3)、過氯酸四甲銨((N(CH3)4)ClO4)、過碘酸四甲銨((N(CH3)4)IO4)、過硫酸四甲銨((N(CH3)4)S2O8))、多原子四丁銨鹽(例如,過氧單硫酸四丁銨)、過氧單硫酸、硝酸鐵(Fe(NO3)3)、胺-N-氧化物(例如,N-甲基啉-N-氧化物(NMMO)、三甲胺-N-氧化物、三乙胺-N-氧化物、吡啶-N-氧化物、N-乙基啉-N-氧化物、N-甲基吡咯啶-N-氧化物、N-乙基吡咯啶-N-氧化物)、尿素過氧化氫((CO(NH2)2)H2O2)、過乙酸(CH3(CO)OOH)、過碘酸、重鉻酸鉀、氯酸鉀、2-硝基酚、1,4-苯醌、過氧苯甲酸、過氧酞酸鹽、氧化釩(例如,VO2、V6O13)、偏釩酸銨、鎢酸銨、硝酸鈉、硝酸鉀、硝酸銨、硝酸鍶、硫酸、及其組合。較佳地,用於第一組成物之氧化劑包含過氧化氫、NMMO、尿素過氧化氫、及其組合。
添加緩衝劑來在稀釋及製造期間穩定第一組成物以及達成適當的組成物pH值,其係如熟悉技藝人士所可輕易決定。涵蓋的緩衝劑包括,但不限於,磷酸二鉀、碳酸鉀、硼酸、離胺酸、脯胺酸、β-丙胺酸、乙二胺四乙酸(EDTA)、二伸乙三胺五乙酸(DTPA)、雙乙酮肟(dimethyl glyoxime)、二鹼式磷酸鹽(例如,(NH4)H2PO4、K2HPO4)、三鹼式磷酸鹽(例如,(NH4)3PO4、K3PO4)、二鹼式及三鹼式磷酸鹽之混合物(例如,K2HPO4/K3PO4)、二鹼式及三鹼式碳酸鹽之混合物(例如,K2CO3/KHCO3)、羥基亞乙基二膦酸(HEDP)、及其組合。較佳的緩衝劑包括二鹼式磷酸鹽(例如,(NH4)H2PO4、K2HPO4)、三鹼式磷酸鹽(例 如,(NH4)3PO4、K3PO4)、二鹼式及三鹼式磷酸鹽之混合物(例如,K2HPO4/K3PO4)、HEDP、及其組合。
當存在時,涵蓋的溶劑合劑包括,但不限於,2-吡咯啶酮、1-(2-羥乙基)-2-吡咯啶酮、甘油、1,4-丁二醇、四亞甲碸(四氫噻吩碸)、二甲碸、乙二醇、丙二醇、二丙二醇、二甘醇單甲醚、三甘醇單甲醚、二甘醇單乙醚、三甘醇單乙醚、乙二醇單丙醚、乙二醇單丁醚、二甘醇單丁醚(即丁基卡必醇)、三甘醇單丁醚、乙二醇單己醚、二甘醇單己醚、乙二醇苯基醚、丙二醇甲基醚、二丙二醇甲基醚(DPGME)、三丙二醇甲基醚(TPGME)、二丙二醇二甲基醚、二丙二醇乙基醚、丙二醇正丙基醚、二丙二醇正丙基醚(DPGPE)、三丙二醇正丙基醚、丙二醇正丁基醚、二丙二醇正丁基醚、三丙二醇正丁基醚、丙二醇苯基醚、及其組合。較佳地,當存在時,用於第一組成物的溶劑合劑包括四氫噻吩碸(四亞甲碸)、1-(2-羥乙基)-2-吡咯啶酮、及其組合。
當存在時,用於本文所述之組成物的界面活性劑包括,但不限於,兩性鹽、陽離子界面活性劑、陰離子界面活性劑、兩性離子界面活性劑、非離子界面活性劑、及其組合,包括,但不限於,癸基膦酸、十二烷基膦酸(DDPA)、十四烷基膦酸、十六烷基膦酸、雙(2-乙基己基)磷酸酯、十八烷基膦酸、全氟庚酸、全氟癸酸、三氟甲磺酸、膦醯乙酸、十二烷基苯磺酸(DDBSA)、其他R1苯磺酸或其鹽(其中R1係直鏈或分支鏈C8-C18烷基)、十二烯基琥珀酸、二-十八烷基磷酸氫酯、十八烷基磷酸二氫酯、十二烷基胺、十二烯基琥珀酸單二乙醇醯胺、月桂酸、棕櫚酸、油酸、杜松酸、12-羥基硬脂酸、十八烷基膦酸(ODPA)、磷酸十二烷基酯。涵蓋的非離子界面活性劑包括,但不限於,聚氧伸乙基月桂基醚(Emalmin NL-100(Sanyo)、Brij 30、Brij 98、Brij 35)、十二烯基琥珀酸單二乙醇醯胺(DSDA,Sanyo)、乙二胺肆(乙氧化物-嵌段-丙氧化物)四醇(Tetronic 90R4)、聚乙二醇(例如,PEG400)、聚丙二醇、聚乙二醇或聚丙二醇醚、基於環氧乙烷及環氧丙烷之嵌段共聚物(Newpole PE-68(Sanyo)、Pluronic L31、Pluronic 31R1、Pluronic L61、Pluronic F-127)、聚氧伸丙基蔗糖醚(SN008S,Sanyo)、第三辛基苯氧基聚乙氧乙醇(Triton X100)、10-乙氧基-9,9-二甲基癸-1-胺(TRITON® CF-32)、聚氧伸乙基(9)壬苯基醚、分支鏈、聚氧伸乙基(40)壬苯基醚、分支鏈(例如,IGEPAL Co 890)、二壬苯基聚氧伸乙基、壬基酚烷氧基化物(例如,SURFONIC LF-41)、聚氧伸乙基山梨糖醇六油酸酯、聚氧伸乙基山梨糖醇四油酸酯、聚乙二醇脫水山梨糖醇單油酸酯(Tween 80)、脫水山梨糖醇單油酸酯(Span 80)、Tween 80及Span 80之組合、醇烷氧基化物(例如,Plurafac RA-20)、烷基-多葡萄糖苷、全氟丁酸乙酯、1,1,3,3,5,5-六甲基-1,5-雙[2-(5-原冰片烯-2-基)乙基]三矽氧烷、單體十八烷基矽烷衍生物諸如SIS6952.0(Siliclad,Gelest)、經矽氧烷改質之聚矽氮烷諸如PP1-SG10 Siliclad Glide 10(Gelest)、聚矽氧-聚醚共聚物諸如Silwet L-77(Setre Chemical Company)、Silwet ECO Spreader(Momentive)、及乙氧基化氟界面活性劑(ZONYL® FSO、ZONYL® FSN-100)。涵蓋的陽離子界面活性劑包括,但不限於,溴化鯨蠟基三甲基銨(CTAB)、十七烷氟辛烷磺酸、四乙銨、氯化硬脂基三甲銨(Econol TMS-28,Sanyo)、溴化4-(4-二乙胺基苯基偶氮)-1-(4-硝基苄基)吡錠、單水合氯化鯨蠟基吡錠、殺藻胺(benzalkonium chloride)、苄索氯銨(benzethonium chloride)、氯化苄基二甲基十二烷基銨、氯化苄基二甲基十六烷基銨、溴化十六烷基三甲基銨、氯化二甲基二-十八烷基銨、氯化十二烷基三甲基銨、對甲苯磺酸十六烷基三甲基銨、溴 化二-十二烷基二甲基銨、二(氫化牛脂)二甲基銨、溴化四庚基銨、溴化肆(癸基)銨、Aliquat® 336及溴化羥苯乙胺(oxyphenonium bromide)、鹽酸胍(C(NH2)3Cl)或三氟甲磺酸鹽諸如三氟甲磺酸四丁基銨、氯化二甲基二-十八烷基銨、溴化二甲基二-十六烷基銨、氯化二(氫化牛脂)二甲基銨(例如,Arquad 2HT-75,Akzo Nobel)、及聚氧伸乙基(16)牛脂乙基銨乙硫酸酯(例如,CRODAQUAT TES)。涵蓋的陰離子界面活性劑包括,但不限於,聚(丙烯酸鈉鹽)、聚丙烯酸銨(例如,DARVAN 821A)、聚氧伸乙基月桂基醚鈉、二己基磺基琥珀酸鈉、十二烷基硫酸鈉、二辛基磺基琥珀酸鹽、2-磺基琥珀酸鹽、2,3-二巰基-1-丙磺酸鹽、二環己基磺基琥珀酸鈉鹽、7-乙基-2-甲基-4-十一烷基硫酸鈉(Tergitol 4)、SODOSIL RM02、磷酸酯氟界面活性劑諸如Zonyl FSJ及ZONYL® UR、氟界面活性劑諸如NOVEC 4300、及聚丙烯酸酯諸如SOKALAN CP10S。兩性離子界面活性劑包括,但不限於,乙炔二醇或經改質的乙炔二醇(例如,SURFONYL® 504)、環氧乙烷烷基胺(AOA-8,Sanyo)、N,N-二甲基十二烷基胺N-氧化物、椰油醯兩性基丙酸鈉(sodium cocaminpropinate)(LebonApl-D,Sanyo)、3-(N,N-二甲基肉豆蔻基銨基)丙磺酸鹽、及(3-(4-庚基)苯基-3-羥丙基)二甲銨基丙磺酸鹽。較佳地,用於第一態樣之組成物的至少一種界面活性劑包括十二烷基苯磺酸、PEG400、ZONYL FSO、Tween 80、SURFONIC LF-41、IGEPAL Co 890、DARVAN 821A、NOVEC 4300、CRODAQUAT TES、PLURONIC F-127、SOKALAN CP10S、及其組合。
文中描述之第一組成物較佳實質上不含通常用於化學機械拋光製程中(在開始清潔之前)之研磨劑材料、含氟化物來源、胺、四級銨鹼、及其任何組合。四級銨鹼包括具有化學式NR1R2R3R4OH之 化合物,其中R1、R2、R3及R4可彼此相同或不同且係選自由氫、直鏈或分支鏈C1-C6烷基(例如,甲基、乙基、丙基、丁基、戊基、及己基)、及經取代或未經取代之C6-C10芳基(例如,苄基)組成之群,其限制條件為R1、R2、R3或R4中之至少一者需為不為氫之組分。
第一組成物可進一步包括清潔添加劑諸如聚電解質(例如,聚丙烯酸、聚(丙烯酸-共-順丁烯二酸)、1,2,4-三唑及其衍生物)、銅離子來源、及其組合。
在一較佳具體例中,第一組成物包含以下成分,由其所組成,或基本上由其所組成:尿素過氧化氫、檸檬酸、KOH、至少一種界面活性劑、至少一種緩衝劑、及水。在又另一較佳具體例中,第一組成物包含以下成分,由其所組成,或基本上由其所組成:尿素過氧化氫、檸檬酸、KOH、至少一種界面活性劑、至少一種緩衝劑、四氫噻吩碸、及水。在另一較佳具體例中,第一組成物包含以下成分,由其所組成,或基本上由其所組成:(i)至少一種氧化劑,其包含選自由過氧化氫、尿素過氧化氫、NMMO、及其組合組成之群之物質,(ii)至少一種鹼性化合物,其包含KOH,(iii)至少一種溶劑合劑,其選自由四氫噻吩碸、1-(2-羥乙基)-2-吡咯啶酮、及其組合組成之群,(iv)至少一種緩衝劑,其包含二鹼式磷酸鹽,(v)至少一種錯合劑,其包含選自由5-磺基水楊酸及其衍生物、HEDP、及其組合組成之群之物質,(iv)及水,其中該組成物實質上不含通常用於化學機械拋光製程中之胺、四級鹼、含氟化物來源、及研磨劑材料,其中該pH係在約7至約12之範圍內。較佳地,第一組成物具有低於5埃/分鐘之銅蝕刻速率及大於20%、更佳大於30%、及最佳大於40%之BTA移除效率。
在第一態樣之一具體例中,提供可經稀釋用作清潔溶液 之經濃縮的第一組成物。濃縮組成物或「濃縮物」有利地容許使用者(例如,CMP製程工程師)將濃縮物稀釋至使用點處所期望的強度及酸度。經濃縮之第一組成物的稀釋可在約1:1至約2500:1之範圍內,其中該第一組成物係在工具處或恰在工具之前用溶劑(例如,去離子水)稀釋。
文中所述之第一組成物的一重要特徵係非水性成分(除水外之成分)係少量地存在於組成物中,通常少於約20重量%。此具經濟優勢,因可更經濟地調配有效的第一組成物,由於後段CMP第一組成物係被大量地使用,因此此點極具重要性。再者,由於第一組成物係為水基,因此文中所述之第一組成物更容易處置。值得注意地,第一組成物之壽命僅取決於顆粒負載量,因此,第一組成物係可回收。
在又另一較佳具體例中,文中所述之第一組成物包含以下成分,由其所組成,或基本上由其所組成:至少一種氧化劑、至少一種錯合劑、至少一種鹼性化合物、至少一種緩衝劑、視需要之至少一種界面活性劑、視需要之至少一種溶劑合劑、水、殘留物及/或污染物。應注意,該等殘留物及污染物可溶解及/或懸浮於文中所述之第一組成物中。較佳地,該殘留物包括後段CMP殘留物。
文中所述之第一組成物係經由簡單地添加各別成分及混合至均勻狀態而容易地調配得。此外,可輕易地將第一組成物調配為單一包裝調配物或在使用點處或使用點前混合的多份調配物,例如,可將多份調配物之個別份於工具處或於工具上游之儲槽中混合。在本發明之寬廣實務中,各別成分的濃度可在第一組成物的特定倍數內寬廣地改變,即更稀或更濃,且當明瞭第一組成物可變化及替代地包含與本文之揭示內容一致之成分的任何組合,由其所組成,或基本上由其所組成。
因此,另一態樣係關於一種套組,其包括存於一或多個容器中之一或多種適於形成本發明第一態樣之組成物的組分。套組較佳包括用於在工廠或使用點處與額外的水、至少一種氧化劑、或兩者結合之存於一或多個容器中之至少一種錯合劑、至少一種鹼性化合物、至少一種緩衝劑、視需要之至少一種界面活性劑、視需要之至少一種溶劑合劑、及水。套組之容器必需適於儲存及運送該第一組成物組分,例如,NOWPak®容器(Advanced Technology Materials,Inc.,Danbury,Conn.,USA)。
在第二態樣中,本發明係關於一種用於清潔後段CMP殘留物及污染物之酸性、不含胺之組成物,該第二組成物包含至少一種錯合劑、至少一種含磺酸之烴、至少一種鹼性化合物、及水。視情況,該第二組成物可進一步包含至少一種分散劑、至少一種界面活性劑、至少一種氧化劑、或其任何組合。第二組成物中之組分係基於組成物之總重量以以下之重量百分比範圍存在, 如熟悉技藝人士所可輕易明瞭,當經稀釋時,經濃縮之第二組成物中之組分的重量百分比值將隨稀釋率之因數而變化。
在一具體例中,第二組成物包含以下成分,由其所組成,或基本上由其所組成:至少一種錯合劑、至少一種含磺酸之烴、 至少一種鹼性化合物、及水。在另一具體例中,第二組成物包含以下成分,由其所組成,或基本上由其所組成:至少一種錯合劑、至少一種含磺酸之烴、至少一種分散劑、至少一種鹼性化合物、及水。在又另一具體例中,第二組成物包含以下成分,由其所組成,或基本上由其所組成:至少一種錯合劑、至少一種含磺酸之烴、至少一種鹼性化合物、至少一種界面活性劑、及水。在又另一具體例中,第二組成物包含以下成分,由其所組成,或基本上由其所組成:至少一種錯合劑、至少一種含磺酸之烴、至少一種鹼性化合物、至少一種界面活性劑、至少一種分散劑、及水。在另一具體例中,第二組成物包含以下成分,由其所組成,或基本上由其所組成:至少一種錯合劑、至少一種含磺酸之烴、至少一種分散劑、至少一種鹼性化合物、至少一種氧化劑、及水。該水較佳係去離子水。較佳使用KOH作為鹼性化合物。
在本發明之寬廣實務中,第二組成物之pH範圍係小於約7.0,更佳小於4.5,又更佳在約1至約4之範圍內,及最佳在約2至約3之範圍內。
文中所述之第二組成物可有用於包括,但不限於,下列應用中:後段蝕刻殘留物移除、後段灰化殘留物移除表面製備、後段電鍍清潔、後段CMP殘留物移除、銅晶種蝕刻/清潔、穿透矽通孔(TSV)清潔、MEMS清潔、及鈷及鈷合金表面清潔。
用於本文所述之組成物中之例示性的界面活性劑包括,但不限於,兩性鹽、陽離子界面活性劑、陰離子界面活性劑、兩性離子界面活性劑、非離子界面活性劑、及其組合,如文中針對第一組成物所引介。較佳地,第二組成物之該至少一種界面活性劑包含十二烷基苯磺酸、十二烷基膦酸、及磷酸十二烷基酯。
涵蓋的鹼性化合物包括鹼金屬氫氧化物、氫氧化銨、及其組合,其中鹼金屬氫氧化物包括KOH、CsOH、及其組合。較佳地,第二組成物之鹼性化合物包含KOH。
文中所述之第二組成物中所使用之分散劑係要用來增加分散度及使經移除殘留物及污染物於微電子裝置晶圓表面處之再沉積減至最小。文中涵蓋的分散劑包括具有小於15,000之平均分子量之含有丙烯酸或其鹽的有機聚合物,後文稱為低分子量之含丙烯酸聚合物。該低分子量之含丙烯酸聚合物具有低於15,000,較佳自約3,000至約10,000之平均分子量。該低分子量之含丙烯酸聚合物可為包括基本的丙烯酸或丙烯酸鹽單體單元之均聚物或共聚物。共聚物可基本上包括任何適宜的其他單體單元,包括經改質的丙烯酸、反丁烯二酸、順丁烯二酸、衣康酸、烏頭酸、中康酸、檸康酸、及亞甲基丙二酸或其鹽、順丁烯二酸酐、伸烷基、乙烯基甲基醚、苯乙烯及其任何混合物。較佳的分散劑包括聚(丙烯酸),諸如市售之含有低分子量丙烯酸的均聚物,包括彼等以商品名Acusol 445(Rohm and Haas,Philadelphia,PA,USA)或Sokalon銷售者。
文中涵蓋之含磺酸之烴包括直鏈及分支鏈C1-C6烷(例如,甲烷、乙烷、丙烷、丁烷、戊烷、己烷)磺酸、直鏈及分支鏈C2-C6烯(例如,乙烷、丙烯、丁烷、戊烯、己烷)磺酸、及經取代或未經取代之C6-C14芳基磺酸、及其鹽(例如,鈉、鉀等)。含磺酸之烴包括甲磺酸(MSA)、乙磺酸、丙磺酸、丁磺酸、戊磺酸、己磺酸、乙烯磺酸、甲苯磺酸、及其組合。含磺酸之烴最佳包含MSA。
文中涵蓋之錯合劑包括前文針對第一組成物所引介之物質。第二組成物之錯合劑較佳包含檸檬酸。
文中涵蓋之氧化劑包括前文針對第一組成物所引介之物質。氧化劑較佳包含過氧化氫或尿素過氧化氫。
本發明之第二組成物較佳實質上不含通常用於化學機械拋光製程中(在開始清潔之前)之研磨劑材料、含氟化物來源、胺、四級銨鹼、及其任何組合。四級銨鹼包括具有化學式NR1R2R3R4OH之化合物,其中R1、R2、R3及R4可彼此相同或不同且係選自由氫、直鏈或分支鏈C1-C6烷基(例如,甲基、乙基、丙基、丁基、戊基、及己基)、及經取代或未經取代之C6-C10芳基(例如,苄基)組成之群,其限制條件為R1、R2、R3或R4中之至少一者需為不為氫之組分。
第二組成物可進一步包括清潔添加劑諸如聚電解質(例如,聚丙烯酸、聚(丙烯酸-共-順丁烯二酸)、1,2,4-三唑及其衍生物)、緩衝劑、溶劑合劑、銅離子來源、及其組合。
在一較佳具體例中,第二組成物包含以下成分,由其所組成,或基本上由其所組成:甲磺酸、檸檬酸、KOH、及水。在又另一較佳具體例中,第二組成物包含以下成分,由其所組成,或基本上由其所組成:甲磺酸、檸檬酸、KOH、過氧化氫、及水。
第二組成物可以下列調配物來調配,其中調配物中之活性成分係基於組成物之總重量以以下之重量百分比存在,其餘為水:
在一具體例中,提供可經稀釋用作清潔溶液之經濃縮的第二組成物。經濃縮的第二組成物或「濃縮物」有利地容許使用者(例如,CMP製程工程師)將濃縮物稀釋至使用點處所期望的強度及酸度。經濃縮之第二組成物的稀釋可在約1:1至約2500:1之範圍內,其中該第二組成物係在工具處或恰在工具之前用溶劑(例如,去離子水)稀釋。熟悉技藝人士當明瞭在稀釋後,文中揭示之組分的重量百分比之比例範圍應維持不變。
文中所述之第二組成物的一重要特徵係非水性成分(除水外之成分)係少量地存在於組成物中,通常少於約10重量%。此具經濟優勢,因可更經濟地調配有效的第二組成物,由於後段CMP第二組成物係被大量地使用,因此此點極具重要性。再者,由於第二組成物係為水基,因此本發明之第二組成物更容易處置。值得注意地,第二組成物之壽命僅取決於顆粒負載量,因此,第二組成物係可回收。
在又另一較佳具體例中,文中所述之第二組成物包含以下成分,由其所組成,或基本上由其所組成:至少一種錯合劑、至少一種含磺酸之烴、至少一種鹼性化合物、水、殘留物及/或污染物、視需要之至少一種分散劑、視需要之至少一種界面活性劑、及視需要之至少一種氧化劑。應注意,該等殘留物及污染物可溶解及/或懸浮於文中所述之第二組成物中。較佳地,該殘留物包括後段CMP殘留物。
根據Merck Index,甲磺酸對銅具腐蝕性(Merck Index,第11版,1989,938頁)。令人驚奇地,文中所述之包含甲磺酸之第二組成物不易腐蝕經暴露的銅、鋁及/或鎢互連材料。再者,微電子裝置上之介電材料(包括低k介電材料諸如TEOS、BLACK DIAMONDTM、及其他超低k介電材料)不會受損於本發明之第二組成 物。此外,出乎意料地,在第二組成物中包含鹼性化合物以產生pH值在約1至約4之範圍內,及更佳在約2至約3之範圍內之組成物,產生具有經增加的BTA移除效率及較低銅蝕刻速率的組成物。
文中所述之第二組成物係經由簡單地添加各別成分及混合至均勻狀態而容易地調配得。此外,可輕易地將第二組成物調配為單一包裝調配物或在使用點處或使用點前混合的多份調配物,例如,可將多份調配物之個別份於工具處或於工具上游之儲槽中混合。在本發明之寬廣實務中,各別成分的濃度可在第二組成物的特定倍數內寬廣地改變,即更稀或更濃,且當明瞭第二組成物可變化及替代地包含與本文之揭示內容一致之成分的任何組合,由其所組成,或基本上由其所組成。
因此,另一態樣係關於一種套組,其包括存於一或多個容器中之一或多種適於形成本發明之組成物的組分。套組較佳包括用於在工廠或使用點處與額外的水及/或至少一種氧化劑(若存在)結合之存於一或多個容器中之至少一種錯合劑、至少一種含磺酸之烴、至少一種鹼性化合物、水、視需要之至少一種分散劑、及視需要之至少一種界面活性劑。套組之容器必需適於儲存及運送該第二組成物組分,例如,NOWPak®容器(Advanced Technology Materials,Inc.,Danbury,Conn.,USA)。
在第三態樣中,不含胺之第一及第二組成物可有效用於自微電子裝置之表面清潔後段CMP殘留物及污染物。不含胺之第一及第二組成物應不會損壞低k介電材料或實質上地腐蝕裝置表面上之金屬互連體(例如,銅)。不含胺之第一及第二組成物較佳在殘留物移除之前移除存在於裝置上之殘留物至少85%,更佳至少90%,再更佳至少 95%,及最佳至少99%。
在後段CMP殘留物及污染物清潔應用中,不含胺之第一或第二組成物可配合相當多樣的習知清潔工具(諸如超音波振盪及刷洗)來使用,其包括,但不限於Verteq單一晶圓超音波振盪Goldfinger、OnTrak系統DDS(雙面滌洗器)、SEZ單一晶圓噴洗、Applied Materials Mirra-MesaTM/ReflexionTM/Reflexion LKTM、及Megasonic分批濕式檯面系統。
在使用不含胺之第一或第二組成物來自其上具有後段CMP殘留物及污染物之微電子裝置清潔該等材料時,一般使該不含胺之第一或第二組成物與裝置在約20℃至約50℃範圍內之溫度下接觸約5秒至約10分鐘,較佳約15秒至5分鐘之時間。該等接觸時間及溫度係為說明性,可使用任何其他可有效地自裝置至少部分清潔後段CMP殘留物/污染物之適宜時間及溫度條件。「至少部分清潔」及「實質移除」皆係相當於在殘留物移除之前移除存在於裝置上之殘留物至少85%,更佳至少90%,再更佳至少95%,及最佳至少99%。
於達成期望的清潔作用後,可輕易地將不含胺之第一或第二組成物自其先前經施用的裝置移除,此可能係在本發明之組成物的給定最終應用中所期望且有效的。沖洗溶液較佳包括去離子水。其後可使用氮氣或旋轉乾燥循環來乾燥裝置。
又另一態樣係關於根據文中所述方法製得之改良的微電子裝置及包含此等微電子裝置之產品。
另一態樣係關於回收的第一或第二組成物。第一或第二組成物可經再利用直至殘留物及/或污染物負載量達到各別組成物所可容納的最大量為止,此係如熟悉技藝人士所可輕易決定。
又另一態樣係關於製造包含微電子裝置之物件的方法,該方法包括使微電子裝置與第一或第二組成物接觸足夠的時間,以自其上具有後段CMP殘留物及污染物之微電子裝置清潔該等殘留物及污染物,及將該微電子裝置併入該物件中。
[實施例1]
評估調配物A-I對於自裝置表面移除BTA之效力以及銅蝕刻速率及粗糙度。各調配物以DI水進行30:1之稀釋。裝置係銅試樣,將其用檸檬酸預處理5分鐘以移除天然氧化物。其後將試樣於1重量% BTA溶液中浸泡5分鐘並用DI水沖洗。將晶圓於各特定調配物中在室溫下在400 rpm攪拌下浸泡,接著進行去離子水沖洗及N2乾燥。使用前及後入射餘角FTIR(pre and post grazing angle-FTIR)進行測量。
實驗結果提供於表1。
可見包含檸檬酸、MSA及KOH之調配物有效率地移除BTA且展現極低的銅蝕刻速率。
[實施例2]
製備具有以下範圍之組成物且測定BTA移除效率以及銅蝕刻速率及銅粗糙度。
調配物AA:3.5重量% KH2PO4、19.36重量% N-(2-羥乙基)-2-吡咯啶酮、4重量% HEDP(60%)、使pH達到10.5之KOH、其餘的水
調配物BB:0.2-0.9重量%尿素H2O2、1-5重量% KH2PO4、15-35重量% N-(2-羥乙基)-2-吡咯啶酮、2-15重量% HEDP(60%)、使pH達到10.5-11.5之KOH、其餘的水
調配物CC:0.2-0.9重量%尿素H2O2、0.01-0.1重量% NMMO(47%)、1-5重量% KH2PO4、15-35重量% N-(2-羥乙基)-2-吡咯啶酮、2-15重量% HEDP(60%)、使pH達到10.5-11.5之KOH、其餘的水
調配物DD:0.2-0.9重量% H2O2、1-5重量% KH2PO4、15-35重量% N-(2-羥乙基)-2-吡咯啶酮、2-15重量% HEDP(60%)、使pH達到10.5-11.5之KOH、其餘的水
調配物EE:0.2-0.9重量% H2O2、0.01-0.1重量% NMMO(47%)、1-5重量% KH2PO4、15-35重量% N-(2-羥乙基)-2-吡咯啶酮、2-15重量% HEDP(60%)、使pH達到10.5-11.5之KOH、其餘的水
調配物FF:0.2-0.9重量%尿素H2O2、0.01-0.1重量% NMMO(47%)、1-5重量% KH2PO4、10-20重量%四氫噻吩碸、2-8重量% 5-磺基水楊酸、使pH達到10.5-11.5之KOH、其餘的水
調配物GG:0.2-0.9重量%尿素H2O2、1-5重量% KH2PO4、10-20重量%四氫噻吩碸、2-8重量% 5-磺基水楊酸、使pH達到10.5-11.5之KOH、其餘的水
調配物HH:0.2-0.9重量%尿素H2O2、1-5重量% KH2PO4、10-20重 量%四氫噻吩碸、2-8重量%檸檬酸、使pH達到10.5-11.5之KOH、其餘的水
調配物AA之BTA移除效率為7.14%,同時銅蝕刻速率為0.89埃/分鐘(s.d.0.10)及銅粗糙度為0.81奈米(s.d.0.03)。相對地,滿足BB-HH中揭示之範圍的調配物具有大於20%,在一些情況中大於30%之BTA移除效率,及低於5埃/分鐘之銅蝕刻速率。對於許多調配物,銅粗糙度減小。
雖然本發明已參照例示性具體例及特徵以不同方式揭示於文中,但當明瞭前文描述之具體例及特徵並不意欲限制本發明,且熟悉技藝人士基於文中之揭示內容當可明白其他的變化、修改及其他具體例。因此,應將本發明廣泛地解釋為涵蓋在後文陳述之申請專利範圍之精神及範疇內之所有該等變化、修改及替代具體例。

Claims (23)

  1. 一種用於自表面清潔殘留物及污染物之組成物,該組成物包含至少一種氧化劑、至少一種錯合劑、至少一種鹼性化合物、至少一種緩衝劑、及水,其中該組成物實質上不含通常用於化學機械拋光製程中之胺、四級鹼、含氟化物來源、及研磨劑材料。
  2. 如申請專利範圍第1項之組成物,其中,pH係在7至約12之範圍內。
  3. 如申請專利範圍第1或2項之組成物,其中,該至少一種鹼性化合物包括選自由KOH、CsOH、氫氧化銨、及其組合所組成之群之物質。
  4. 如申請專利範圍第1或2項之組成物,其中,該至少一種鹼性化合物包含KOH。
  5. 如申請專利範圍第1或2項之組成物,其中,該至少一種錯合劑包括選自由下列所組成之群之物質:乳酸、順丁烯二酸、抗壞血酸、蘋果酸、檸檬酸、苯甲酸、反丁烯二酸、琥珀酸、草酸、丙二酸、苯乙醇酸、順丁烯二酸酐、酞酸、天冬胺酸、麩胺酸、戊二酸、羥乙酸、乙醛酸、苯乙酸、奎尼酸、1,2,4,5-苯四甲酸、酒石酸、對苯二甲酸、1,2,4-苯三甲酸、1,3,5-苯三甲酸、葡萄糖酸、甘油酸、甲酸、乙酸、丙酸、丙烯酸、己二酸、衣康酸、葡萄糖醛酸、甘胺酸、離胺酸、β-丙胺酸、組胺酸、苯基丙胺酸、半胱胺酸、白胺酸、絲胺酸、8-羥基喹啉、2,4-戊二酮、苯四羧酸、丙酮酸、單寧酸、對胺苯磺酸、2-羥基膦醯羧酸(HPAA)、鄰苯二酚、五倍子酚、五倍子酸、單寧酸、乙二胺四乙酸(EDTA)、二伸乙三胺五乙酸(DTPA)、(1,2-伸環己基二氮基)四乙酸(CDTA)、亞胺二乙酸、2-膦醯丁烷-1,2,4- 三羧酸(PBTCA)、膦酸、羥基亞乙基二膦酸(HEDP)、1-羥乙烷-1,1-二膦酸、氮基-參(亞甲基膦酸)、水楊酸、對甲苯磺酸、磺基水楊酸及其衍生物、及其任何組合。
  6. 如申請專利範圍第1或2項之組成物,其中,該至少一種錯合劑包括檸檬酸、膦酸衍生物、磺基水楊酸或其衍生物、及其任何組合。
  7. 如申請專利範圍第1或2項之組成物,其中,該至少一種氧化劑包括選自由下列組成之群之物質:臭氧、硝酸、鼓泡空氣、環己胺基磺酸、過氧化氫、FeCl3、發氧方(oxone)(2KHSO5‧KHSO4‧K2SO4)、過氧單硫酸銨、亞氯酸銨、氯酸銨、碘酸銨、過硼酸銨、過氯酸銨、過碘酸銨、過硫酸銨、次氯酸銨、過硼酸鈉、過硫酸鈉、次氯酸鈉、碘酸鉀、過錳酸鉀、過硫酸鉀、過硫酸鉀、次氯酸鉀、亞氯酸四甲銨、氯酸四甲銨、碘酸四甲銨、過硼酸四甲銨、過氯酸四甲銨、過碘酸四甲銨、過硫酸四甲銨、過氧單硫酸四丁銨、過氧單硫酸、硝酸鐵、N-甲基啉-N-氧化物、三甲胺-N-氧化物、三乙胺-N-氧化物、吡啶-N-氧化物、N-乙基啉-N-氧化物、N-甲基吡咯啶-N-氧化物、N-乙基吡咯啶-N-氧化物、尿素過氧化氫、過乙酸、過碘酸、重鉻酸鉀、氯酸鉀、2-硝基酚、1,4-苯醌、過氧苯甲酸、過氧酞酸鹽、氧化釩、偏釩酸銨、鎢酸銨、硝酸鈉、硝酸鉀、硝酸銨、硝酸鍶、硫酸、及其組合。
  8. 如申請專利範圍第1或2項之組成物,其中,該至少一種氧化劑包括選自由過氧化氫、NMMO、尿素過氧化氫、及其組合所組成之群之物質。
  9. 如申請專利範圍第1或2項之組成物,其中,該至少一種緩衝劑包括選自由磷酸二鉀、碳酸鉀、硼酸、離胺酸、脯胺酸、β-丙胺酸、 乙二胺四乙酸(EDTA)、二伸乙三胺五乙酸(DTPA)、雙乙酮肟(dimethyl glyoxime)、二鹼式磷酸鹽(K2HPO4)、三鹼式磷酸鹽(K3PO4)、二鹼式及三鹼式磷酸鹽之混合物、二鹼式及三鹼式碳酸鹽之混合物、羥基亞乙基二膦酸、及其組合所組成之群之物質。
  10. 如申請專利範圍第1或2項之組成物,其中,該至少一種緩衝劑包括選自由二鹼式磷酸鹽(K2HPO4)、三鹼式磷酸鹽(K3PO4)、二鹼式及三鹼式磷酸鹽之混合物、HEDP、及其組合所組成之群之物質。
  11. 如申請專利範圍第1或2項之組成物,其中,基於該組成物之總重量,至少一種氧化劑之含量係約0.1重量%至約1重量%,至少一種錯合劑之含量係約1重量%至約25重量%,至少一種鹼性化合物之含量係約0.01重量%至約5重量%,至少一種緩衝劑之含量係約0.1重量%至約5重量%,及水係約66.5重量%至約95重量%。
  12. 如申請專利範圍第1或2項之組成物,其進一步包含至少一種溶劑合劑,其包括選自由下列所組成之群之物質:2-吡咯啶酮、1-(2-羥乙基)-2-吡咯啶酮、甘油、1,4-丁二醇、四亞甲碸(四氫噻吩碸)、二甲碸、乙二醇、丙二醇、二丙二醇、二甘醇單甲醚、三甘醇單甲醚、二甘醇單乙醚、三甘醇單乙醚、乙二醇單丙醚、乙二醇單丁醚、二甘醇單丁醚、三甘醇單丁醚、乙二醇單己醚、二甘醇單己醚、乙二醇苯基醚、丙二醇甲基醚、二丙二醇甲基醚(DPGME)、三丙二醇甲基醚(TPGME)、二丙二醇二甲基醚、二丙二醇乙基醚、丙二醇正丙基醚、二丙二醇正丙基醚(DPGPE)、三丙二醇正丙基醚、丙二醇正丁基醚、二丙二醇正丁基醚、三丙二醇正丁基醚、丙二醇苯基醚、及其組合。
  13. 如申請專利範圍第1或2項之組成物,其進一步包含至少一種溶劑 合劑,包括四氫噻吩碸、1-(2-羥乙基)-2-吡咯啶酮、及其組合。
  14. 如申請專利範圍第12項之組成物,其中,基於該組成物之總重量,至少一種溶劑合劑之含量係約5重量%至約20重量%。
  15. 如申請專利範圍第1或2項之組成物,其進一步包含至少一種選自由下列所組成之群之界面活性劑:十二烷基苯磺酸(DDBSA)、聚乙二醇、聚丙二醇、聚乙二醇或聚丙二醇醚、基於環氧乙烷及環氧丙烷之嵌段共聚物、聚氧伸乙基(40)壬苯基醚(分支鏈)、二壬苯基聚氧伸乙基、壬基酚烷氧基化物、聚乙二醇脫水山梨糖醇單油酸酯、脫水山梨糖醇單油酸酯、乙氧基化氟界面活性劑、聚氧伸乙基(16)牛脂乙基銨乙硫酸酯、聚丙烯酸銨、氟界面活性劑、聚丙烯酸酯、及其組合。
  16. 如申請專利範圍第15項之組成物,其中,基於該組成物之總重量,該至少一種界面活性劑之含量係約0.001重量%至約1重量%。
  17. 如申請專利範圍第1或2項之組成物,其中,該組成物包含尿素過氧化氫、KOH、KH2PO4、HEDP、至少一種溶劑合劑、及水,且該pH係在約7至約12之範圍內。
  18. 如申請專利範圍第1或2項之組成物,其中,該組成物包含尿素過氧化氫、KOH、KH2PO4、5-磺基水楊酸、至少一種溶劑合劑、及水,且該pH係在約7至約12之範圍內。
  19. 如申請專利範圍第1或2項之組成物,其中,該等殘留物及污染物包含選自由下列所組成之群之後段CMP殘留物及污染物:來自CMP拋光漿液之顆粒、存在於CMP拋光漿液中之化學物質、CMP拋光漿液之反應副產物、富碳顆粒、拋光墊顆粒、銅、及氧化銅。
  20. 如申請專利範圍第1或2項之組成物,其進一步包含後段CMP殘 留物及污染物。
  21. 一種自其上具有殘留物及污染物之微電子裝置清潔該等殘留物及污染物之方法,該方法包括使微電子裝置與申請專利範圍第1或2項之組成物接觸足夠的時間,以自該微電子裝置至少部分地清潔該等殘留物及污染物。
  22. 如申請專利範圍第21項之方法,其中,該等殘留物及污染物包含後段CMP殘留物及污染物。
  23. 如申請專利範圍第21或22項之方法,其中,該接觸包括選自由下列所組成之群之條件:約15秒至約5分鐘之時間;約20℃至約50℃範圍內之溫度;及其組合。
TW102105519A 2012-02-15 2013-02-18 利用後段化學機械拋光移除之組成物及其使用方法 TWI600756B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261599162P 2012-02-15 2012-02-15
US201261651287P 2012-05-24 2012-05-24
US201261656992P 2012-06-07 2012-06-07
US201261661160P 2012-06-18 2012-06-18

Publications (2)

Publication Number Publication Date
TW201343905A true TW201343905A (zh) 2013-11-01
TWI600756B TWI600756B (zh) 2017-10-01

Family

ID=48984743

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102105519A TWI600756B (zh) 2012-02-15 2013-02-18 利用後段化學機械拋光移除之組成物及其使用方法

Country Status (8)

Country Link
US (1) US10176979B2 (zh)
EP (1) EP2814895A4 (zh)
JP (1) JP2015512971A (zh)
KR (1) KR102105381B1 (zh)
CN (1) CN104508072A (zh)
SG (1) SG11201404930SA (zh)
TW (1) TWI600756B (zh)
WO (1) WO2013123317A1 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI660017B (zh) * 2016-07-14 2019-05-21 卡博特微電子公司 用於鈷化學機械拋光(cmp)之替代氧化劑
TWI671395B (zh) * 2014-01-29 2019-09-11 美商恩特葛瑞斯股份有限公司 化學機械研磨後配方及其使用方法
TWI683030B (zh) * 2015-03-11 2020-01-21 日商上村工業股份有限公司 金屬圖型外析出防止處理劑、及使用此處理劑之印刷配線基板與封裝之製造方法
TWI730115B (zh) * 2016-06-10 2021-06-11 德商巴斯夫歐洲公司 用於化學機械硏磨後清潔之組成物
TWI743026B (zh) * 2014-10-31 2021-10-21 美商恩特葛瑞斯股份有限公司 無胺之化學機械研磨後(post cmp)組成物及其使用方法
TWI754163B (zh) * 2018-08-28 2022-02-01 美商恩特葛瑞斯股份有限公司 用於鈰粒子之化學機械研磨後(post cmp)清潔組合物
TWI757405B (zh) * 2017-01-17 2022-03-11 日商大賽璐股份有限公司 半導體基板洗淨劑、及半導體元件之製造方法

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6041624B2 (ja) * 2012-10-31 2016-12-14 株式会社ネオス シリカスケール除去剤組成物
WO2014089196A1 (en) 2012-12-05 2014-06-12 Advanced Technology Materials, Inc. Compositions for cleaning iii-v semiconductor materials and methods of using same
US10472567B2 (en) 2013-03-04 2019-11-12 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
JP5692472B1 (ja) * 2013-04-12 2015-04-01 三菱瓦斯化学株式会社 銅およびチタンを含む多層膜のエッチングに使用される液体組成物、および該組成物を用いたエッチング方法、多層膜配線の製造方法、基板
SG10201708364XA (en) 2013-06-06 2017-11-29 Entegris Inc Compositions and methods for selectively etching titanium nitride
CN112442374A (zh) 2013-07-31 2021-03-05 恩特格里斯公司 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂
CN105492576B (zh) 2013-08-30 2019-01-04 恩特格里斯公司 选择性蚀刻氮化钛的组合物和方法
CN103556164B (zh) * 2013-10-28 2015-08-19 沈阳大学 一种钛铝铬氮化物硬质反应膜的退除方法
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
TWI662379B (zh) 2013-12-20 2019-06-11 美商恩特葛瑞斯股份有限公司 移除離子植入抗蝕劑之非氧化強酸類之用途
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
US20150344822A1 (en) * 2014-06-02 2015-12-03 Tetra Tech, Inc. Decontaminant and Process for Decontamination of Chemicals from Infrastructural Materials
CN107075411A (zh) * 2014-09-18 2017-08-18 应用材料公司 使用经设计的黏性流体的高效率后cmp清洗的方法与设备
EP3209815B1 (en) * 2014-10-21 2021-12-29 CMC Materials, Inc. Corrosion inhibitors and related compositions and methods
WO2017086758A1 (ko) * 2015-11-19 2017-05-26 오씨아이 주식회사 구리 식각용 조성물 및 과산화수소계 금속 식각용 조성물
SG11201804637UA (en) * 2015-12-22 2018-07-30 Basf Se Composition for post chemical-mechanical-polishing cleaning
CN108431931B (zh) 2015-12-22 2023-08-18 巴斯夫欧洲公司 用于化学机械抛光后清洁的组合物
US10418248B2 (en) * 2016-02-16 2019-09-17 Cabot Microelectronics Corporation Method of polishing group III-V materials
WO2017156304A1 (en) * 2016-03-09 2017-09-14 Entegris, Inc. Tungsten post-cmp cleaning compositions
CN105802763B (zh) * 2016-04-13 2018-08-03 乌鲁木齐市疾病预防控制中心 一种光谱分析仪器进样管路汞污染清洗剂
US9685406B1 (en) 2016-04-18 2017-06-20 International Business Machines Corporation Selective and non-selective barrier layer wet removal
RU2729485C1 (ru) * 2016-08-24 2020-08-07 Ппг Индастриз Огайо, Инк. Железосодержащая композиция очистителя
US10431464B2 (en) 2016-10-17 2019-10-01 International Business Machines Corporation Liner planarization-free process flow for fabricating metallic interconnect structures
KR102207306B1 (ko) 2016-11-25 2021-01-22 엔테그리스, 아이엔씨. 에칭 후 잔류물을 제거하기 위한 세정 조성물
EP3589778A4 (en) * 2017-02-28 2020-12-09 Ecolab USA Inc. ALKALINE CLEANING COMPOSITION CONSISTING OF HYDROXYPHOSPHONO-CARBOXYLIC ACID AND METAL CORROSION REDUCTION METHODS
US11319460B2 (en) * 2017-03-23 2022-05-03 Fujimi Incorporated Polishing composition
AT519894A1 (de) * 2017-04-29 2018-11-15 Thonhauser Gmbh Reinigungsverfahren
KR102422952B1 (ko) 2017-06-12 2022-07-19 삼성전자주식회사 금속막 연마용 슬러리 조성물 및 이를 이용하는 반도체 장치의 제조 방법
CN107338126A (zh) * 2017-06-23 2017-11-10 昆山欣谷微电子材料有限公司 一种水基微电子剥离和清洗液组合物
JP6498734B2 (ja) * 2017-08-24 2019-04-10 攝津製油株式会社 洗浄剤組成物、洗浄剤、及び洗浄方法
US11175587B2 (en) * 2017-09-29 2021-11-16 Versum Materials Us, Llc Stripper solutions and methods of using stripper solutions
US10672653B2 (en) 2017-12-18 2020-06-02 International Business Machines Corporation Metallic interconnect structures with wrap around capping layers
US11365379B2 (en) * 2018-01-25 2022-06-21 Merck Patent Gmbh Photoresist remover compositions
CN109179965B (zh) * 2018-11-01 2020-11-17 中国农业大学 一种用于污泥高效脱水的复配调理剂及污泥脱水方法
KR20200077912A (ko) * 2018-12-21 2020-07-01 주식회사 케이씨텍 세정액 조성물 및 그것을 이용한 세정 방법
KR20210113636A (ko) * 2019-01-15 2021-09-16 아토테크더치랜드게엠베하 구리 표면 상에 구리 산화물을 형성하는 방법
JP7262596B2 (ja) * 2019-02-08 2023-04-21 インテグリス・インコーポレーテッド セリア除去用組成物
US11312922B2 (en) 2019-04-12 2022-04-26 Ecolab Usa Inc. Antimicrobial multi-purpose cleaner comprising a sulfonic acid-containing surfactant and methods of making and using the same
JP2020188090A (ja) * 2019-05-13 2020-11-19 Jsr株式会社 コバルトを含む基板を処理するための半導体洗浄用または化学機械研磨用組成物
KR102609919B1 (ko) * 2019-07-11 2023-12-04 메르크 파텐트 게엠베하 포토레지스트 리무버 조성물
CN111269761B (zh) * 2020-02-13 2021-04-27 金丝甲(上海)安全防范技术有限公司 洗消液及其用于锕系核素和过渡金属核素污染洗消的用途
KR20220012521A (ko) * 2020-07-23 2022-02-04 주식회사 케이씨텍 세정액 조성물 및 이를 이용한 세정 방법
TWI824299B (zh) * 2020-09-22 2023-12-01 美商恩特葛瑞斯股份有限公司 蝕刻劑組合物
KR102284465B1 (ko) * 2020-09-24 2021-08-02 양영수 스텐레스 용기의 제조방법
AU2022249170A1 (en) 2021-04-01 2023-10-12 Sterilex, Llc Quat-free powdered disinfectant/sanitizer
CN114854500A (zh) * 2022-05-12 2022-08-05 常州时创能源股份有限公司 一种硅片清洗用添加剂、清洗液及硅片制绒后清洗方法
KR20240041391A (ko) * 2022-09-22 2024-04-01 한양대학교 산학협력단 세정 조성물 및 이를 이용한 기판의 세정방법

Family Cites Families (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
US5466389A (en) * 1994-04-20 1995-11-14 J. T. Baker Inc. PH adjusted nonionic surfactant-containing alkaline cleaner composition for cleaning microelectronics substrates
US7534752B2 (en) 1996-07-03 2009-05-19 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US6323168B1 (en) 1996-07-03 2001-11-27 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US6244785B1 (en) 1996-11-12 2001-06-12 H. B. Zachry Company Precast, modular spar system
US6755989B2 (en) 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US8092707B2 (en) 1997-04-30 2012-01-10 3M Innovative Properties Company Compositions and methods for modifying a surface suited for semiconductor fabrication
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
EP1125168A1 (en) 1998-05-18 2001-08-22 Advanced Technology Materials, Inc. Stripping compositions for semiconductor substrates
US6875733B1 (en) 1998-10-14 2005-04-05 Advanced Technology Materials, Inc. Ammonium borate containing compositions for stripping residues from semiconductor substrates
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6723691B2 (en) 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6492308B1 (en) 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6927176B2 (en) 2000-06-26 2005-08-09 Applied Materials, Inc. Cleaning method and solution for cleaning a wafer in a single wafer process
US6361407B1 (en) * 2000-08-02 2002-03-26 Memc Electronic Materials, Inc. Method of polishing a semiconductor wafer
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6627587B2 (en) 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US20030119692A1 (en) 2001-12-07 2003-06-26 So Joseph K. Copper polishing cleaning solution
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
EP1520211A2 (en) * 2002-06-07 2005-04-06 Mallinckrodt Baker, Inc. Microelectronic cleaning compositions containing oxidizers and organic solvents
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
TWI258504B (en) * 2003-01-07 2006-07-21 Tosoh Corp Washing solution and washing method using the same
US7736405B2 (en) 2003-05-12 2010-06-15 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for copper and associated materials and method of using same
US7056648B2 (en) 2003-09-17 2006-06-06 International Business Machines Corporation Method for isotropic etching of copper
CN1875325B (zh) * 2003-10-29 2011-01-26 马林克罗特贝克公司 含有金属卤化物腐蚀抑制剂的碱性后等离子体蚀刻/灰化残余物去除剂和光致抗蚀剂剥离组合物
US7888301B2 (en) 2003-12-02 2011-02-15 Advanced Technology Materials, Inc. Resist, barc and gap fill material stripping chemical and method
US20050145311A1 (en) 2003-12-30 2005-07-07 Walker Elizabeth L. Method for monitoring surface treatment of copper containing devices
US7390744B2 (en) * 2004-01-29 2008-06-24 Applied Materials, Inc. Method and composition for polishing a substrate
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US7253111B2 (en) 2004-04-21 2007-08-07 Rohm And Haas Electronic Materials Cmp Holding, Inc. Barrier polishing solution
US7323421B2 (en) * 2004-06-16 2008-01-29 Memc Electronic Materials, Inc. Silicon wafer etching process and composition
US20060063687A1 (en) 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060148666A1 (en) 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US7923423B2 (en) 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
WO2006086265A2 (en) * 2005-02-07 2006-08-17 Applied Materials, Inc. Method and composition for polishing a substrate
US7365045B2 (en) 2005-03-30 2008-04-29 Advanced Tehnology Materials, Inc. Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide
WO2006110645A2 (en) 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
US20070251551A1 (en) 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
WO2006133253A1 (en) 2005-06-07 2006-12-14 Advanced Technology Materials, Inc. Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
TW200709294A (en) 2005-06-13 2007-03-01 Advanced Tech Materials Compositions and methods for selective removal of metal or metal alloy after metal silicide formation
WO2007027522A2 (en) 2005-08-29 2007-03-08 Advanced Technology Materials, Inc. Composition and method for removing thick film photoresist
SG10201508025VA (en) 2005-10-05 2015-10-29 Entegris Inc Composition and method for selectively etching gate spacer oxide material
CN101366107B (zh) * 2005-10-05 2011-08-24 高级技术材料公司 用于除去蚀刻后残余物的含水氧化清洗剂
WO2007047365A2 (en) 2005-10-13 2007-04-26 Advanced Technology Materials, Inc. Metals compatible photoresist and/or sacrificial antireflective coating removal composition
CN101356629B (zh) 2005-11-09 2012-06-06 高级技术材料公司 用于将其上具有低k介电材料的半导体晶片再循环的组合物和方法
TW200734448A (en) 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US20080076688A1 (en) 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
EP2082024A4 (en) 2006-09-25 2010-11-17 Advanced Tech Materials COMPOSITIONS AND METHODS FOR REMOVING A PHOTORESISTANT AGENT FOR RECYCLING A SILICON GALETTE
US20080125342A1 (en) 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
TWI611047B (zh) 2006-12-21 2018-01-11 恩特葛瑞斯股份有限公司 用以移除蝕刻後殘餘物之液體清洗劑
SG177201A1 (en) 2006-12-21 2012-01-30 Advanced Tech Materials Compositions and methods for the selective removal of silicon nitride
TWI516573B (zh) 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
CN101632042B (zh) 2007-03-16 2012-06-13 三菱瓦斯化学株式会社 洗涤用组合物、半导体元件的制造方法
US20100112728A1 (en) 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
US7976723B2 (en) 2007-05-17 2011-07-12 International Business Machines Corporation Method for kinetically controlled etching of copper
WO2008157345A2 (en) 2007-06-13 2008-12-24 Advanced Technology Materials, Inc. Wafer reclamation compositions and methods
JP2010535422A (ja) * 2007-08-02 2010-11-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド マイクロ電子デバイスから残渣を除去するための非フッ化物含有組成物
EP2190967A4 (en) 2007-08-20 2010-10-13 Advanced Tech Materials COMPOSITION AND METHOD FOR REMOVING AN ION IMPLANTATION PHOTORESIST
CN101946310B (zh) * 2008-02-15 2012-09-12 狮王株式会社 清洗剂组合物及电子设备用基板的清洗方法
SG188848A1 (en) 2008-03-07 2013-04-30 Advanced Tech Materials Non-selective oxide etch wet clean composition and method of use
JP2011520142A (ja) 2008-05-01 2011-07-14 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 高密度注入レジストの除去のための低pH混合物
WO2010017160A2 (en) 2008-08-04 2010-02-11 Advanced Technology Materials, Inc. Environmentally friendly polymer stripping compositions
US8252119B2 (en) 2008-08-20 2012-08-28 Micron Technology, Inc. Microelectronic substrate cleaning systems with polyelectrolyte and associated methods
CN102217042A (zh) 2008-10-02 2011-10-12 高级技术材料公司 表面活性剂/消泡剂混合物用于增强硅基板的金属负载及表面钝化的应用
JP5873718B2 (ja) 2008-10-21 2016-03-01 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅の洗浄及び保護配合物
SG173172A1 (en) 2009-01-28 2011-08-29 Advanced Tech Materials Lithographic tool in situ clean formulations
WO2010086745A1 (en) 2009-02-02 2010-08-05 Atmi Taiwan Co., Ltd. Method of etching lanthanum-containing oxide layers
WO2010091045A2 (en) 2009-02-05 2010-08-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of polymers and other organic material from a surface
US8754021B2 (en) * 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
US20110151671A1 (en) * 2009-12-17 2011-06-23 Rohm And Haas Electronic Materials Llc method of texturing semiconductor substrates
TWI513815B (zh) 2010-01-29 2015-12-21 Entegris Inc 供附有金屬佈線之半導體用清洗劑
TWI548738B (zh) 2010-07-16 2016-09-11 安堤格里斯公司 用於移除蝕刻後殘餘物之水性清潔劑
JP2012036750A (ja) 2010-08-04 2012-02-23 Panasonic Corp 圧縮機
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
KR20130100297A (ko) 2010-08-27 2013-09-10 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 건조 동안의 높은 종횡비 구조물의 붕괴 방지 방법
CN103154321B (zh) 2010-10-06 2015-11-25 安格斯公司 选择性蚀刻金属氮化物的组合物及方法
WO2012051380A2 (en) 2010-10-13 2012-04-19 Advanced Technology Materials, Inc. Composition for and method of suppressing titanium nitride corrosion
JPWO2012066894A1 (ja) 2010-11-19 2014-05-12 三菱瓦斯化学株式会社 半導体基板の洗浄用液体組成物およびそれを用いた半導体基板の洗浄方法
KR102064487B1 (ko) 2011-01-13 2020-01-10 엔테그리스, 아이엔씨. 세륨-함유 용액에 의해 발생된 입자의 제거를 위한 배합물
JP2012186470A (ja) 2011-02-18 2012-09-27 Sanyo Chem Ind Ltd 銅配線半導体用洗浄剤
JP2012251026A (ja) 2011-05-31 2012-12-20 Sanyo Chem Ind Ltd 半導体用洗浄剤
WO2012174518A2 (en) 2011-06-16 2012-12-20 Advanced Technology Materials, Inc. Compositions and methods for selectively etching silicon nitride
US9257270B2 (en) 2011-08-15 2016-02-09 Ekc Technology Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
US20130045908A1 (en) 2011-08-15 2013-02-21 Hua Cui Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
US8618036B2 (en) 2011-11-14 2013-12-31 International Business Machines Corporation Aqueous cerium-containing solution having an extended bath lifetime for removing mask material
JP6066552B2 (ja) 2011-12-06 2017-01-25 関東化學株式会社 電子デバイス用洗浄液組成物
SG10201605172RA (en) 2011-12-28 2016-08-30 Entegris Inc Compositions and methods for selectively etching titanium nitride
WO2013138278A1 (en) 2012-03-12 2013-09-19 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
WO2013138276A1 (en) 2012-03-12 2013-09-19 Advanced Technology Materials, Inc. Methods for the selective removal of ashed spin-on glass
WO2014089196A1 (en) 2012-12-05 2014-06-12 Advanced Technology Materials, Inc. Compositions for cleaning iii-v semiconductor materials and methods of using same

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI671395B (zh) * 2014-01-29 2019-09-11 美商恩特葛瑞斯股份有限公司 化學機械研磨後配方及其使用方法
TWI743026B (zh) * 2014-10-31 2021-10-21 美商恩特葛瑞斯股份有限公司 無胺之化學機械研磨後(post cmp)組成物及其使用方法
TWI683030B (zh) * 2015-03-11 2020-01-21 日商上村工業股份有限公司 金屬圖型外析出防止處理劑、及使用此處理劑之印刷配線基板與封裝之製造方法
TWI730115B (zh) * 2016-06-10 2021-06-11 德商巴斯夫歐洲公司 用於化學機械硏磨後清潔之組成物
TWI660017B (zh) * 2016-07-14 2019-05-21 卡博特微電子公司 用於鈷化學機械拋光(cmp)之替代氧化劑
US11851584B2 (en) 2016-07-14 2023-12-26 Cmc Materials, Inc. Alternative oxidizing agents for cobalt CMP
TWI757405B (zh) * 2017-01-17 2022-03-11 日商大賽璐股份有限公司 半導體基板洗淨劑、及半導體元件之製造方法
TWI754163B (zh) * 2018-08-28 2022-02-01 美商恩特葛瑞斯股份有限公司 用於鈰粒子之化學機械研磨後(post cmp)清潔組合物

Also Published As

Publication number Publication date
EP2814895A1 (en) 2014-12-24
SG11201404930SA (en) 2014-09-26
US20160020087A1 (en) 2016-01-21
TWI600756B (zh) 2017-10-01
US10176979B2 (en) 2019-01-08
EP2814895A4 (en) 2015-10-07
KR102105381B1 (ko) 2020-04-29
CN104508072A (zh) 2015-04-08
JP2015512971A (ja) 2015-04-30
KR20140139498A (ko) 2014-12-05
WO2013123317A1 (en) 2013-08-22

Similar Documents

Publication Publication Date Title
TWI600756B (zh) 利用後段化學機械拋光移除之組成物及其使用方法
TWI705134B (zh) 無胺之化學機械研磨後(post cmp)組成物及其使用方法
JP6339555B2 (ja) 高いwn/w選択率を有するストリッピング組成物
TWI513799B (zh) 用於回收具有低k介電材料之半導體晶圓的組成物及方法
KR101912400B1 (ko) TiN 하드 마스크 및 에치 잔류물 제거
US9416338B2 (en) Composition for and method of suppressing titanium nitride corrosion
TWI507521B (zh) 銅鈍化之後段化學機械拋光清洗組成物及利用該組成物之方法
US8754021B2 (en) Non-amine post-CMP composition and method of use
US20080076688A1 (en) Copper passivating post-chemical mechanical polishing cleaning composition and method of use
KR101997950B1 (ko) 반도체 디바이스용 세정액 및 반도체 디바이스용 기판의 세정 방법
WO2005076332A1 (ja) 半導体デバイス用基板洗浄液及び洗浄方法
WO2003065433A1 (fr) Detergent liquide pour substrat de dispositif semi-conducteur et procede de nettoyage
JP2013119579A (ja) 電子デバイス用洗浄液組成物
JPWO2012066894A1 (ja) 半導体基板の洗浄用液体組成物およびそれを用いた半導体基板の洗浄方法
TWI743026B (zh) 無胺之化學機械研磨後(post cmp)組成物及其使用方法
JP2015203047A (ja) 半導体デバイス用基板洗浄液及び半導体デバイス用基板の洗浄方法