SG10201508243UA - Oxidizing aqueous cleaner for the removal of post-etch residues - Google Patents

Oxidizing aqueous cleaner for the removal of post-etch residues

Info

Publication number
SG10201508243UA
SG10201508243UA SG10201508243UA SG10201508243UA SG10201508243UA SG 10201508243U A SG10201508243U A SG 10201508243UA SG 10201508243U A SG10201508243U A SG 10201508243UA SG 10201508243U A SG10201508243U A SG 10201508243UA SG 10201508243U A SG10201508243U A SG 10201508243UA
Authority
SG
Singapore
Prior art keywords
post
removal
etch residues
oxidizing aqueous
aqueous cleaner
Prior art date
Application number
SG10201508243UA
Inventor
David W Minsek
Michael B Korzenski
Martha Rajaratnam
Mackenzie King
David Angst
Original Assignee
Entegris Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Entegris Inc filed Critical Entegris Inc
Publication of SG10201508243UA publication Critical patent/SG10201508243UA/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/06Hydroxides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/04Cleaning or pickling metallic material with solutions or molten salts with acid solutions using inhibitors
    • C23G1/06Cleaning or pickling metallic material with solutions or molten salts with acid solutions using inhibitors organic inhibitors
    • C23G1/061Cleaning or pickling metallic material with solutions or molten salts with acid solutions using inhibitors organic inhibitors nitrogen-containing compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/10Other heavy metals
    • C23G1/103Other heavy metals copper or alloys of copper
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/10Other heavy metals
    • C23G1/106Other heavy metals refractory metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/16Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions using inhibitors
    • C23G1/18Organic inhibitors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/20Other heavy metals
    • C23G1/205Other heavy metals refractory metals
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • C11D2111/22
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/33Amino carboxylic acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3942Inorganic per-compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
SG10201508243UA 2005-10-05 2006-10-04 Oxidizing aqueous cleaner for the removal of post-etch residues SG10201508243UA (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US72377605P 2005-10-05 2005-10-05
US74525106P 2006-04-20 2006-04-20
US74531606P 2006-04-21 2006-04-21

Publications (1)

Publication Number Publication Date
SG10201508243UA true SG10201508243UA (en) 2015-11-27

Family

ID=37943128

Family Applications (1)

Application Number Title Priority Date Filing Date
SG10201508243UA SG10201508243UA (en) 2005-10-05 2006-10-04 Oxidizing aqueous cleaner for the removal of post-etch residues

Country Status (8)

Country Link
US (3) US7922824B2 (en)
EP (1) EP1932174A4 (en)
JP (1) JP2009512194A (en)
KR (1) KR101444468B1 (en)
CN (1) CN101366107B (en)
SG (1) SG10201508243UA (en)
TW (1) TWI428442B (en)
WO (1) WO2007044446A1 (en)

Families Citing this family (127)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101444468B1 (en) 2005-10-05 2014-10-30 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Oxidizing aqueous cleaner for the removal of post-etch residues
WO2007120259A2 (en) * 2005-11-08 2007-10-25 Advanced Technology Materials, Inc. Formulations for removing copper-containing post-etch residue from microelectronic devices
EP1946358A4 (en) 2005-11-09 2009-03-04 Advanced Tech Materials Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
EP1965618B1 (en) * 2005-12-20 2012-11-14 Mitsubishi Gas Chemical Company, Inc. Composition for removing residue from wiring board and cleaning method
US7674755B2 (en) * 2005-12-22 2010-03-09 Air Products And Chemicals, Inc. Formulation for removal of photoresist, etch residue and BARC
DE102006053890A1 (en) * 2006-11-14 2008-05-21 Eads Deutschland Gmbh Warfare agent detector for the detection of chemical warfare agents, manufacturing process and use of a substrate as a warfare agent detector
TWI449784B (en) * 2006-12-21 2014-08-21 Advanced Tech Materials Liquid cleaner for the removal of post-etch residues
TWI516573B (en) * 2007-02-06 2016-01-11 安堤格里斯公司 Composition and process for the selective removal of tisin
US20080224092A1 (en) * 2007-03-15 2008-09-18 Samsung Electronics Co., Ltd. Etchant for metal
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
US7976723B2 (en) * 2007-05-17 2011-07-12 International Business Machines Corporation Method for kinetically controlled etching of copper
US8623236B2 (en) * 2007-07-13 2014-01-07 Tokyo Ohka Kogyo Co., Ltd. Titanium nitride-stripping liquid, and method for stripping titanium nitride coating film
WO2009047588A1 (en) * 2007-10-09 2009-04-16 Freescale Semiconductor, Inc. Method for manufacturing a semiconductor device and semiconductor device obtainable with such a method
US20090120457A1 (en) * 2007-11-09 2009-05-14 Surface Chemistry Discoveries, Inc. Compositions and method for removing coatings and preparation of surfaces for use in metal finishing, and manufacturing of electronic and microelectronic devices
KR101569338B1 (en) 2007-11-13 2015-11-17 사켐,인코포레이티드 High negative zeta potential polyhedral silsesquioxane composition and method for damage free semiconductor wet clean
JP2011503899A (en) * 2007-11-16 2011-01-27 イー.ケー.シー.テクノロジー.インコーポレーテッド Composition for removing metal hard mask etching residue from a semiconductor substrate
TWI448551B (en) * 2008-02-15 2014-08-11 Lion Corp Detergent composition and washing method of substrate for electronic device
US20090241988A1 (en) * 2008-03-31 2009-10-01 Intel Corporation Photoresist and antireflective layer removal solution and method thereof
TWI480104B (en) * 2008-04-24 2015-04-11 Graco Minnesota Inc Method of cleaning fast setting material spray equipment
US7825079B2 (en) * 2008-05-12 2010-11-02 Ekc Technology, Inc. Cleaning composition comprising a chelant and quaternary ammonium hydroxide mixture
WO2010048139A2 (en) * 2008-10-21 2010-04-29 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
CN101750912A (en) * 2008-11-28 2010-06-23 安集微电子(上海)有限公司 Photoresist detergent composition
KR101538386B1 (en) * 2008-12-26 2015-07-22 주식회사 동부하이텍 Method for Manufacturing Metal Wiring of Semiconductor Device
US8143164B2 (en) * 2009-02-09 2012-03-27 Intermolecular, Inc. Formation of a zinc passivation layer on titanium or titanium alloys used in semiconductor processing
US8754021B2 (en) 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
US9481937B2 (en) * 2009-04-30 2016-11-01 Asm America, Inc. Selective etching of reactor surfaces
CN102449745A (en) * 2009-04-30 2012-05-09 狮王株式会社 Method for cleaning of semiconductor substrate and acidic solution
SG183510A1 (en) * 2010-03-05 2012-09-27 Lam Res Corp Cleaning solution for sidewall polymer of damascene processes
JP5513196B2 (en) * 2010-03-25 2014-06-04 富士フイルム株式会社 Cleaning composition and method for manufacturing semiconductor device
CN102220133B (en) * 2010-04-19 2014-02-12 深圳富泰宏精密工业有限公司 Stripping solution of titanium carbide and/or titanium nitride film and stripping method
TWI548738B (en) 2010-07-16 2016-09-11 安堤格里斯公司 Aqueous cleaner for the removal of post-etch residues
JP5567926B2 (en) * 2010-07-29 2014-08-06 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
JP6101421B2 (en) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド Etching solution for copper or copper alloy
TWI558818B (en) 2010-08-20 2016-11-21 恩特葛瑞斯股份有限公司 Sustainable process for reclaiming precious metals and base metals from e-waste
SG10201508015RA (en) 2010-10-06 2015-10-29 Entegris Inc Composition and process for selectively etching metal nitrides
WO2012051380A2 (en) 2010-10-13 2012-04-19 Advanced Technology Materials, Inc. Composition for and method of suppressing titanium nitride corrosion
US20120090648A1 (en) * 2010-10-15 2012-04-19 United Microelectronics Corp. Cleaning method for semiconductor wafer and cleaning device for semiconductor wafer
DE102010054866A1 (en) * 2010-12-17 2011-08-18 Clariant International Ltd. Composition, useful e.g. for bleaching and/or dyeing hair and as oxidative cleaning formulation, comprises substance comprising hydrogen peroxide and hydrogen peroxide releasing substances, water and substances comprising hydroxypyridones
US20140318584A1 (en) 2011-01-13 2014-10-30 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium-containing solutions
KR20140012660A (en) * 2011-03-11 2014-02-03 바스프 에스이 Method for forming through-base wafer vias
JP5519728B2 (en) * 2011-05-17 2014-06-11 富士フイルム株式会社 Etching method, etching solution used therefor, and method for manufacturing semiconductor device using the same
KR101983202B1 (en) * 2011-06-01 2019-05-28 아반토 퍼포먼스 머티리얼즈, 엘엘씨 Semi-aqueous polymer removal compositions with enhanced compatibility to copper, tungsten, and porous low-k dielectrics
US9257270B2 (en) * 2011-08-15 2016-02-09 Ekc Technology Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
JP5933950B2 (en) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Etching solution for copper or copper alloy
US10133180B2 (en) 2011-10-05 2018-11-20 Avantor Performance Materials Microelectronic substrate cleaning compositions having copper/azole polymer inhibition
CN103958640B (en) * 2011-10-21 2016-05-18 安格斯公司 Without compoistion and method of use after amine CMP
WO2013086217A1 (en) 2011-12-06 2013-06-13 Masco Corporation Of Indiana Ozone distribution in a faucet
EP2798669B1 (en) 2011-12-28 2021-03-31 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US8835326B2 (en) * 2012-01-04 2014-09-16 International Business Machines Corporation Titanium-nitride removal
US20130200040A1 (en) * 2012-01-04 2013-08-08 International Business Machines Corporation Titanium nitride removal
US9070625B2 (en) 2012-01-04 2015-06-30 International Business Machines Corporation Selective etch chemistry for gate electrode materials
KR102105381B1 (en) * 2012-02-15 2020-04-29 엔테그리스, 아이엔씨. Post-cmp removal using compositions and method of use
US20150045277A1 (en) * 2012-03-18 2015-02-12 Entegris, Inc. Post-cmp formulation having improved barrier layer compatibility and cleaning performance
CN102660198B (en) * 2012-04-11 2013-10-16 南京航空航天大学 Waterless abrasive-free polishing solution for chemical-mechanical polishing of flexible, crisp and deliquescent crystals
US9678430B2 (en) 2012-05-18 2017-06-13 Entegris, Inc. Composition and process for stripping photoresist from a surface including titanium nitride
CN104412376B (en) * 2012-07-17 2017-02-08 三井化学株式会社 Semiconductor device and method for manufacturing same, and rinsing fluid
WO2014014125A1 (en) * 2012-07-20 2014-01-23 Fujifilm Corporation Etching method, and method of producing semiconductor substrate product and semiconductor device using the same
JP2014022657A (en) * 2012-07-20 2014-02-03 Fujifilm Corp Etching method, semiconductor substrate product and semiconductor element manufacturing method using the same, and etchant preparation kit
KR102002131B1 (en) 2012-08-03 2019-07-22 삼성디스플레이 주식회사 Etchant composition and manufacturing method for thin film transistor using the same
US9058976B2 (en) * 2012-11-06 2015-06-16 International Business Machines Corporation Cleaning composition and process for cleaning semiconductor devices and/or tooling during manufacturing thereof
KR102118964B1 (en) 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Compositions for cleaning iii-v semiconductor materials and methods of using same
CN102978033B (en) * 2012-12-25 2014-04-09 上海三瑞化学有限公司 Rubber floor refurbished agent and preparation method thereof
CN103046052B (en) * 2012-12-27 2016-01-20 广东山之风环保科技有限公司 The stripping liquid of environment-friendly type titanium-containing film and using method thereof
US8987133B2 (en) * 2013-01-15 2015-03-24 International Business Machines Corporation Titanium oxynitride hard mask for lithographic patterning
SG11201507014RA (en) 2013-03-04 2015-10-29 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
KR20170066697A (en) * 2013-03-16 2017-06-14 피알시-데소토 인터내쇼날, 인코포레이티드 Azole compounds as corrosion inhibitors
US8853095B1 (en) 2013-05-30 2014-10-07 International Business Machines Corporation Hybrid hard mask for damascene and dual damascene
TWI651396B (en) 2013-06-06 2019-02-21 美商恩特葛瑞斯股份有限公司 Compositions and methods for selectively etching titanium nitride
EP3027709A4 (en) 2013-07-31 2017-03-29 Entegris, Inc. AQUEOUS FORMULATIONS FOR REMOVING METAL HARD MASK AND POST-ETCH RESIDUE WITH Cu/W COMPATIBILITY
JP6464578B2 (en) * 2013-08-01 2019-02-06 三菱瓦斯化学株式会社 Method for manufacturing printed wiring board
CN103416397A (en) * 2013-08-19 2013-12-04 南通市通州区益君劳务有限公司 Spray special for cleaning eucalyptus leaves and production method thereof
SG10201801575YA (en) 2013-08-30 2018-03-28 Entegris Inc Compositions and methods for selectively etching titanium nitride
US9291910B2 (en) * 2013-09-27 2016-03-22 Dynaloy, Llc Aqueous solution and process for removing substances from substrates
US20150104952A1 (en) * 2013-10-11 2015-04-16 Ekc Technology, Inc. Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper
US10828680B2 (en) 2013-11-11 2020-11-10 Tokyo Electron Limited System and method for enhanced removal of metal hardmask using ultra violet treatment
WO2015070168A1 (en) * 2013-11-11 2015-05-14 Tokyo Electron Limited Method and hardware for enhanced removal of post etch polymer and hardmask removal
WO2015089023A1 (en) 2013-12-11 2015-06-18 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
TWI654340B (en) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge SELECTIVE ETCH FORMULATIONS AND METHOD OF USING SAME
EP3084809A4 (en) 2013-12-20 2017-08-23 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
TWI659088B (en) * 2014-03-18 2019-05-11 Fujifilm Electronic Materials U. S. A., Inc. Etching composition
EP3143117B1 (en) 2014-05-13 2019-09-04 Basf Se Tin pull-back and cleaning composition
US20150344822A1 (en) * 2014-06-02 2015-12-03 Tetra Tech, Inc. Decontaminant and Process for Decontamination of Chemicals from Infrastructural Materials
WO2016003729A1 (en) * 2014-06-30 2016-01-07 Entegris, Inc. Aqueous and semi-aqueous cleaners for the removal of post-etch residues with tungsten and cobalt compatibility
JP6292728B2 (en) * 2014-07-07 2018-03-14 富士フイルム株式会社 Etching residue removing composition, etching residue removing method and etching residue removing kit using the same, and magnetoresistive memory manufacturing method
JP6460729B2 (en) * 2014-10-31 2019-01-30 富士フイルム株式会社 Substrate processing method and semiconductor device manufacturing method
US10301580B2 (en) 2014-12-30 2019-05-28 Versum Materials Us, Llc Stripping compositions having high WN/W etching selectivity
JP6429079B2 (en) * 2015-02-12 2018-11-28 メック株式会社 Etching solution and etching method
US9976111B2 (en) 2015-05-01 2018-05-22 Versum Materials Us, Llc TiN hard mask and etch residual removal
US10233413B2 (en) * 2015-09-23 2019-03-19 Versum Materials Us, Llc Cleaning formulations
CN105238589B (en) * 2015-10-19 2018-07-06 大连碳氢氧环保科技有限公司 The all-round efficient cleaning agent of aerosol pot and manufacturing method
US10538846B2 (en) * 2015-12-11 2020-01-21 Dongwoo Fine-Chem Co., Ltd. Etching solution composition for tungsten layer, method for preparing electronic device using the same and electronic device
CN115093008A (en) 2015-12-21 2022-09-23 德尔塔阀门公司 Fluid delivery system comprising a disinfection device
US10157801B2 (en) * 2016-01-04 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Detecting the cleanness of wafer after post-CMP cleaning
CN105755480B (en) * 2016-05-17 2018-06-19 江苏筑磊电子科技有限公司 Efficient acidic cleaner
TWI660017B (en) * 2016-07-14 2019-05-21 卡博特微電子公司 Alternative oxidizing agents for cobalt cmp
CN106281789B (en) * 2016-08-11 2018-10-26 江阴江化微电子材料股份有限公司 Residue cleaning agent after a kind of wiring substrate dry etching
US10431464B2 (en) 2016-10-17 2019-10-01 International Business Machines Corporation Liner planarization-free process flow for fabricating metallic interconnect structures
KR102207306B1 (en) * 2016-11-25 2021-01-22 엔테그리스, 아이엔씨. Cleaning composition for removing residue after etching
CN107338442A (en) * 2017-06-20 2017-11-10 祝国忠 Handle copper and the lotion and its processing method of copper alloy surface oxide layer
CN107384563A (en) * 2017-07-20 2017-11-24 湖南省鎏源新能源有限责任公司 A kind of type activators of SH 1
CN107338116B (en) * 2017-07-25 2019-08-09 上海新阳半导体材料股份有限公司 A kind of cleaning agent, preparation method and application
CN107229193B (en) * 2017-07-25 2019-04-23 上海新阳半导体材料股份有限公司 A kind of cleaning agent, preparation method and application
CN107357143B (en) 2017-07-25 2018-06-19 上海新阳半导体材料股份有限公司 A kind of cleaning agent, preparation method and application
KR102372892B1 (en) * 2017-08-10 2022-03-10 삼성전자주식회사 method of manufacturing integrated circuit device
KR102295991B1 (en) * 2017-08-31 2021-09-01 후지필름 가부시키가이샤 Treatment solution, kit, and substrate cleaning method
CN111465716A (en) * 2017-12-08 2020-07-28 巴斯夫欧洲公司 Compositions and methods for selectively etching a layer comprising an aluminum compound in the presence of a layer of a low-K material, copper, and/or cobalt
US10672653B2 (en) 2017-12-18 2020-06-02 International Business Machines Corporation Metallic interconnect structures with wrap around capping layers
US20210189298A1 (en) * 2018-04-04 2021-06-24 Basf Se IMIDAZOLIDINETHIONE-CONTAINING COMPOSITIONS FOR POST-ASH RESIDUE REMOVAL AND/OR FOR OXIDATIVE ETCHING OF A LAYER OR MASK COMPRISING TiN
TWI791535B (en) * 2018-06-11 2023-02-11 德商巴斯夫歐洲公司 Post etching residues cleaning solution with titanium nitride removal
US11085011B2 (en) * 2018-08-28 2021-08-10 Entegris, Inc. Post CMP cleaning compositions for ceria particles
CN109328393B (en) 2018-09-26 2020-03-27 长江存储科技有限责任公司 Step coverage improvement of memory channel layer in 3D NAND memory
CN110004451B (en) * 2019-04-17 2020-12-08 上海丰野表面处理剂有限公司 Environment-friendly metal surface treating agent and preparation method thereof
US11164780B2 (en) 2019-06-07 2021-11-02 Applied Materials, Inc. Process integration approach for selective metal via fill
JP2020202320A (en) * 2019-06-12 2020-12-17 関東化学株式会社 Hydrogen peroxide decomposition inhibitor
EP4029050A4 (en) * 2019-09-10 2022-10-12 FUJIFILM Electronic Materials U.S.A, Inc. Etching composition
JP6823819B1 (en) * 2019-11-20 2021-02-03 パナソニックIpマネジメント株式会社 Resist stripper
CA3077837A1 (en) * 2020-04-03 2021-10-03 Fluid Energy Group Ltd. Hard surface cleaner
WO2021211708A1 (en) * 2020-04-14 2021-10-21 Entegris, Inc. Method and composition for etching molybdenum
US11605544B2 (en) * 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures
TWI824299B (en) * 2020-09-22 2023-12-01 美商恩特葛瑞斯股份有限公司 Etchant compositions
CN116235282A (en) 2020-09-29 2023-06-06 三菱瓦斯化学株式会社 Composition for cleaning semiconductor substrate and cleaning method
CN112592775B (en) * 2020-12-07 2021-10-12 湖北兴福电子材料有限公司 Control separation blade cleaning solution and cleaning method
US20230178361A1 (en) * 2021-12-07 2023-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Devices and Methods of Manufacture
KR102558255B1 (en) * 2022-10-06 2023-07-20 윤새길 Urea-solution efflorescence dissolving additive and its manufacturing method

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4443295A (en) * 1983-06-13 1984-04-17 Fairchild Camera & Instrument Corp. Method of etching refractory metal film on semiconductor structures utilizing triethylamine and H2 O2
US6546939B1 (en) * 1990-11-05 2003-04-15 Ekc Technology, Inc. Post clean treatment
US6200910B1 (en) * 1996-06-25 2001-03-13 Texas Instruments Incorporated Selective titanium nitride strip
US20040134873A1 (en) * 1996-07-25 2004-07-15 Li Yao Abrasive-free chemical mechanical polishing composition and polishing process containing same
KR20010066769A (en) * 1999-04-20 2001-07-11 가네꼬 히사시 Cleaning liquid
US6344432B1 (en) * 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6417147B2 (en) * 2000-02-29 2002-07-09 Showa Denko K.K. Cleaning agent composition, method for cleaning and use thereof
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
KR100863159B1 (en) * 2000-12-22 2008-10-13 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 Composition comprising an oxidizing and complexing compoung
US7029373B2 (en) * 2001-08-14 2006-04-18 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6692546B2 (en) * 2001-08-14 2004-02-17 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US20030148624A1 (en) * 2002-01-31 2003-08-07 Kazuto Ikemoto Method for removing resists
US6773873B2 (en) * 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
WO2003091376A1 (en) 2002-04-24 2003-11-06 Ekc Technology, Inc. Oxalic acid as a cleaning product for aluminium, copper and dielectric surfaces
US6669785B2 (en) * 2002-05-15 2003-12-30 Micell Technologies, Inc. Methods and compositions for etch cleaning microelectronic substrates in carbon dioxide
EP1520211A2 (en) * 2002-06-07 2005-04-06 Mallinckrodt Baker, Inc. Microelectronic cleaning compositions containing oxidizers and organic solvents
US6849200B2 (en) * 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US8236485B2 (en) * 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
SG129274A1 (en) * 2003-02-19 2007-02-26 Mitsubishi Gas Chemical Co Cleaaning solution and cleaning process using the solution
US7736405B2 (en) * 2003-05-12 2010-06-15 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for copper and associated materials and method of using same
US7671001B2 (en) * 2003-10-29 2010-03-02 Mallinckrodt Baker, Inc. Alkaline, post plasma etch/ash residue removers and photoresist stripping compositions containing metal-halide corrosion inhibitors
US7419911B2 (en) * 2003-11-10 2008-09-02 Ekc Technology, Inc. Compositions and methods for rapidly removing overfilled substrates
PL1720966T3 (en) * 2004-03-01 2011-06-30 Avantor Performance Mat Inc Nanoelectronic and microelectronic cleaning compositions
US8338087B2 (en) * 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
JP4440689B2 (en) * 2004-03-31 2010-03-24 東友ファインケム株式会社 Resist stripper composition
US20060073997A1 (en) * 2004-09-30 2006-04-06 Lam Research Corporation Solutions for cleaning silicon semiconductors or silicon oxides
US20060154186A1 (en) * 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
JP2008546036A (en) 2005-06-07 2008-12-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Metal and dielectric compatible sacrificial antireflective coating purification and removal composition
KR101444468B1 (en) 2005-10-05 2014-10-30 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Oxidizing aqueous cleaner for the removal of post-etch residues

Also Published As

Publication number Publication date
US20110186086A1 (en) 2011-08-04
EP1932174A4 (en) 2009-09-23
KR20080064147A (en) 2008-07-08
EP1932174A1 (en) 2008-06-18
KR101444468B1 (en) 2014-10-30
CN101366107B (en) 2011-08-24
TW200730621A (en) 2007-08-16
JP2009512194A (en) 2009-03-19
US7922824B2 (en) 2011-04-12
WO2007044446A1 (en) 2007-04-19
US20150000697A1 (en) 2015-01-01
CN101366107A (en) 2009-02-11
US9443713B2 (en) 2016-09-13
US20090215658A1 (en) 2009-08-27
US8765654B2 (en) 2014-07-01
TWI428442B (en) 2014-03-01

Similar Documents

Publication Publication Date Title
SG10201508243UA (en) Oxidizing aqueous cleaner for the removal of post-etch residues
SG10201610631UA (en) Liquid cleaner for the removal of post-etch residues
IL178187A0 (en) Aqueous solution for removing post-etch residue
EP2041776A4 (en) Cleaning formulation for removing residues on surfaces
SG131868A1 (en) Aqueous cleaning composition for removing residues and method using same
ZA200708526B (en) Process for the removal of contaminants
EP2593964A4 (en) Aqueous cleaner for the removal of post-etch residues
GB2427351B (en) Vacuum cleaner
EP1954173A4 (en) Cleaning tool
TWI370175B (en) Fluorinated sulfonamide surfactants for aqueous cleaning solutions
EP2126030A4 (en) Low residue cleaning solution
EP1969104A4 (en) Surfactants systems for surface cleaning
TWI347354B (en) Cleaning compound
EP1913568A4 (en) Hand cleanliness
PL1740689T3 (en) Method for the production of detergent or cleaning agents
EP1880650A4 (en) Water soluble cleaning tool
ZA200800315B (en) Cleaning compositions
EP1954729A4 (en) Fluorinated surfactants
PT1945745E (en) Acidic cleaning compositions
IL187121A0 (en) Compositions for the removal of post-etch and ashed photoresist residues and bulk photoresist
GB2424361B (en) Vacuum cleaner
TWI319205B (en) Wafer clean process
EP1921967A4 (en) Vacuum cleaner
GB0601037D0 (en) Acidic hard surface cleaning compositions
AU303853S (en) Vacuum cleaner