KR20140139498A - 조성물을 이용한 cmp-후 제거 방법 및 그의 이용 방법 - Google Patents

조성물을 이용한 cmp-후 제거 방법 및 그의 이용 방법 Download PDF

Info

Publication number
KR20140139498A
KR20140139498A KR1020147025340A KR20147025340A KR20140139498A KR 20140139498 A KR20140139498 A KR 20140139498A KR 1020147025340 A KR1020147025340 A KR 1020147025340A KR 20147025340 A KR20147025340 A KR 20147025340A KR 20140139498 A KR20140139498 A KR 20140139498A
Authority
KR
South Korea
Prior art keywords
acid
composition
ether
contaminants
glycol
Prior art date
Application number
KR1020147025340A
Other languages
English (en)
Other versions
KR102105381B1 (ko
Inventor
준 리우
제프리 에이 반즈
에마누엘 아이 쿠퍼
라이셩 선
엘리자베쓰 토마스
제이슨 창
Original Assignee
인티그리스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인티그리스, 인코포레이티드 filed Critical 인티그리스, 인코포레이티드
Publication of KR20140139498A publication Critical patent/KR20140139498A/ko
Application granted granted Critical
Publication of KR102105381B1 publication Critical patent/KR102105381B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/02Anionic compounds
    • C11D1/12Sulfonic acids or sulfuric acid esters; Salts thereof
    • C11D1/22Sulfonic acids or sulfuric acid esters; Salts thereof derived from aromatic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/38Cationic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/66Non-ionic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/66Non-ionic compounds
    • C11D1/72Ethers of polyoxyalkylene glycols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/044Hydroxides or bases
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2003Alcohols; Phenols
    • C11D3/2041Dihydric alcohols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2068Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • C11D3/2079Monocarboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • C11D3/2082Polycarboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/34Organic compounds containing sulfur
    • C11D3/3409Alkyl -, alkenyl -, cycloalkyl - or terpene sulfates or sulfonates
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3942Inorganic per-compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/06Hydroxides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)

Abstract

본 발명은, 상부에 잔사 및 오염물을 가진 마이크로 전자 장치로부터 화학 기계적 폴리싱(CMP)-후 잔사 및 오염물을 클리닝하기 위한 아민-비함유 조성물 및 방법에 관한 것이다. 아민-비함유 조성물은 바람직하게는 적어도 하나의 산화제, 적어도 하나의 착화제, 적어도 하나의 염기성 화합물, 및 물을 포함하며, 약 2.5 내지 약 11.5 범위의 pH를 갖는다. 이러한 조성물은 저-k 유전체 재료 또는 구리 상호접속부 재료를 손상시키지 않고서도 마이크로 전자 장치의 표면으로부터 CMP-후 잔사 및 오염물의 매우 효과적인 클리닝을 달성한다.

Description

조성물을 이용한 CMP-후 제거 방법 및 그의 이용 방법{POST-CMP REMOVAL USING COMPOSITIONS AND METHOD OF USE}
본 발명은, 상부에 잔사 및/또는 오염물을 가진 마이크로 전자 장치로부터 잔사 및/또는 오염물을 실질적이고 효율적으로 클리닝하기 위한 아민-비함유 조성물에 관한 것이다.
관련 출원에 대한 참조 문헌
본 출원은, 그들 내용 전체가 각각 본원에서 참고로 인용된, 준 리우(Jun Liu), 제프리 에이. 바네스(Jeffrey A. Barnes), 에마뉴엘 아이. 쿠퍼(Emanuel I. Cooper), 라이셩 선(Laisheng Sun), 스티븐 메드(Steven Medd), 제이-화 슈(Jieh-Hwa Shyu), 루시 다이(Lucy Dai), 및 자카리 완(Zachary Wan)의 이름으로 2012년 2월 15일자 출원된 미국 가특허 출원 제 61/599,162 호[발명의 명칭: Improved Post-Chemical Mechanical Polishing Formulations and Methods of Using Same], 준 리우, 제프리 에이. 바네스, 라이셩 선, 및 엘리자베스 토마스(Elizabeth Thomas)의 이름으로 2012년 5월 24일자 출원된 미국 가특허 출원 제 61/651,287 호[발명의 명칭: Low pH Post-CMP Residue Removal Composition and Method of Use], 준 리우, 제프리 에이. 바네스, 라이셩 선, 및 엘리자베스 토마스의 이름으로 2012년 6월 7일자 출원된 미국 가특허 출원 제 61/656,992 호[발명의 명칭: Low pH Post-CMP Residue Removal Composition and Method of Use], 및 준 리우, 제프리 에이. 바네스, 에마뉴엘 아이. 쿠퍼, 라이셩 선, 엘리자베스 토마스, 및 제이슨 창(Jason Chang)의 이름으로 2012년 6월 18일자 출원된 미국 가특허 출원 제 61/661,160 호[발명의 명칭: Post-CMP Removal Using Compositions Comprising Surfactant]의 우선권 주장 출원이다.
구리가 상호접속부 성능에서 상당한 개선을 의미하는 더 높은 전도도를 갖기 때문에, 집적회로(IC) 제조업자들은 고급 마이크로 전자 공학 용도를 위하여 알루미늄 및 알루미늄 합금을 구리로 교체한다는 것은 잘 알려져 있다. 또한, 구리-기반 상호접속부는 알루미늄보다 더 양호한 전자이동 저항(electromigration resistance)을 제공함으로써 성호접속 신뢰성을 개선시킨다. 그렇기는 하지만, 구리의 구현은 어떤 도전에 직면해 있다. 예를 들면, 이산화실리콘(SiO2) 및 다른 유전체 재료에 대한 구리(Cu)의 접착성은 일반적으로 불량하다. 불량한 접착성은 제조 과정중에 인접한 필름으로부터 Cu가 박리되는 결과를 유발한다. 또한, Cu 이온은 전기 바이어스하에서 SiO2 내로 쉽게 확산하여 심지어 유전체내의 매우 낮은 Cu 농도에서 조차도 Cu 라인사이의 유전체 전기 누설을 증가시킨다. 또한, 구리가 능동 부품(active device)이 위치하고 있는 하부 실리콘내로 확산하는 경우, 장치 성능이 저하될 수 있다.
이산화실리콘(SiO2)에서, 및 금속간 유전체(inter-metal dielectric) (IMD)/레벨간 유전체(interlevel dielectric)(ILD)에서의 구리의 높은 확산율의 문제는 큰 관심사로 남아 있다. 이러한 문제에 대처하기 위하여, 집적회로 기판은 구리를 캡슐화하고 구리 원자의 확산을 차단하는 적합한 장벽층으로 코팅되어야 한다. 전도성 재료 및 비전도성 재료 모두를 포함하는 장벽층은 전형적으로는 패턴화된 유전체층상에 구리의 증착 이전에 형성된다. 장벽층에 대한 전형적인 재료로는 탄탈륨(Ta), 질화탄탈륨(TaNx), 텅스텐(W), 티타늄(Ti), 질화티타늄(TiN), 루테늄(Ru), 코발트(Co), 몰리브덴(Mo), 레늄(Rh), 및 이들의 합금을 포함한다.
딥 서브마이크론 반도체(deep submicron semiconductor)의 제조에 있어서, 구리 다마신 공정(copper damascene process)은 저-k 유전체층내에 전도성 구리 라인 및 바이어스를 형성시키는데 사용된다. 다마신 공정의 한 가지 중요한 단계는 유전체층 표면상에서 과량의 구리를 제거하기 위한 구리 화학 기계적 폴리싱(copper chemical mechanical polishing)(CMP)이다. CMP 공정은 CMP 슬러리의 존재하에서 제어된 압력 및 온도하에 습윤된 폴리싱 패드에 대해 반도체 장치의 얇고 편평한 기판을 홀딩시키고 회전시키는 단계를 포함한다. 슬러리는 특정 CMP 공정과 요건에 적절한 연마 재료 및 화학 첨가제를 함유한다. CMP 공정 이후, 폴리싱 슬러리로부터의 입자로 이루어진 오염물, 슬러리에 첨가된 화학물질, 및 폴리싱 슬러리의 부산물이 웨이퍼 표면상에 남게 된다. 모든 오염물오염물뢰성의 저하 및 장체내로의 결점의 도입을 피하기 위하여 마이크로 전자 장치 제작 공정내의 특정의 추가 단계 이전에 제거되어야 한다. 보통, 이러한 오염물의 입자는 0.3㎛ 보다 더 작다.
이러한 관점에 있어서 하나의 특정한 문제는 CMP 처리 이후에 마이크로 전자 장치 기판상에 남게 되는 잔사이다. 이러한 잔사로는 CMP 재료, 및 벤조트리아졸(BTA)과 같은 부식 억제제 화합물을 포함한다. 제거되지 않은 경우, 이러한 잔사는 구리 라인에 대해 손상을 유발시키거나 구리 금속화의 심각한 조도화(roughening)를 유발할 수 있을 뿐만 아니라, 장치 기판상에서의 CMP-후 도포층의 불량한 접착을 유발시킬 수 있다. 지나치게 거친 구리는 마이크로 전자 장치 제품의 전기적 성능 저하를 유발할 수 있기 때문에, 구리 금속화의 심각한 조도화가 특히 문제이다. 그러한 목적을 달성하기 위하여, CMP-후 잔사 및 오염물을 제거하기 위하여 CMP-후 제거 조성물을 개발하게 되었다.
종래의 클리닝 기법은 메가소닉(megasonic), 분사 또는 브러싱(brushing)과 함께 웨이퍼 표면상으로 클리닝 용액, 예를 들면, 수산화암모늄을 기본으로 하는 알칼리성 용액을 유체 유동시켜 오염물을 제거한다. 상기 클리닝 용액은 웨이퍼로부터 축출된 오염물을 제거하기 전에 웨이퍼 표면을 어택킹(attacking)하거나 오염물과 반응함으로써 오염물을 제거한다. 불리하게도, 오염물의 일부는 클리닝 용액내의 화학 성분에 대해 화학적으로 불활성일 수 있다. 더욱이, 본 기술분야에 알려진 아민-함유 클리닝 용액은 냄새가 나며 포토레지스트를 중독시킬 수 있는 아민 증기를 공장내로 방출한다.
마이크로 전자 장치로부터 CMP 잔사 및 오염물을 실질적으로 결점이 없고 실질적으로 스크래치 없이 제거하기 위한, 상기 마이크로 전자 장치의 CMP-후 클리닝용의 개선된 아민-비함유 조성물을 제공하는 것은 본 기술분야에 있어서 상당한 진전이 있었다. 상기 수성 조성물은 노출된 저-k 유전체 재료와 상호접속부 및 비아 재료, 예를 들면, 구리 및/또는 알루미늄 함유 재료를 손상시키지 않고서도 장치의 표면으로부터 실질적으로 잔사 및 오염물을 제거한다.
본 발명은 일반적으로는, 상부에 잔사 및/또는 오염물을 가진 마이크로 전자 장치로부터 잔사 및/또는 오염물을 클리닝하기 위한 아민-비함유 조성물 및 방법에 관한 것이다. 하나의 양태에서, 본원에 기술된 조성물은 적어도 하나의 산화제, 적어도 하나의 착화제(complexing agent), 적어도 하나의 염기성 화합물, 적어도 하나의 완충제(buffering agent) 및 물을 포함하거나, 이루어지거나, 또는 필수적으로 이루어지며, 이때 상기 조성물은 실질적으로 아민, 4차 염기, 불화물-함유 공급원, 및 화학 기계적 폴리싱 공정에 전형적으로 사용되는 연마재가 없다. 임의적으로, 클리닝 조성물은 적어도 하나의 용매화제, 적어도 하나의 계면활성제, 또는 이들 둘 모두를 더 포함할 수 있다. 잔사는 CMP-후 잔사를 포함할 수 있다.
다른 양태에서, 본 발명은 하나 이상의 콘테이너내에 적어도 하나의 산화제, 적어도 하나의 착화제, 적어도 하나의 염기성 화합물, 적어도 하나의 완충제, 및 물로 이루어진 군중에서 선택되는, 아민-비함유 조성물을 형성하기 위한 시약들중의 하나 이상을 포함하는 키트에 관한 것으로, 이때 상기 조성물은 실질적으로 아민, 4차 염기, 불화물-함유 공급원, 및 화학 기계적 폴리싱 공정에 전형적으로 사용되는 연마재가 없으며, 상기 키트는, 상부에 잔사 및/또는 오염물을 가진 마이크로 전자 장치로부터 CMP-후 잔사 및 오염물을 클리닝하기에 적합한 아민-비함유 조성물을 형성하기에 적합하다. 적어도 하나의 산화제는 클리닝 장치에서 또는 그의 상류에서 아민-비함유 조성물에 첨가될 수 있다.
또 다른 양태에서, 본 발명은 마이크로 전자 장치로부터 잔사 및 오염물을 적어도 부분적으로 클리닝하기에 충분한 시간동안 상기 마이크로 전자 장치를 아민-비함유 조성물과 접촉시키는 단계를 포함하여, 상부에 잔사 및 오염물을 가진 마이크로 전자 장치로부터 상기 잔사 및 오염물을 클리닝하는 방법에 관한 것으로, 이때 상기 아민-비함유 조성물은 적어도 하나의 산화제, 적어도 하나의 착화제, 적어도 하나의 염기성 화합물, 적어도 하나의 완충제, 및 물을 포함하며, 상기 조성물은 실질적으로 아민, 4차 염기, 불화물-함유 공급원, 및 화학 기계적 폴리싱 공정에 전형적으로 사용되는 연마재가 없다. 상기 잔사는 CMP-후 잔사를 포함할 수 있다.
또 다른 양태에서, 본 발명은,
마이크로 전자 장치를 CMP 슬러리로 폴리싱하는 단계; 및
상기 마이크로 전자 장치로부터 CMP-후 잔사 및 오염물을 실질적으로 제거하기에 충분한 시간동안, 상기 마이크로 전자 장치를 적어도 하나의 산화제, 적어도 하나의 착화제, 적어도 하나의 염기성 화합물, 적어도 하나의 완충제, 및 물을 포함하는 아민-비함유 조성물(여기서, 상기 조성물은 실질적으로 아민, 4차 염기, 불화물-함유 공급원, 및 화학 기계적 폴리싱 공정에 전형적으로 사용되는 연마재가 없다)과 접촉시키는 단계
를 포함하여, 상부에 CMP-후 잔사 및 오염물을 가진 마이크로 전자 장치로부터 상기 CMP-후 잔사 및 오염물을 제거하는 방법에 관한 것이다.
또 다른 양태에서, 본 발명은, 상부에 잔사 및 오염물을 가진 마이크로 전자 장치로부터 잔사 및 오염물을 제거하기에 충분한 시간동안 상기 마이크로 전자 장치를 아민-비함유 조성물과 접촉시키는 단계를 포함하여, 상부에 잔사 및 오염물을 가진 마이크로 전자 장치를 클리닝하는 방법에 관한 것으로, 이때 상기 조성물은 적어도 하나의 산화제, 적어도 하나의 착화제, 적어도 하나의 염기성 화합물, 적어도 하나의 완충제, 및 물을 포함하며, 상기 조성물은 실질적으로 아민, 4차 염기, 불화물-함유 공급원, 및 화학 기계적 폴리싱 공정에 전형적으로 사용되는 연마재가 없다.
또 다른 양태에서, 본 발명은, 상부에 CMP-후 잔사 및 오염물을 가진 마이크로 전자 장치로부터 CMP-후 잔사 및 오염물을 제거하기에 충분한 시간동안 상기 마이크로 전자 장치를 아민-비함유 조성물과 접촉시키는 단계를 포함하여, 상부에 CMP-후 잔사 및 오염물을 가진 마이크로 전자 장치를 클리닝하는 방법에 관한 것으로, 이때 상기 조성물은 적어도 하나의 산화제, 적어도 하나의 착화제, 적어도 하나의 염기성 화합물, 적어도 하나의 완충제, 및 물을 포함하며, 상기 조성물은 실질적으로 아민, 4차 염기, 불화물-함유 공급원, 및 화학 기계적 폴리싱 공정에 전형적으로 사용되는 연마재가 없다.
추가의 양태에서, 본 발명은, 상부에 CMP-후 잔사 및 오염물을 가진 마이크로 전자 장치로부터 CMP-후 잔사 및 오염물을 적어도 부분적으로 클리닝하기에 충분한 시간동안 상기 마이크로 전자 장치를 본원에서 기술된 아민-비함유 클리닝 조성물과 접촉시키는 단계를 포함하여 마이크로 전자 장치를 제조하는 방법에 관한 것이다.
본 발명의 또 다른 양태는 본원에서 기술된 방법 및/또는 조성물을 이용하여, 상부에 CMP-후 잔사 및 오염물을 가진 마이크로 전자 장치로부터 CMP-후 잔사 및 오염물을 클리닝하는 단계, 및 임의적으로는 상기 마이크로 전자 장치를 제품내에 결합시키는 단계를 포함하는 본 발명의 방법을 이용하여 제조한 개선된 마이크로 전자 장치, 및 상기 개선된 마이크로 전자 장치가 결합된 제품에 관한 것이다.
본 발명의 또 다른 양태는 아민-비함유 클리닝 조성물, 마이크로 전자 장치 웨이퍼, 및 CMP-후 잔사 및 오염물을 포함하는 제품에 관한 것으로, 이때 상기 아민-비함유 클리닝 조성물은 적어도 하나의 산화제, 적어도 하나의 착화제, 적어도 하나의 염기성 화합물, 적어도 하나의 완충제, 및 물을 포함하며, 상기 조성물은 실질적으로 아민, 4차 염기, 불화물-함유 공급원, 및 화학 기계적 폴리싱 공정에 전형적으로 사용되는 연마재가 없다.
본 발명의 다른 양태, 특징 및 이점들은 하기 개시내용 및 첨부된 특허청구범위로부터 보다 완전하게 자명해질 것이다.
본 발명은 일반적으로는, 상부에 CMP-후 잔사(post-CMP residue) 및/또는 오염물을 가진 마이크로 전자 장치로부터 상기 CMP-후 잔사 및/또는 오염물을 클리닝하는 아민-비함유 조성물에 관한 것이다. 클리닝 조성물은 노출된 물질과 호환성인 반면, 마이크로 전자 장치의 표면으로부터 상기 CMP-후 잔사 및/또는 오염물을 실질적으로 제거한다.
참고하기 쉽도록, "마이크로 전자 장치(microelectronic device)"는 마이크로 전자공학, 집적회로, 또는 컴퓨터 칩 용도에 사용하기 위하여 제작된 반도체 기판, 평판 디스플레이, 상변환 기억 장치, 태양전지용 패널, 및 태양전지용 기판, 광발전 장치 및 마이크로 전기화학 시스템(MEMS)를 포함한 기타 다른 제품에 상응한다. "마이크로 전자 장치"는 임의의 방식으로 제한되는 것을 의미하지 않으며 궁극적으로 마이크로 전자 장치 또는 마이크로 전자 장치 어셈블리가 되는 특정의 기판을 포함하는 것으로 이해되어야 한다.
본원에서 사용되는 바와 같이, "잔사(residue)"는, 그들로 국한되는 것은 아니지만, 플라즈마 에칭, 회분화(ashing), 화학 기계적 폴리싱, 습식 에칭, 및 이들의 조합을 포함한 마이크로 전자 장치의 제조 도중에 생성되는 입자에 상응한다.
본원에서 사용되는 바와 같이, "오염물(contaminant)"은 CMP 슬러리중에 존재하는 화학물질, 예를 들면, 벤조트리아졸(BTA), 폴리싱 슬러리의 반응 부산물, 습식 에칭 조성물중에 존재하는 화학물질, 습식 에칭 조성물의 반응 부산물, 및 CMP 공정, 습식 에칭, 플라즈마 에칭 또는 플라즈마 회분화 공정의 부산물인 특정의 다른 물질에 상응한다.
본원에서 사용되는 바와 같이, "CMP-후 잔사(post-CMP residue)"는 폴리싱 슬러리로부터의 입자, 예를 들면, 실리카-함유 입자, 슬러리중에 존재하는 화학물질, 폴리싱 슬러리의 반응 부산물, 탄소-풍부 입자, 폴리싱 패드 입자, 브러시 디로딩 입자(brush deloading particle), 구성 입자의 기자재, 구리, 구리 산화물, 유기 잔사, 및 CMP 공정의 부산물인 특정의 다른 물질에 상응한다.
본원에서 정의되는 바와 같이, "저-k 유전체 재료(low-k dielectric material)"는 층상화된 마이크로 전자 장치내에 유전체 재료로서 사용되는, 약 3.5 미만의 유전상수를 갖는 특정의 재료에 상응한다. 바람직하게, 상기 저-k 유전체 재료는 실리콘-함유 유기 중합체, 실리콘-함유 하이브리드 유기/무기 재료, 유기실리케이트 유리(organosilicate glass)(OSG), TEOS, 플루오르화된 실리케이트 유리(FSG), 이산화실리콘, 탄소-도핑된 산화물(CDO) 유리, 노벨루스 시스템즈, 인코포레이티드(Novellus Systems, Inc.)사의 코랄TM(CORALTM), 어플라이드 머티리얼스, 인코포레이티드(Applied Materials, Inc.)사의 블랙 다이아몬드TM(BLACK DIAMONDTM), 다우 코닝, 인코포레이티드(Dow Corning, Inc.)사의 실크TM(SiLKTM), 및 나노포어, 인코포레이티드(Nanopore, Inc.)사의 나노글라스TM(NANOGLASSTM) 등과 같은 저-극성 재료를 포함한다. 상기 저-k 유전체 재료는 다양한 밀도 및 다양한 다공도를 가질 수 있음을 알아야 한다.
본원에서 정의되는 바와 같이, "클린 아민-비함유 조성물(clean amine-free composition)"은, 상부에 CMP-후 잔사 및/또는 오염물을 가진 마이크로 전자 장치와 접촉하기 바로 전의 아민-비함유 조성물에 상응한다.
본원에서 정의되는 바와 같이, "착화제(complexing agent)"는 당업자가 착화제, 킬레이트화제 및/또는 금속이온봉쇄제(sequestering agent)로 알고 있는 그러한 화합물을 포함한다. 착화제는 본 발명의 조성물을 이용하여 제거해야 할 금속 원자 및/또는 금속 이온과 화학적으로 결합하거나 또는 그들을 물리적으로 유지할 것이다.
본원에서 정의되는 바와 같이, 용어 "장벽 재료(barrier material)"는 금속 라인, 예를 들면, 구리 상호접속부를 밀봉하여 상기 금속, 예를 들면, 구리가 유전체 재료내로 확산하는 것을 최소화하기 위하여 본 기술분야에서 사용되는 특정 재료에 상응한다. 통상적인 장벽층 재료로는 탄탈륨 또는 티타늄, 이들의 질화물 및 규화물, 및 이들의 합금을 포함한다. 직접 도금할 수 있는 확산 장벽으로서 제공할 수 있는 후보군 물질로는 루테늄(Ru), 코발트(Co), 텅스텐(W), 몰리브덴(Mo), 레늄(Rh), 및 이들의 합금을 포함한다.
본원에서 사용되는 바와 같이, "약"은 명시된 값의 ±5%에 상응하는 것으로 간주된다.
본원에서 "실질적으로 없는(substantially devoid)"이란, 2 중량% 미만, 바람직하게는 1 중량% 미만, 보다 바람직하게는 0.5 중량% 미만, 보다 더 바람직하게는 0.1 중량% 미만, 가장 바람직하게는 0 중량%로서 정의된다.
본원에서 정의되는 바와 같이, "에칭-후 잔사(post-etch residue)"는 가스상 플라즈마 에칭 공정, 예를 들면, BEOL 이중 다마신 처리 이후에 잔류하는 재료에 상응한다. 에칭-후 잔사는 사실상 유기물, 유기금속, 유기실리콘, 또는 무기물, 예를 들면, 실리콘-함유 재료, 탄소계 유기 재료, 및, 그들로 국한되는 것은 아니지만, 산소 및 불소를 포함하는 에칭 가스 잔사일 수 있다. 본원에서 사용되는 바와 같은 "회분-후 잔사(post-ash residue)"는 경화된 포토레지스트 및/또는 하부 반사-방지 코팅(BARC) 재료를 제거하기 위한 산화성 또는 환원성 플라즈마 회분화 이후에 잔류하는 재료에 상응한다. 회분-후 잔사는 사실상 유기물, 유기금속, 유기실리콘, 또는 무기물일 수 있다.
조성물이 수성일 경우 수산화암모늄(NH4OH)이 암모니아(NH3)와 상호교환적으로 사용될 수 있음을 전문가들은 알고 있다.
본 발명을 위하여, "아민"은 적어도 하나의 1차, 2차, 또는 3차 아민으로서 정의되지만, 단 (i) 아미드기, (ii) 카복실산기 및 아민기(예를 들면, 아미노산)를 모두 포함하는 종, (iii) 암모니아, (iv) 아민기를 포함하는 계면활성제, 및 (v) 아민-N-옥사이드는 상기 정의에 따른 "아민"으로 간주되지 않는다. 아민의 화학식은 NR1R2R3 으로 나타낼 수 있으며, 여기서 R1, R2 및 R3 는 서로 같거나 다를 수 있으며 수소, 직쇄 또는 분지쇄 C1-C6 알킬(예를 들면, 메틸, 에틸, 프로필, 부틸, 펜틸, 헥실), C6-C10 아릴(예를 들면, 벤질), 직쇄 또는 분지쇄 C1-C6 알칸올(예를 들면, 메탄올, 에탄올, 프로판올, 부탄올, 펜탄올, 헥산올), 및 이들의 조합으로 이루어진 군중에서 선택된다.
본원에서 사용되는 바와 같이, 상부에 잔사 및 오염물을 가진 마이크로 전자 장치로부터 상기 잔사 및 오염물을 클리닝하기 위한 "적합성(suitability)"은 상기 마이크로 전자 장치로부터 상기 잔사/오염물의 적어도 부분적인 제거에 상응한다. 클리닝 효율은 마이크로 전자 장치상에서의 객체의 감소에 비례한다. 예를 들면, 클리닝 전 및 클리닝 후 분석은 원자 현미경(atomic force microscope)을 이용하여 수행할 수 있다. 샘플상의 입자는 픽셀의 범위로서 등록될 수 있다. 특정 강도, 예를 들면, 231-235 내의 픽셀, 및 계수된 입자의 수를 필터링하기 위하여 히스토그램(예를 들면, 시그마 스캔 프로(Sigma Scan Pro))이 적용될 수 있다. 입자 감소는 하기 식을 이용하여 계산할 수 있다:
Figure pct00001
특히, 클리닝 효율의 측정 방법은 단지 예로서 제공된 것이지 그로 국한시키려는 의도가 아니다. 대안으로, 클리닝 효율은 미립상 물질에 의해 보호되는 전체 표면의 백분율로서 고려될 수 있다. 예를 들면, AFM은, z-평면 스캔을 실행하여 특정 높이 한계 이상의 형태적 관심 영역을 확인한 다음, 상기 관심 영역에 의해 커버된 총 표면적을 계산하도록 프로그래밍될 수 있다. 당업자는 클리닝 후 관심 영역에 의해 커버된 면적이 더 적을수록 클리닝 조성물이 더 효율적이라는 것을 쉽게 이해할 것이다. 바람직하게는, 본원에서 기술된 조성물을 사용하여 적어도 75%의 잔사/오염물이 마이크로 전자 장치로부터 제거되며, 보다 바람직하게는 적어도 90%, 보다 더 바람직하게는 적어도 95%, 가장 바람직하게는 적어도 99%의 잔사/오염물이 제거된다.
본 발명의 조성물은, 이하에서 더 상세하게 기술되는 바와 같이, 광범위한 특정 제형으로 구현될 수 있다.
조성물의 구체적인 성분이 제로 하한(zero lower limit)을 포함하는 중량% 범위에 대하여 논의된 모든 이러한 조성물에서, 이러한 성분들은 조성물의 다양한 특정 실시태양내에 존재하거나 존재하지 않을 수 있으며, 이러한 성분들이 존재하는 경우, 그들은 이러한 성분들이 사용된 조성물의 총 중량을 기준으로 0.001 중량% 이하의 농도로 존재할 수 있다는 사실을 이해할 것이다.
제 1 양태에서, 본 발명은 CMP-후 잔사 및 오염물을 클리닝하기 위한 아민-비함유 조성물에 관한 것으로, 상기 제 1 조성물은 적어도 하나의 산화제, 적어도 하나의 착화제, 적어도 하나의 염기성 화합물, 적어도 하나의 완충제, 및 물을 포함한다. 임의적으로, 상기 제 1 조성물은 적어도 하나의 용매화제, 적어도 하나의 계면활성제, 또는 이들의 조합을 더 포함할 수 있다. 제 1 조성물의 성분들은 조성물의 총 중량을 기준으로 하기 범위의 중량%로 존재한다:
Figure pct00002
희석될 때, 농축된 제 1 조성물중의 성분들의 중량% 값은 당업자가 쉽게 이해할 수 있는 바와 같이 희석인자의 인자로서 변할 것이다.
하나의 실시태양에서, 제 1 조성물은 적어도 하나의 산화제, 적어도 하나의 착화제, 적어도 하나의 염기성 화합물, 적어도 하나의 완충제 및 물을 포함하거나, 이루어지거나, 또는 필수적으로 이루어진다. 다른 실시태양에서, 제 1 조성물은 적어도 하나의 산화제, 적어도 하나의 착화제, 적어도 하나의 염기성 화합물, 적어도 하나의 완충제, 적어도 하나의 용매화제, 및 물을 포함하거나, 이루어지거나, 또는 필수적으로 이루어진다. 또 다른 실시태양에서, 제 1 조성물은 적어도 하나의 산화제, 적어도 하나의 착화제, 적어도 하나의 염기성 화합물, 적어도 하나의 계면활성제, 적어도 하나의 완충제, 및 물을 포함하거나, 이루어지거나, 또는 필수적으로 이루어진다. 또 다른 실시태양에서, 제 1 조성물은 적어도 하나의 산화제, 적어도 하나의 착화제, 적어도 하나의 염기성 화합물, 적어도 하나의 계면활성제, 적어도 하나의 용매화제, 적어도 하나의 완충제, 및 물을 포함하거나, 이루어지거나, 또는 필수적으로 이루어진다. 물은 바람직하게는 탈이온화된다. 적어도 하나의 산화제는 클리닝 장치의 바로 상류에서 또는 클리닝 장치에서 첨가될 수 있음을 알아야 한다.
본 발명의 광범위한 실시에서, 제 1 조성물의 pH 범위는 약 2.5 내지 약 12의 범위이다. 클리닝될 표면의 성질에 따라, 때로는 약산성, 예를 들면 약 2.5 내지 7 범위의 제 1 조성물이 바람직할 것이며, 다른 경우에는 약염기성, 예를 들면 약 7 내지 약 12 범위의 제 1 조성물이 바람직할 것이다.
본원에서 기술된 조성물은 에칭-후 잔사 제거, 회분-후 잔사 제거 표면 제조, 도금-후 클리닝, CMP-후 잔사 제거, 구리 시드 에칭/클리닝, 실리콘 관통전극(through silicon via)(TSV) 클리닝, MEMS 클리닝, 및 코발트 및 코발트 합금 표면 클리닝을 포함한 용도에서 효용을 가질 수 있지만, 그들로 국한되는 것은 아니다.
고려되는 염기성 화합물은 알칼리금속 수산화물, 수산화암모늄, 및 이들의 조합을 포함하며, 여기서 알칼리금속 수산화물은 KOH, CsOH, 및 이들의 조합을 포함한다. 바람직하게, 제 1 조성물용의 염기성 화합물(들)은 KOH를 포함한다.
본원에서 고려되는 착화제는 락트산, 말레산, 아스코브산, 말산, 시트르산, 벤조산, 푸마르산, 숙신산, 옥살산, 말론산, 만델산, 말레산 무수물, 프탈산, 아스파트산, 글루타민산, 글루타르산, 글리콜산, 글리옥실산, 페닐아세트산, 퀸산, 피로멜리트산, 타르타르산, 테레프탈산, 트리멜리트산, 트리메스산, 글루콘산, 글리세르산, 포름산, 아세트산, 프로피온산, 아크릴산, 아디프산, 이타콘산, 글루쿠론산, 글리신, 라이신, β-알라닌, 히스티딘, 페닐알라닌, 시스테인, 류신, 세린, 8-하이드록시퀴놀린, 2,4-펜탄디온, 벤즈테트라카복실산, 피루브산, 탄닌산, 설파닐산, 2-하이드록시포스포노카복실산(HPAA), 피로카테콜, 피로갈롤, 갈산, 탄닌산, 에틸렌디아민 테트라아세트산(EDTA), 디에틸렌트리아민펜타아세트산(DTPA), (1,2-사이클로헥실렌디니트릴로)테트라아세트산(CDTA), 이민디아세트산, 2-포스포노부탄-1,2,4-트리카복실산(PBTCA), 기타 다른 지방족 및 방향족 카복실산, 그들의 염 뿐만 아니라 전술한 산들의 조합을 포함하는, 그의 염내에 적어도 하나의 COOH 기 또는 카복실레이트기를 포함하는 유기산을 포함하지만, 그들로 국한되는 것은 아니다. 대안으로 또는 그 이외에 고려되는 다른 착화제는 포스폰산 또는 그의 유도체, 예를 들면, 하이드록시에틸리덴 디포스폰산(HEDP), 1-하이드록시에탄-1,1-디포스폰산, 니트릴로-트리스(메틸렌포스폰산), 살리실산, p-톨루엔설폰산, 설포살리실산 및 그의 유도체, 및 이들의 특정 조합을 포함한다. 바람직하게는, 제 1 조성물용의 착화제는 시트르산, HEDP, 설포살리실산, 및 이들의 특정 조합을 포함한다.
고려되는 산화제는 오존, 질산, 버블 공기, 사이클로헥실아미노설폰산, 과산화수소(H2O2), FeCl3(수화 및 비수화), 옥손(2KHSO5ㆍKHSO4ㆍK2SO4), 암모늄 다가산 염(ammonium polyatomic salts) (예를 들면, 암모늄 과산화일황산염, 아염소산암모늄(NH4ClO2), 염소산암모늄(NH4ClO3), 요오드산암모늄(NH4IO3), 과붕산암모늄(NH4BO3), 과염소산암모늄(NH4ClO4), 과요오드산암모늄(NH4IO3), 과황산암모늄 ((NH4)2S2O8), 차아염소산암모늄(NH4ClO)), 과붕산나트륨(NaBO3), 나트륨 다가산 염(sodium polyatomic salts)(예를 들면, 과황산나트륨(Na2S2O8), 차아염소산나트륨(NaClO)), 칼륨 다가산 염(potassium polyatomic salts)(예를 들면, 요오드산칼륨(KIO3), 과망간산칼륨(KMnO4), 과황산칼륨(K2S2O8), 차아염소산칼륨(KClO)), 테트라메틸암모늄 다가산 염(예를 들면, 테트라메틸암모늄 아염소산염((N(CH3)4)ClO2), 테트라메틸암모늄 염소산염((N(CH3)4)ClO3), 테트라메틸암모늄 요오드산염((N(CH3)4)IO3), 테트라메틸암모늄 과붕산염((N(CH3)4)BO3), 테트라메틸암모늄 과염소산염((N(CH3)4)ClO4), 테트라메틸암모늄 과요오드산염((N(CH3)4)IO4), 테트라메틸암모늄 과황산염((N(CH3)4)S2O8)), 테트라부틸암모늄 다가산 염(예를 들면, 테트라부틸암모늄 과산화일황산염), 과산화일황산(peroxomonosulfuric acid), 질산 제2철(Fe(NO3)3), 아민-N-옥사이드(예를 들면, N-메틸모르폴린-N-옥사이드(NMMO); 트리메틸아민-N-옥사이드; 트리에틸아민-N-옥사이드; 피리딘-N-옥사이드; N-에틸모르폴린-N-옥사이드; N-메틸피롤리딘-N-옥사이드; N-에틸피롤리딘-N-옥사이드), 우레아 과산화수소 ((CO(NH2)2)H2O2), 과아세트산(CH3(CO)OOH), 과요오드산, 중크롬산칼륨, 염소산칼륨, 2-니트로페놀, 1,4-벤조퀴논, 퍼옥시벤조산, 퍼옥시프탈산염, 바나듐 산화물(예를 들면, VO2, V6O13), 암모늄 메타바나듐산염, 텅스텐산암모늄, 질산나트륨, 질산칼륨, 질산암모늄, 질산스트론튬, 황산, 및 이들의 조합을 포함한다. 바람직하게는, 제 1 조성물용의 산화제는 과산화수소, NMMO, 우레아 과산화수소, 및 이들의 조합을 포함한다.
완충제는 전문가들에 의해 쉽게 결정되는 적절한 조성적 pH 를 달성하기 위해서 뿐만 아니라 희석하고 제조하는 도중에 제 1 조성물을 안정화시키기 위하여 첨가된다. 고려되는 완충제는 인산이칼륨, 탄산칼륨, 붕산, 라이신, 프롤린, β-알라닌, 에틸렌디아민 테트라아세트산(EDTA), 디에틸렌트리아민펜타아세트산(DTPA), 디메틸 글리옥심, 이염기성 인산염(예를 들면, (NH4)H2PO4, K2HPO4), 삼염기성 인산염(예를 들면, (NH4)3PO4, K3PO4), 이염기성 및 삼염기성 인산염의 혼합물(예를 들면, K2HPO4/K3PO4), 이염기성 및 삼염기성 탄산염의 혼합물(예를 들면, K2CO3/KHCO3), 하이드록시에틸리덴 디포스폰산(HEDP), 및 이들의 조합을 포함하지만, 이들로 국한되는 것은 아니다. 바람직한 완충제는 이염기성 인산염(예를 들면, (NH4)H2PO4, K2HPO4), 삼염기성 인산염(예를 들면, (NH4)3PO4, K3PO4), 이염기성 인산염 및 삼염기성 인산염의 혼합물(예를 들면, K2HPO4/K3PO4), HEDP, 및 이들의 조합을 포함한다.
존재하는 경우, 고려되는 용매화제는 2-피롤리디논, 1-(2-하이드록시에틸)-2-피롤리디논, 글리세롤, 1,4-부탄디올, 테트라메틸렌 설폰(설폴란), 디메틸 설폰, 에틸렌 글리콜, 프로필렌 글리콜, 디프로필렌 글리콜, 디에틸렌 글리콜 모노메틸 에테르, 트리에틸렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 모노에틸 에테르, 트리에틸렌 글리콜 모노에틸 에테르, 에틸렌 글리콜 모노프로필 에테르, 에틸렌 글리콜 모노부틸 에테르, 디에틸렌 글리콜 모노부틸 에테르(즉, 부틸 카비톨), 트리에틸렌 글리콜 모노부틸 에테르, 에틸렌 글리콜 모노헥실 에테르, 디에틸렌 글리콜 모노헥실 에테르, 에틸렌 글리콜 페닐 에테르, 프로필렌 글리콜 메틸 에테르, 디프로필렌 글리콜 메틸 에테르(DPGME), 트리프로필렌 글리콜 메틸 에테르(TPGME), 디프로필렌 글리콜 디메틸 에테르, 디프로필렌 글리콜 에틸 에테르, 프로필렌 글리콜 n-프로필 에테르, 디프로필렌 글리콜 n-프로필 에테르(DPGPE), 트리프로필렌 글리콜 n-프로필 에테르, 프로필렌 글리콜 n-부틸 에테르, 디프로필렌 글리콜 n-부틸 에테르, 트리프로필렌 글리콜 n-부틸 에테르, 프로필렌 글리콜 페닐 에테르, 및 이들의 조합을 포함하지만, 이들로 국한되는 것은 아니다. 바람직하게는, 제 1 조성물용의 용매화제는 설폴란(테트라메틸렌 설폰), 1-(2-하이드록시에틸)-2-피롤리디논, 및 이들의 조합을 포함한다.
존재하는 경우, 본원에서 기술되는 조성물에 사용하기 위한 계면활성제는 데실포스폰산, 도데실포스폰산(DDPA), 테트라데실포스폰산, 헥사데실포스폰산, 비스(2-에틸헥실)포스페이트, 옥타데실포스폰산, 퍼플루오로헵타노산, 퍼플루오로데카노산, 트리플루오로메탄설폰산, 포스포노아세트산, 도데실벤젠설폰산(DDBSA), 다른 R1 벤젠 설폰산 또는 이의 염(여기서, R1은 직쇄 또는 분지쇄 C8-C18 알킬기이다), 도데세닐숙신산, 디옥타데실 하이드로겐 포스페이트, 옥타데실 디하이드로겐 포스페이트, 도데실아민, 도데세닐숙신산 모노디에탄올 아미드, 라우르산, 팔미트산, 올레산, 주니페르산(juniperic acid), 12 하이드록시스테아르산, 옥타데실포스폰산(ODPA), 도데실 포스페이트를 포함하지만 이들로 국한되는 것은 아닌, 양쪽성 염, 양이온성 계면활성제, 음이온성 계면활성제, 양성이온성 계면활성제, 비이온성 계면활성제, 및 이들의 조합을 포함하지만, 이들로 국한되는 것은 아니다. 비이온성 계면활성제는 폴리옥시에틸렌 라우릴 에테르(Emalmin NL-100 (Sanyo), Brij 30, Brij 98, Brij 35), 도데세닐숙신산 모노디에탄올 아미드(DSDA, Sanyo), 에틸렌디아민 테트라키스(에톡실레이트-블록-프로폭실레이트) 테트롤(Tetronic 90R4), 폴리에틸렌 글리콜(예를 들면, PEG400), 폴리프로필렌 글리콜, 폴리에틸렌 또는 폴리프로필렌 글리콜 에테르, 에틸렌 옥사이드 및 프로필렌 옥사이드를 기본으로 하는 블록 공중합체(Newpole PE-68 (Sanyo), Pluronic L31, Pluronic 31R1, Pluronic L61, Pluronic F-127), 폴리옥시프로필렌 수크로즈 에테르(SN008S, Sanyo), t-옥틸페녹시폴리에톡시에탄올(Triton X100), 10-에톡시-9,9-디메틸데칸-1-아민(TRITON® CF-32), 폴리옥시에틸렌 (9) 노닐페닐에테르, 분지된 폴리옥시에틸렌 (40) 노닐페닐에테르, 분지된(예를 들면, IGEPAL Co 890) 디노닐페닐 폴리옥시에틸렌, 노닐페놀 알콕실레이트(예를 들면, SURFONIC LF-41), 폴리옥시에틸렌 소르비톨 헥사올리에이트, 폴리옥시에틸렌 소르비톨 테트라올리에이트, 폴리에틸렌 글리콜 소르비탄 모노올리에이트(Tween 80), 소르비탄 모노올리에이트(Span 80), Tween 80 및 Span 80의 조합, 알콜 알콕실레이트(예를 들면, Plurafac RA-20), 알킬-폴리글루코사이드, 에틸 퍼플루오로부티레이트, 1,1,3,3,5,5-헥사메틸-1,5-비스[2-(5-노르보넨-2-일)에틸]트리실록산, SIS6952.0 (Siliclad, Gelest)와 같은 단량체성 옥타데실실란 유도체, PP1-SG10 Siliclad Glide 10 (Gelest)와 같은 실록산 개질된 폴리실라잔, Silwet L-77 (Setre Chemical Company)와 같은 실리콘-폴리에테르 공중합체, Silwet ECO Spreader (Momentive), 및 에톡시화된 플루오로 계면활성제(ZONYL® FSO, ZONYL® FSN-100)를 포함하지만, 이들로 국한되는 것은 아니다. 고려되는 양이온성 계면활성제는 세틸 트리메틸암모늄 브로마이드(CTAB), 헵타데칸플루오로옥탄 설폰산, 테트라에틸암모늄, 스테아릴 트리메틸암모늄 클로라이드(Econol TMS-28, Sanyo), 4-(4-디에틸아미노페닐아조)-1-(4-니트로벤질)피리듐 브로마이드, 세틸피리디늄 클로라이드 모노하이드레이트, 벤즈알코늄 클로라이드, 벤즈에토늄 클로라이드, 벤질디메틸도데실암모늄 클로라이드, 벤질디메틸헥사데실암모늄 클로라이드, 헥사데실트리메틸암모늄 브로마이드, 디메틸디옥타데실암모늄 클로라이드, 도데실트리메틸암모늄 클로라이드, 헥사데실트리메틸암모늄 p-톨루엔설포네이트, 디도데실디메틸암모늄 브로마이드, 디(수소화 탈로우)디메틸암모늄 클로라이드, 테트라헵틸암모늄 브로마이드, 테트라키스(데실)암모늄 브로마이드, Aliquat® 336 및 옥시페노늄 브로마이드, 테트라부틸암모늄 트리플루오로메탄설포네이트와 같은 구아니딘 하이드로클로라이드(C(NH2)3Cl) 또는 트리플레이트 염, 디메틸디옥타데실암모늄 클로라이드, 디메틸디헥사데실암모늄 브로마이드, 디(수소화 탈로우)디메틸암모늄 클로라이드(예를 들면, Arquad 2HT-75, Akzo Nobel), 및 폴리옥시에틸렌 (16) 탈로우 에틸모늄 에토설페이트(예를 들면, CRODAQUAT TES)를 포함하지만, 이들로 국한되는 것은 아니다. 음이온성 계면활성제는 폴리(아크릴산 나트륨염), 암모늄 폴리아크릴레이트(예를 들면, DARVAN 821A), 나트륨 폴리옥시에틸렌 라우릴 에테르, 나트륨 디헥실설포숙시네이트, 나트륨 도데실 설페이트, 디옥틸설포숙시네이트 염, 2-설포숙시네이트 염, 2,3-디메르캅토-1-프로판설폰산 염, 디사이클로헥실 설포숙시네이트 나트륨 염, 나트륨 7-에틸-2-메틸-4-운데실 설페이트(Tergitol 4), SODOSIL RM02, Zonyl FSJ 및 ZONYL® UR 과 같은 포스페이트 플루오로 계면활성제, NOVEC 4300 과 같은 플루오로 계면활성제, 및 SOKALAN CP10S 와 같은 폴리아크릴레이트를 포함하지만, 이들로 국한되는 것은 아니다. 양성이온성 계면활성제는 아세틸렌성 디올 또는 개질된 아세틸렌성 디올(예를 들면, SURFONYL® 504), 에틸렌 옥사이드 알킬아민(AOA-8, Sanyo), N,N-디메틸도데실아민 N-옥사이드, 나트륨 코카민프로피오네이트(LebonApl-D, Sanyo), 3-(N,N-디메틸미리스틸암모니오)프로판설포네이트, 및 (3-(4-헵틸)페닐-3-하이드록시프로필)디메틸암모니오프로판설포네이트를 포함하지만, 이들로 국한되는 것은 아니다. 바람직하게는, 제 1 양태의 조성물용의 적어도 하나의 계면활성제는 도데실벤젠 설폰산, PEG400, ZONYL FSO, Tween 80, SURFONIC LF-41, IGEPAL Co 890, DARVAN 821A, NOVEC 4300, CRODAQUAT TES, PLURONIC F-127, SOKALAN CP10S, 및 이들의 조합을 포함한다.
본원에서 기술되는 제 1 조성물은 바람직하게는 실질적으로 화학 기계적 폴리싱 공정(클리닝 공정의 개시전)에 전형적으로 사용되는 연마재, 불화물-함유 공급원, 아민, 4차 암모늄 염기, 및 이들의 특정 조합이 없다. 4차 암모늄 염기는 일반식 NR1R2R3R4OH(여기서, R1, R2, R3 및 R4는 서로 같거나 다를 수 있으며, 수소, 직쇄 또는 분지쇄 C1-C6 알킬(예를 들면, 메틸, 에틸, 프로필, 부틸, 펜틸, 및 헥실), 및 치환되거나 치환되지 않은 C6-C10 아릴, 예를 들면 벤질로 이루어진 군중에서 선택되지만, 단 R1, R2, R3 또는 R4중의 적어도 하나는 수소와 다른 성분이어야 한다)을 갖는 화합물을 포함한다.
제 1 조성물은 고분자전해질(예를 들면, 폴리아크릴산, 폴리(아크릴릭-코-말레산), 1,2,4-트리아졸 및 이들의 유도체), 구리 이온 공급원, 및 이들의 조합을 더 포함할 수 있다.
바람직한 실시태양에서, 제 1 조성물은 우레아 과산화수소, 시트르산, KOH, 적어도 하나의 계면활성제, 적어도 하나의 완충제, 및 물을 포함하거나, 이루어지거나, 또는 필수적으로 이루어진다. 다른 바람직한 실시태양에서, 제 1 조성물은 우레아 과산화수소, 시트르산, KOH, 적어도 하나의 계면활성제, 적어도 하나의 완충제, 설폴란, 및 물을 포함하거나, 이루어지거나, 또는 필수적으로 이루어진다. 또 다른 바람직한 실시태양에서, 제 1 조성물은 (i) 과산화수소, 우레아 과산화수소, NMMO, 및 이들의 조합으로 이루어진 군중에서 선택되는 종을 적어도 하나의 산화제, (ii) KOH를 포함하는 적어도 하나의 염기성 화합물, (iii) 설폴란, 1-(2-하이드록시에틸)-2-피롤리디논, 및 이들의 조합으로 이루어진 군중에서 선택되는 적어도 하나의 용매화제, (iv) 이염기성 인산염을 포함하는 적어도 하나의 완충제, (v) 5-설포살리실산 및 이의 유도체, HEDP, 및 이들의 조합으로 이루어진 군중에서 선택되는 종을 포함하는 적어도 하나의 착화제, 및 (vi) 물을 포함하거나, 이루어지거나, 또는 필수적으로 이루어지며, 여기서 상기 조성물은 실질적으로 아민, 4차 염기, 불화물-함유 공급원, 및 화학 기계적 폴리싱 공정에 전형적으로 사용되는 연마재가 없으며, pH는 약 7 내지 약 12의 범위이다. 바람직하게는, 제 1 조성물은 5 Å min-1 미만의 구리 에칭 속도 및 20% 이상, 보다 바람직하게는 30% 이상, 가장 바람직하게는 40% 이상의 BTA 제거 효율을 갖는다.
제 1 양태의 하나의 실시태양에서, 클리닝 용액으로서 사용하기 위하여 희석될 수 있는 농축된 제 1 조성물이 제공된다. 농축된 조성물, 또는 "농축물"은 유리하게는 사용자, 예를 들면 CMP 공정 엔지니어가 사용 지점에서 목적하는 강도 및 산도로 농축물을 희석할 수 있다. 농축된 제 1 조성물의 희석 범위는 약 1:1 내지 약 2500:1 의 범위일 수 있으며, 여기서 제 1 조성물은 장비에서 또는 장비 바로 앞에서 용매, 예를 들면, 탈이온수로 희석된다.
본원에서 기술되는 제 1 조성물의 중요한 특징은 비수성 성분(물과 다른 성분)이 소량, 보통은 약 20 중량% 미만의 소량으로 조성물중에 존재한다는 점이다. 이는 효과적인 제 1 조성물이 보다 경제적으로 제형화될 수 있기 때문에 경제적으로 유리하며, 이는 CMP-후 제 1 조성물이 대량으로 사용되기 때문에 중요하다. 또한, 제 1 조성물은 수계 조성물이기 때문에, 본원에서 기술되는 제 1 조성물은 더 쉽게 처리된다. 특히, 제 1 조성물의 수명은 단지 입자 로딩에만 의존하며, 보통 제 1 조성물은 재활용할 수 있다.
또 다른 바람직한 실시태양에서, 본원에서 기술되는 제 1 조성물은 적어도 하나의 산화제, 적어도 하나의 착화제, 적어도 하나의 염기성 화합물, 적어도 하나의 완충제, 임의적으로 적어도 하나의 계면활성제, 임의적으로 적어도 하나의 용매화제, 물, 잔사 및/또는 오염물을 포함하거나, 이루어지거나, 또는 필수적으로 이루어진다. 중요하게, 잔사 및 오염물은 본원에서 기술되는 제 1 조성물중에 용해되고/되거나 현탁될 수 있다. 바람직하게는, 상기 잔사는 CMP-후 잔사를 포함한다.
본원에서 기술되는 제 1 조성물은 개개의 성분들을 간단히 첨가한 다음 균일한 조건으로 혼합함으로써 쉽게 제형화된다. 또한, 제 1 조성물은 사용 지점에서 또는 사용 지점 앞에서 혼합되는 단일-패키지 제형 또는 다중-부분 제형으로 손쉽게 제형화될 수 있으며, 예를 들면, 다중-부분 제형의 개개의 부분은 장비에서 또는 장비 상류의 저장 탱크내에서 혼합될 수 있다. 각 성분의 농도는 본 발명의 광범위한 실시에서 특정의 다수의 제 1 조성물에서 광범위하게 변할 수 있으며, 즉, 더 희석되거나 더 농축될 수 있으며, 제 1 조성물이 본원의 개시내용과 일치하는 성분들의 특정 조합을 다양하고 대안적으로 포함하거나, 이루어지거나, 또는 필수적으로 이루어질 수 있음을 알 수 있을 것이다.
따라서, 다른 양태는 하나 이상의 용기내에 본 발명의 제 1 양태의 조성물을 형성하도록 개조된 하나 이상의 성분을 포함하는 키트에 관한 것이다. 바람직하게는, 이러한 키트는, 하나 이상의 컨테이너내에, 제조 공장에서 또는 사용 지점에서 추가의 물, 적어도 하나의 산화제, 또는 이들 둘 모두와 배합하기 위한, 적어도 하나의 착화제, 적어도 하나의 염기성 화합물, 적어도 하나의 완충제, 임의적으로 적어도 하나의 계면활성제, 임의적으로 적어도 하나의 용매화제, 및 물을 포함한다. 키트의 컨테이너는 상기 제 1 조성물 성분들을 저장하고 운송하기에 적합한 컨테이너, 예를 들면, 나우팩®(NOWPak®) 컨테이너(미국 커넥티컷주 댄버리에 소재한 어드밴스드 테크놀러지 머티리얼스 인코포레이티드(Advanced Technology Materials, Inc.)사 제품)이어야 한다.
제 2 양태에서, 본 발명은 CMP-후 잔사 및 오염물을 클리닝하기 위한 산성, 아민-비함유 조성물에 관한 것으로, 여기서 상기 제 2 조성물은 적어도 하나의 착화제, 적어도 하나의 설폰산-함유 탄화수소, 적어도 하나의 염기성 화합물, 및 물을 포함한다. 임의적으로, 제 2 조성물은 적어도 하나의 분산제, 적어도 하나의 계면활성제, 적어도 하나의 산화제, 또는 이들의 특정 조합을 더 포함할 수 있다. 제 2 조성물중의 성분은 조성물의 총 중량을 기준으로 하기의 중량% 범위로 존재한다:
Figure pct00003
희석될 때, 농축된 제 2 조성물중의 성분들의 중량% 값은 당업자가 쉽게 이해할 수 있는 바와 같이 희석인자의 인자로서 변할 것이다.
하나의 실시태양에서, 제 2 조성물은 적어도 하나의 착화제, 적어도 하나의 설폰산-함유 탄화수소, 적어도 하나의 염기성 화합물, 및 물을 포함하거나, 이루어지거나, 또는 필수적으로 이루어진다. 다른 실시태양에서, 제 2 조성물은 적어도 하나의 착화제, 적어도 하나의 설폰산-함유 탄화수소, 적어도 하나의 분산제, 적어도 하나의 염기성 화합물, 및 물을 포함하거나, 이루어지거나, 또는 필수적으로 이루어진다. 또 다른 실시태양에서, 제 2 조성물은 적어도 하나의 착화제, 적어도 하나의 설폰산-함유 탄화수소, 적어도 하나의 염기성 화합물, 적어도 하나의 계면활성제, 및 물을 포함하거나, 이루어지거나, 또는 필수적으로 이루어진다. 또 다른 실시태양에서, 제 2 조성물은 적어도 하나의 착화제, 적어도 하나의 설폰산-함유 탄화수소, 적어도 하나의 분산제, 적어도 하나의 염기성 화합물, 적어도 하나의 산화제, 및 물을 포함하거나, 이루어지거나, 또는 필수적으로 이루어진다. 물은 바람직하게는 탈이온화된다. 바람직하게는, KOH가 염기성 화합물로서 사용된다.
본 발명의 광범위한 실시에서, 제 2 조성물의 pH 범위는 약 약 7.0 미만, 보다 바람직하게는 4.5 미만, 보다 더 바람직하게는 약 1 내지 약 4의 범위, 가장 바람직하게는 약 2 내지 약 3의 범위이다.
본원에서 기술되는 제 2 조성물은 에칭-후 잔사 제거, 회분-후 잔사 제거 표면 제조, 도금-후 클리닝, CMP-후 잔사 제거, 구리 시드 에칭/클리닝, 실리콘 관통전극(TSV) 클리닝, MEMS 클리닝, 및 코발트 및 코발트 합금 표면 클리닝을 포함한 용도에서 효용을 가질 수 있지만, 그들로 국한되는 것은 아니다.
본원에서 기술되는 조성물에 사용하기에 대표적인 계면활성제는, 제 1 조성물에 대해 본원에서 소개된 바와 같이, 양쪽성 염, 양이온성 계면활성제, 음이온성 계면활성제, 양성이온성 계면활성제, 비이온성 계면활성제, 및 이들의 조합을 포함하지만, 이들로 국한되는 것은 아니다. 바람직하게는, 제 2 조성물의 적어도 하나의 계면활성제는 도데실벤젠 설폰산, 도데실 포스폰산, 및 도데실 포스페이트를 포함한다.
고려되는 염기성 화합물은 알칼리금속 수산화물, 수산화암모늄, 및 이들의 조합을 포함하며, 여기서 알칼리금속 수산화물은 KOH, CsOH, 및 이들의 조합을 포함한다. 바람직하게, 제 2 조성물의 염기성 화합물(들)은 KOH를 포함한다.
본원에서 기술되는 제 2 조성물에 사용되는 분산제는 마이크로 전자 장치 웨이퍼의 표면에서 제거된 잔사 및 오염물의 분산성을 증가시키고 재침착을 최소화시키기 위하여 포함된다. 본원에서 고려되는 분산제는, 이하에서는 저분자량 아크릴산-함유 중합체로서 지칭되는, 15,000 미만의 평균 분자량을 갖는, 아크릴산 또는 그의 염을 함유하는 유기 중합체를 포함한다. 저분자량 아크릴산-함유 중합체는 15,000 미만, 바람직하게는 약 3,000 내지 약 10,000의 평균 분자량을 갖는다. 저분자량 아크릴산-함유 중합체는 필수적인 아크릴산 또는 아크릴산 염 단량체 단위를 포함하는 단독중합체 또는 공중합체일 수 있다. 공중합체는 개질된 아크릴산, 푸마르산, 말레산, 이타콘산, 아코니트산, 메사콘산, 시트라콘산, 및 메틸렌말론산 또는 이들의 염, 말레산 무수물, 알킬렌, 비닐메틸 에테르, 스티렌 및 이들의 특정 혼합물을 포함한 특정의 적합한 단량체 단위를 필수적으로 포함할 수 있다. 바람직한 분산제로는 상품명 Acusol 445(미국 펜실베니아주 필라델피아에 소재한 롬 앤드 하아스(Rohm and Haas)사 제품) 또는 Sokalon 으로 판매되는 것들을 포함한 상업적으로 입수가능한 저분자량 아크릴산 함유 단독중합체와 같은 폴리(아크릴)산을 포함한다.
본원에서 고려되는 설폰산-함유 탄화수소는 직쇄 또는 분지쇄 C1-C6 알칸, 예를 들면, 메탄, 에탄, 프로판, 부탄, 펜탄, 헥산, 설폰산; 직쇄 또는 분지쇄 C2-C6 알켄, 예를 들면, 에텐, 프로펜, 부텐, 펜텐, 헥센, 설폰산; 및 치환되거나 치환되지 않은 C6-C14 아릴 설폰산, 및 이들의 염, 예를 들면, 나트륨염, 칼륨염 등을 포함한다. 설폰산-함유 탄화수소는 메탄설폰산(MSA), 에탄설폰산, 프로판설폰산, 부탄설폰산, 펜탄설폰산, 헥산설폰산, 에텐설폰산, 톨루엔설폰산, 및 이들의 조합을 포함한다. 가장 바람직하게는, 설폰산-함유 탄화수소는 MSA를 포함한다.
본원에서 고려되는 착화제는 제 1 조성물에 대해 상기에서 소개된 종을 포함한다. 바람직하게는, 제 2 조성물용의 착화제는 시트르산을 포함한다.
본원에서 고려되는 산화제는 제 1 조성물에 대해 상기에서 소개된 종을 포함한다. 바람직하게는, 산화제는 과산화수소 또는 우레아 과산화수소를 포함한다.
본 발명의 제 2 조성물은 바람직하게는 실질적으로 화학 기계적 폴리싱 공정(클리닝 공정의 개시전)에 전형적으로 사용되는 연마재, 불화물-함유 공급원, 아민, 4차 암모늄 염기, 및 이들의 특정 조합이 없다. 4차 암모늄 염기는 일반식 NR1R2R3R4OH(여기서, R1, R2, R3 및 R4는 서로 같거나 다를 수 있으며, 수소, 직쇄 또는 분지쇄 C1-C6 알킬(예를 들면, 메틸, 에틸, 프로필, 부틸, 펜틸, 및 헥실), 및 치환되거나 치환되지 않은 C6-C10 아릴, 예를 들면 벤질로 이루어진 군중에서 선택되지만, 단 R1, R2, R3 또는 R4 중의 적어도 하나는 수소와 다른 성분이어야 한다)을 갖는 화합물을 포함한다.
제 2 조성물은 고분자전해질(예를 들면, 폴리아크릴산, 폴리(아크릴릭-코-말레산), 1,2,4-트리아졸 및 이들의 유도체), 완충제, 용매화제, 구리 이온 공급원, 및 이들의 조합을 더 포함할 수 있다.
바람직한 실시태양에서, 제 2 조성물은 메탄설폰산, 시트르산, KOH, 및 물을 포함하거나, 이루어지거나, 또는 필수적으로 이루어진다. 다른 바람직한 실시태양에서, 제 2 조성물은 메탄설폰산, 시트르산, KOH, 과산화수소, 및 물을 포함하거나, 이루어지거나, 또는 필수적으로 이루어진다.
제 2 조성물은 하기 제형으로 제형화될 수 있으며, 여기서 제형내의 활성 성분은 조성물의 총 중량을 기준으로 하기 중량%이며, 나머지는 물이다:
Figure pct00004
하나의 실시태양에서, 클리닝 용액으로서 사용하기 위하여 희석될 수 있는 농축된 제 2 조성물이 제공된다. 농축된 제 2 조성물, 또는 "농축물"은 유리하게는 사용자, 예를 들면 CMP 공정 엔지니어가 사용 지점에서 목적하는 강도 및 산도로 농축물을 희석할 수 있다. 농축된 제 2 조성물의 희석 범위는 약 1:1 내지 약 2500:1 의 범위일 수 있으며, 여기서 제 2 조성물은 장비에서 또는 장비 바로 앞에서 용매, 예를 들면, 탈이온수로 희석된다. 당업자는 희석후에 본원에서 개시된 성분들의 중량% 비율이 변하지 않은 채로 유지되어야만 한다는 것을 인지할 것이다.
본원에서 기술되는 제 2 조성물의 중요한 특징은 비수성 성분(물과 다른 성분)이 소량, 보통은 약 10 중량% 미만의 소량으로 조성물중에 존재한다는 점이다. 이는 효과적인 제 2 조성물이 보다 경제적으로 제형화될 수 있기 때문에 경제적으로 유리하며, 이는 CMP-후 제 2 조성물이 대량으로 사용되기 때문에 중요하다. 또한, 제 2 조성물은 수계 조성물이기 때문에, 본 발명의 제 2 조성물은 더 쉽게 처리된다. 특히, 제 2 조성물의 수명은 단지 입자 로딩에만 의존하며, 보통 제 2 조성물은 재활용할 수 있다.
또 다른 바람직한 실시태양에서, 본원에서 기술되는 제 2 조성물은 적어도 하나의 착화제, 적어도 하나의 설폰산-함유 탄화수소, 적어도 하나의 염기성 화합물, 물, 잔사 및/또는 오염물, 임의적으로 적어도 하나의 분산제, 임의적으로 적어도 하나의 계면활성제, 및 임의적으로 적어도 하나의 산화제를 포함하거나, 이루어지거나, 또는 필수적으로 이루어진다. 중요하게, 잔사 및 오염물은 본원에서 기술되는 제 2 조성물중에 용해되고/되거나 현탁될 수 있다. 바람직하게는, 상기 잔사는 CMP-후 잔사를 포함한다.
머크 인덱스(Merck Index)에 따르면, 메탄설폰산은 구리에 대해 부식성이다(Merck Index, 11th ed., 1989, pg 938). 놀라웁게도, 메탄설폰산을 포함하는 본원에서 기술되는 제 2 조성물은 노출된 구리, 알루미늄 및/또는 텅스텐 상호접속부 재료를 쉽게 부식시키지 않는다. 또한, TEOS와 같은 저-k 유전체 재료, BLACK DIAMONDTM 및 다른 초저-k 유전체 재료를 포함하는 유전체 재료는, 마이크로 전자 장치상에서, 본 발명의 제 2 조성물에 의해 위해를 받지 않는다. 더욱이, 예상밖으로, 제 2 조성물에 염기성 화합물을 포함시킨 결과 약 1 내지 약 4의 범위, 보다 바람직하게는 약 2 내지 약 3의 범위의 pH를 갖는 조성물이 생성되어 증가된 BTA 제거 효율 및 더 느린 구리 에칭속도를 갖는 조성물이 생성되었다.
본원에서 기술되는 제 2 조성물은 개개의 성분들을 간단히 첨가한 다음 균일한 조건으로 혼합함으로써 쉽게 제형화된다. 또한, 제 2 조성물은 사용 지점에서 또는 사용 지점 앞에서 혼합되는 단일-패키지 제형 또는 다중-부분 제형으로 손쉽게 제형화될 수 있으며, 예를 들면, 다중-부분 제형의 개개의 부분은 장비에서 또는 장비 상류의 저장 탱크내에서 혼합될 수 있다. 각 성분의 농도는 본 발명의 광범위한 실시에서 특정의 다수의 제 2 조성물에서 광범위하게 변할 수 있으며, 즉, 더 희석되거나 더 농축될 수 있으며, 제 2 조성물이 본원의 개시내용과 일치하는 성분들의 특정 조합을 다양하고 대안적으로 포함하거나, 이루어지거나, 또는 필수적으로 이루어질 수 있음을 알 수 있을 것이다.
따라서, 다른 양태는, 하나 이상의 용기내에, 본 발명의 조성물을 형성하도록 개조된 하나 이상의 성분을 포함하는 키트에 관한 것이다. 바람직하게는, 이러한 키트는, 하나 이상의 컨테이너내에, 제조 공장에서 또는 사용 지점에서 추가의 물 및/또는 (존재하는 경우) 적어도 하나의 산화제와 배합하기 위한, 적어도 하나의 착화제, 적어도 하나의 설폰산-함유 탄화수소, 적어도 하나의 염기성 화합물, 물, 임의적으로 적어도 하나의 분산제, 및 임의적으로 적어도 하나의 계면활성제를 포함한다. 키트의 컨테이너는 상기 제 2 조성물 성분들을 저장하고 운송하기에 적합한 컨테이너, 예를 들면, 나우팩® 컨테이너(미국 커넥티컷주 댄버리에 소재한 어드밴스드 테크놀러지 머티리얼스 인코포레이티드사 제품)이어야 한다.
제 3 양태에서, 아민-비함유 제 1 및 제 2 조성물은 마이크로 전자 장치로부터 CMP-후 잔사 및 오염물을 세정하는데 유용하게 사용된다. 중요하게, 아민-비함유 제 1 및 제 2 조성물은 장치 표면상에서 저-k 유전체 재료를 손상시키거나 또는 금속 상호접속부, 예를 들면, 구리를 실질적으로 부식시키지 않는다. 아민-비함유 제 1 및 제 2 조성물은 바람직하게는 잔사 제거 이전에 장치상에 존재하는 잔사의 적어도 85%, 보다 바람직하게는 적어도 90%, 보다 더 바람직하게는 적어도 95%, 가장 바람직하게는 적어도 99%를 제거한다.
CMP-후 잔사 및 오염물 클리닝 용도에서, 아민-비함유 제 1 및 제 2 조성물은, 국한되는 것은 아니지만, 베르텍 싱글 웨이퍼 메가소닉 골드핑거(Verteq single wafer megasonic Goldfinger), 온트랙 시스템즈 DDS(OnTrak systems DDS)(양면 스크러버), SEZ 싱글 웨이퍼 스프레이 린스(SEZ single wafer spray rinse), 어플라이드 머티리얼스사의 Mirra-MesaTM/ReflexionTM/Reflexion LKTM, 및 메가소닉 배치식 습식 벤치 시스템(Megasonic batch wet bench systems)을 포함한 메가소닉 및 브러싱 스크러빙과 같은 매우 광범위한 종류의 종래의 클리닝 장비와 함께 사용될 수 있다.
상부에 CMP-후 잔사 및 오염물을 가진 마이크로 전자 장치로부터 상기 CMP-후 잔사 및 오염물을 클리닝하기 위하여 아민-비함유 제 1 또는 제 2 조성물을 사용하는 경우, 상기 아민-비함유 제 1 또는 제 2 조성물을 전형적으로는 약 5초 내지 약 10분 동안, 바람직하게는 약 15초 내지 5분 동안 약 20℃ 내지 약 50℃ 범위의 온도에서 장치와 접촉시킨다. 이러한 접촉시간 및 온도는 예시적이며, 장치로부터 CMP-후 잔사/오염물을 적어도 부분적으로 클리닝하는데 효과적인 특정의 다른 적합한 시간 및 온도가 사용될 수 있다. "적어도 부분적으로 클리닝" 및 "실질적인 제거" 모두 잔사 제거 이전에 장치상에 존재하는 잔사의 적어도 85%, 보다 바람직하게는 적어도 90%, 보다 더 바람직하게는 적어도 95%, 가장 바람직하게는 적어도 99%의 제거에 상응한다.
목적하는 클리닝 동작이 달성된 후, 아민-비함유 제 1 또는 제 2 조성물은 그들이 먼저 적용된 장치로부터 손쉽게 제거될 수 있는데, 그 이유는 그것이 본 발명 조성물의 소정의 최종 사용 용도에서 바람직하고 효과적일 수 있기 때문이다. 바람직하게는, 세정 용액은 탈이온수를 포함한다. 그후, 질소 또는 회전-건조 사이클을 이용하여 장치를 건조시킬 수 있다.
또 다른 양태는 본원에서 기술된 방법에 따라 제조된 개선된 마이크로 전자 장치 및 이러한 마이크로 전자 장치를 함유하는 제품에 관한 것이다.
다른 양태는 재순환된 제 1 또는 제 2 조성물에 관한 것이다. 제 1 또는 제 2 조성물은, 당업자가 쉽게 측정할 수 있는 바와 같이, 잔사 및/또는 오염물 로딩이 최대량에 도달하고 각각의 조성물을 수용할 수 있을 때까지 재사용될 수 있다.
또 다른 양태는, 상부에 CMP-후 잔사 및 오염물을 가진 마이크로 전자 장치로부터 상기 CMP-후 잔사 및 오염물을 클리닝하기에 충분한 시간동안 상기 마이크로 전자 장치를 제 1 또는 제 2 조성물과 접촉시키는 단계, 및 상기 마이크로 전자 장치를 제품내에 결합시키는 단계를 포함하여, 상기 마이크로 전자 장치를 포함하는 제품을 제조하는 방법에 관한 것이다.
실시예 1
구리 에칭 속도 및 조도 뿐만 아니라 장치 표면으로부터 BTA를 제거하기 위한 제형 A-I의 효율을 평가하였다. 에칭 제형을 탈이온수를 사용하여 30:1로 희석하였다. 장치는 5분동안 시트르산으로 전처리하여 선천성 산화물이 제거된 구리 구폰이었다. 그후, 쿠폰을 5분동안 1 중량% BTA 용액중에 침지시킨 다음 탈이온수로 세정하였다. 웨이퍼를 실온에서 400rpm으로 교반하면서 각각의 특정 제형내에 침지시키고, 탈이온수로 세정한 다음 N2 건조하였다. 전 및 후 지표각(grazing angle)-FTIR 을 이용하여 계측을 실시하였다.
실험 결과가 하기 표 1에 제공되어 있다.
표 1: BTA 제거 효율, 구리 에칭 속도 및 구리 표면 조도
Figure pct00005
시트르산, MSA 및 KOH를 포함하는 제형이 BTA를 효율적으로 제거하였으며 매우 느린 구리 에칭속도를 나타내었음을 알 수 있다.
실시예 2
하기 범위를 갖는 조성물을 제조하였으며, 구리 에칭 속도 및 구리 조도 뿐만 아니라 BTA 제거 효율을 측정하였다.
제형 AA: 3.5 중량% KH2PO4, 19.36 중량% N-(2-하이드록시에틸)-2-피롤리돈, 4 중량% HEDP (60%), pH가 10.5에 이르는 양의 KOH, 잔량의 물.
제형 BB: 0.2-0.9 중량% 우레아 H2O2, 1-5 중량% KH2PO4, 15-35 중량% N-(2-하이드록시에틸)-2-피롤리돈, 2-15 중량% HEDP(60%), pH가 10.5-11.5에 이르는 양의 KOH, 잔량의 물.
제형 CC: 0.2-0.9 중량% 우레아 H2O2, 0.01-0.1 중량% NMMO (47%), 1-5 중량% KH2PO4, 15-35 중량% N-(2-하이드록시에틸)-2-피롤리돈, 2-15 중량% HEDP (60%), pH가 10.5-11.5에 이르는 양의 KOH, 잔량의 물.
제형 DD: 0.2-0.9 중량% H2O2, 1-5 중량% KH2PO4, 15-35 중량% N-(2-하이드록시에틸)-2-피롤리돈, 2-15 중량% HEDP (60%), pH가 10.5-11.5에 이르는 양의 KOH, 잔량의 물.
제형 EE: 0.2-0.9 중량% H2O2, 0.01-0.1 중량% NMMO (47%), 1-5 중량% KH2PO4, 15-35 중량% N-(2-하이드록시에틸)-2-피롤리돈, 2-15 중량% HEDP (60%), pH가 10.5-11.5에 이르는 양의 KOH, 잔량의 물.
제형 FF: 0.2-0.9 중량% 우레아 H2O2, 0.01-0.1 중량% NMMO (47%), 1-5 중량% KH2PO4, 10-20 중량% 설폴란, 2-8 중량% 5-설포살리실산, pH가 10.5-11.5에 이르는 양의 KOH, 잔량의 물.
제형 GG: 0.2-0.9 중량% 우레아 H2O2, 1-5 중량% KH2PO4, 10-20 중량% 설폴란, 2-8 중량% 5-설포살리실산, pH가 10.5-11.5에 이르는 양의 KOH, 잔량의 물.
제형 HH: 0.2-0.9 중량% 우레아 H2O2, 1-5 중량% KH2PO4, 10-20 중량% 설폴란, 2-8 중량% 시트르산, pH가 10.5-11.5에 이르는 양의 KOH, 잔량의 물.
제형 AA에 대한 BTA 제거 효율은 7.14%인 반면, 구리 에칭 속도는 0.89 Å min-1(표준편차 0.10)였고 구리 조도는 0.81nm(표준편차 0.03)였다. 그에 반하여, BB-HH에 개시된 범위를 만족하는 제형들은 20% 이상, 일부의 경우에는 30% 이상의 BTA 제거 효율, 및 5 Å min-1 미만의 구리 에칭 속도를 가졌다. 대부분의 제형에서, 구리 조도는 감소하였다.
예시된 실시태양 및 특징들을 참조하여 본 발명을 본원에서 다양하게 개시하여 왔지만, 상기에서 기술된 실시태양 및 특징들은 본 발명을 제한하려는 의도가 아니며, 당업자는 본원의 개시내용에 기초하여 다른 변화, 변경 및 다른 실시태양을 제시할 수 있다는 것을 알 수 있을 것이다. 따라서, 본 발명은 이후에 제시되는 특허청구범위의 진의 및 범주내에서 모든 변화, 변경 및 또 다른 실시태양을 포함하는 것으로 광범위하게 해석되어야 한다.

Claims (23)

  1. 적어도 하나의 산화제, 적어도 하나의 착화제, 적어도 하나의 염기성 화합물, 적어도 하나의 완충제, 및 물을 포함하고, 아민, 4차 염기, 불화물-함유 공급원, 및 화학 기계적 폴리싱 공정에서 전형적으로 사용되는 연마재가 실질적으로 없는, 표면으로부터 잔사 및 오염물을 클리닝하기 위한 조성물.
  2. 제 1 항에 있어서,
    pH가 7 내지 약 12의 범위인 조성물.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 적어도 하나의 염기성 화합물이 KOH, CsOH, 수산화암모늄, 및 이들의 조합으로 이루어진 군중에서 선택되는 종을 포함하는, 조성물.
  4. 제 1 항 또는 제 2 항에 있어서,
    상기 적어도 하나의 염기성 화합물이 KOH를 포함하는, 조성물.
  5. 제 1 항 내지 제 4 항중 어느 한 항에 있어서,
    상기 적어도 하나의 착화제가 락트산, 말레산, 아스코브산, 말산, 시트르산, 벤조산, 푸마르산, 숙신산, 옥살산, 말론산, 만델산, 말레산 무수물, 프탈산, 아스파트산, 글루타민산, 글루타르산, 글리콜산, 글리옥실산, 페닐아세트산, 퀸산, 피로멜리트산, 타르타르산, 테레프탈산, 트리멜리트산, 트리메스산, 글루콘산, 글리세르산, 포름산, 아세트산, 프로피온산, 아크릴산, 아디프산, 이타콘산, 글루쿠론산, 글리신, 라이신, β-알라닌, 히스티딘, 페닐알라닌, 시스테인, 류신, 세린, 8-하이드록시퀴놀린, 2,4-펜탄디온, 벤즈테트라카복실산, 피루브산, 탄닌산, 설파닐산, 2-하이드록시포스포노카복실산(HPAA), 피로카테콜, 피로갈롤, 갈산, 탄닌산, 에틸렌디아민 테트라아세트산(EDTA), 디에틸렌트리아민펜타아세트산(DTPA), (1,2-사이클로헥실렌디니트릴로)테트라아세트산(CDTA), 이민디아세트산, 2-포스포노부탄-1,2,4-트리카복실산(PBTCA), 포스폰산, 하이드록시에틸리덴디포스폰산(HEDP), 1-하이드록시에탄-1,1-디포스폰산, 니트릴로-트리스(메틸렌)포스폰산, 살리실산, p-톨루엔설폰산, 설포살리실산 및 이의 유도체, 및 이들의 특정 조합으로 이루어진 군중에서 선택되는 종을 포함하는, 조성물.
  6. 제 1 항 내지 제 5 항중 어느 한 항에 있어서,
    상기 적어도 하나의 착화제가 시트르산, 포스폰산 유도체, 설포살리실산 또는 이의 유도체, 및 이들의 특정 조합을 포함하는, 조성물.
  7. 제 1 항 내지 제 6 항중 어느 한 항에 있어서,
    상기 적어도 하나의 산화제가 오존, 질산, 버블 공기, 사이클로헥실아미노설폰산, 과산화수소, FeCl3, 옥손(2KHSO5ㆍKHSO4ㆍK2SO4), 암모늄 과산화일황산염, 아염소산암모늄, 염소산암모늄, 요오드산암모늄, 과붕산암모늄, 과염소산암모늄, 과요오드산암모늄, 과황산암모늄, 차아염소산암모늄, 과붕산나트륨, 과황산나트륨, 차아염소산나트륨, 요오드산칼륨, 과망간산칼륨, 과황산칼륨, 차아염소산칼륨, 테트라메틸암모늄 아염소산염, 테트라메틸암모늄 염소산염, 테트라메틸암모늄 요오드산염, 테트라메틸암모늄 과붕산염, 테트라메틸암모늄 과염소산염, 테트라메틸암모늄 과요오드산염, 테트라메틸암모늄 과황산염, 테트라부틸암모늄 과산화일황산염, 과산화일황산, 질산 제2철, N-메틸모르폴린-N-옥사이드, 트리메틸아민-N-옥사이드, 트리에틸아민-N-옥사이드, 피리딘-N-옥사이드, N-에틸모르폴린-N-옥사이드, N-메틸피롤리딘-N-옥사이드, N-에틸피롤리딘-N-옥사이드, 우레아 과산화수소, 과아세트산, 과요오드산, 중크롬산칼륨, 염소산칼륨, 2-니트로페놀, 1,4-벤조퀴논, 퍼옥시벤조산, 퍼옥시프탈산염, 바나듐 산화물, 암모늄 메타바나듐산염, 텅스텐산암모늄, 질산나트륨, 질산칼륨, 질산암모늄, 질산스트론튬, 황산, 및 이들의 조합으로 이루어진 군중에서 선택되는 종을 포함하는, 조성물.
  8. 제 1 항 내지 제 7 항중 어느 한 항에 있어서,
    상기 적어도 하나의 산화제가 과산화수소, NMMO, 우레아 과산화수소, 및 이들의 조합으로 이루어진 군중에서 선택되는 종을 포함하는, 조성물.
  9. 제 1 항 내지 제 8 항중 어느 한 항에 있어서,
    상기 적어도 하나의 완충제가 인산이칼륨, 탄산칼륨, 붕산, 라이신, 프롤린, β-알라닌, 에틸렌디아민 테트라아세트산(EDTA), 디에틸렌트리아민펜타아세트산(DTPA), 디메틸 글리옥심, 이염기성 인산염(K2HPO4), 삼염기성 인산염(K3PO4), 이염기성 및 삼염기성 인산염의 혼합물, 이염기성 및 삼염기성 탄산염의 혼합물, 하이드록시에틸리덴 디포스폰산, 및 이들의 조합으로 이루어진 군중에서 선택되는 종을 포함하는, 조성물.
  10. 제 1 항 내지 제 9 항중 어느 한 항에 있어서,
    상기 적어도 하나의 완충제가 이염기성 인산염(K2HPO4), 삼염기성 인산염(K3PO4), 이염기성 및 삼염기성 인산염의 혼합물, HEDP, 및 이들의 조합으로 이루어진 군중에서 선택되는 종을 포함하는, 조성물.
  11. 제 1 항 내지 제 10 항중 어느 한 항에 있어서,
    조성물의 총 중량을 기준으로, 상기 적어도 하나의 산화제의 양이 약 0.1 중량% 내지 약 1 중량%이고, 상기 적어도 하나의 착화제의 양이 약 1 중량% 내지 약 25 중량%이고, 상기 염기성 화합물의 양이 약 0.01 중량% 내지 약 5 중량%이고, 상기 적어도 하나의 완충제의 양이 약 0.1 중량% 내지 약 5 중량%이며, 물이 약 66.5 중량% 내지 약 95 중량%인, 조성물.
  12. 제 1 항 내지 제 11 항중 어느 한 항에 있어서,
    2-피롤리디논, 1-(2-하이드록시에틸)-2-피롤리디논, 글리세롤, 1,4-부탄디올, 테트라메틸렌 설폰(설폴란), 디메틸 설폰, 에틸렌 글리콜, 프로필렌 글리콜, 디프로필렌 글리콜, 디에틸렌 글리콜 모노메틸 에테르, 트리에틸렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 모노에틸 에테르, 트리에틸렌 글리콜 모노에틸 에테르, 에틸렌 글리콜 모노프로필 에테르, 에틸렌 글리콜 모노부틸 에테르, 디에틸렌 글리콜 모노부틸 에테르, 트리에틸렌 글리콜 모노부틸 에테르, 에틸렌 글리콜 모노헥실 에테르, 디에틸렌 글리콜 모노헥실 에테르, 에틸렌 글리콜 페닐 에테르, 프로필렌 글리콜 메틸 에테르, 디프로필렌 글리콜 메틸 에테르(DPGME), 트리프로필렌 글리콜 메틸 에테르(TPGME), 디프로필렌 글리콜 디메틸 에테르, 디프로필렌 글리콜 에틸 에테르, 프로필렌 글리콜 n-프로필 에테르, 디프로필렌 글리콜 n-프로필 에테르(DPGPE), 트리프로필렌 글리콜 n-프로필 에테르, 프로필렌 글리콜 n-부틸 에테르, 디프로필렌 글리콜 n-부틸 에테르, 트리프로필렌 글리콜 n-부틸 에테르, 프로필렌 글리콜 페닐 에테르, 및 이들의 조합으로 이루어진 군중에서 선택되는 종을 포함하는 적어도 하나의 용매화제를 더 포함하는 조성물.
  13. 제 1 항 내지 제 12 항중 어느 한 항에 있어서,
    설폴란, 1-(2-하이드록시에틸)-2-피롤리디논, 및 이들의 조합을 포함하는 적어도 하나의 용매화제를 더 포함하는 조성물.
  14. 제 12 항 또는 제 13 항에 있어서,
    상기 적어도 하나의 용매화제의 양이 조성물의 총 중량을 기준으로 약 5 중량% 내지 약 20 중량%인, 조성물.
  15. 제 1 항 내지 제 14 항중 어느 한 항에 있어서,
    도데실벤젠설폰산(DDBSA), 폴리에틸렌 글리콜, 폴리프로필렌 글리콜, 폴리에틸렌 또는 폴리프로필렌 글리콜 에테르, 에틸렌 옥사이드 및 프로필렌 옥사이드를 기본으로 하는 블록 공중합체, (분지된) 폴리옥시에틸렌 (40) 노닐페닐에테르, 디노닐페닐 폴리옥시에틸렌, 노닐페놀 알콕실레이트, 폴리에틸렌 글리콜 소르비탄 모노올리에이트, 소르비탄 모노올리에이트, 에톡실화된 플루오로계면활성제, 폴리옥시에틸렌 (16) 탈로우 에틸모늄 에토설페이트, 암모늄 폴리아크릴레이트, 플루오로계면활성제, 폴리아크릴레이트, 및 이들의 조합으로 이루어진 군중에서 선택되는 적어도 하나의 계면활성제를 더 포함하는 조성물.
  16. 제 15 항에 있어서,
    상기 적어도 하나의 계면활성제의 양이 조성물의 총 중량을 기준으로 약 0.001 중량% 내지 약 1 중량%인, 조성물.
  17. 제 1 항 내지 제 16 항중 어느 한 항에 있어서,
    상기 조성물이 우레아 과산화수소, KOH, KH2PO4, HEDP, 적어도 하나의 용매화제, 및 물을 포함하며, pH가 약 7 내지 약 12의 범위인, 조성물.
  18. 제 1 항 내지 제 17 항중 어느 한 항에 있어서,
    상기 조성물이 우레아 과산화수소, KOH, KH2PO4, 5-설포살리실산, 적어도 하나의 용매화제, 및 물을 포함하며, pH가 약 7 내지 약 12의 범위인, 조성물.
  19. 제 1 항 내지 제 18 항중 어느 한 항에 있어서,
    상기 잔사 및 오염물이, CMP 폴리싱 슬러리로부터의 입자, CMP 폴리싱 슬러리내에 존재하는 화학물질, CMP 폴리싱 슬러리의 반응 부산물, 탄소-풍부 입자, 폴리싱 패드 입자, 구리, 및 구리 산화물로 이루어진 군중에서 선택되는 CMP-후 잔사 및 오염물을 포함하는, 조성물.
  20. 제 1 항 내지 제 19 항중 어느 한 항에 있어서,
    CMP-후 잔사 및 오염물을 더 포함하는 조성물.
  21. 상부에 잔사 및 오염물을 가진 마이크로 전자 장치로부터 잔사 및 오염물을 클리닝하는 방법으로서,
    상기 마이크로 전자 장치를, 상기 마이크로 전자 장치로부터 잔사 및 오염물을 적어도 부분적으로 클리닝하기에 충분한 시간동안 제 1 항 내지 제 20 항중 어느 한 항에 따른 조성물과 접촉시키는 단계를 포함하는 방법.
  22. 제 21 항에 있어서,
    상기 잔사 및 오염물이 CMP-후 잔사 및 오염물을 포함하는, 방법.
  23. 제 21 항 또는 제 22 항에 있어서,
    상기 접촉이, 약 15초 내지 약 5분의 시간; 약 20℃ 내지 약 50℃ 범위의 온도; 및 이들의 조합으로 이루어진 군중에서 선택되는 조건을 포함하는, 방법.
KR1020147025340A 2012-02-15 2013-02-15 조성물을 이용한 cmp-후 제거 방법 및 그의 이용 방법 KR102105381B1 (ko)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US201261599162P 2012-02-15 2012-02-15
US61/599,162 2012-02-15
US201261651287P 2012-05-24 2012-05-24
US61/651,287 2012-05-24
US201261656992P 2012-06-07 2012-06-07
US61/656,992 2012-06-07
US201261661160P 2012-06-18 2012-06-18
US61/661,160 2012-06-18
PCT/US2013/026326 WO2013123317A1 (en) 2012-02-15 2013-02-15 Post-cmp removal using compositions and method of use

Publications (2)

Publication Number Publication Date
KR20140139498A true KR20140139498A (ko) 2014-12-05
KR102105381B1 KR102105381B1 (ko) 2020-04-29

Family

ID=48984743

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147025340A KR102105381B1 (ko) 2012-02-15 2013-02-15 조성물을 이용한 cmp-후 제거 방법 및 그의 이용 방법

Country Status (8)

Country Link
US (1) US10176979B2 (ko)
EP (1) EP2814895A4 (ko)
JP (1) JP2015512971A (ko)
KR (1) KR102105381B1 (ko)
CN (1) CN104508072A (ko)
SG (1) SG11201404930SA (ko)
TW (1) TWI600756B (ko)
WO (1) WO2013123317A1 (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020130266A1 (ko) * 2018-12-21 2020-06-25 주식회사 케이씨텍 세정액 조성물 및 그것을 이용한 세정 방법
US10711160B2 (en) 2017-06-12 2020-07-14 Samsung Electronics Co., Ltd. Slurry compositions for polishing a metal layer and methods for fabricating semiconductor devices using the same
KR102284465B1 (ko) * 2020-09-24 2021-08-02 양영수 스텐레스 용기의 제조방법
US11851584B2 (en) 2016-07-14 2023-12-26 Cmc Materials, Inc. Alternative oxidizing agents for cobalt CMP
WO2024063465A1 (ko) * 2022-09-22 2024-03-28 한양대학교 산학협력단 세정 조성물 및 이를 이용한 기판의 세정방법

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6041624B2 (ja) * 2012-10-31 2016-12-14 株式会社ネオス シリカスケール除去剤組成物
WO2014089196A1 (en) 2012-12-05 2014-06-12 Advanced Technology Materials, Inc. Compositions for cleaning iii-v semiconductor materials and methods of using same
EP2964725B1 (en) 2013-03-04 2021-06-23 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
WO2014168037A1 (ja) * 2013-04-12 2014-10-16 三菱瓦斯化学株式会社 銅およびチタンを含む多層膜のエッチングに使用される液体組成物、および該組成物を用いたエッチング方法、多層膜配線の製造方法、基板
KR102338550B1 (ko) 2013-06-06 2021-12-14 엔테그리스, 아이엔씨. 질화 티타늄의 선택적인 에칭을 위한 조성물 및 방법
EP3027709A4 (en) 2013-07-31 2017-03-29 Entegris, Inc. AQUEOUS FORMULATIONS FOR REMOVING METAL HARD MASK AND POST-ETCH RESIDUE WITH Cu/W COMPATIBILITY
SG11201601158VA (en) 2013-08-30 2016-03-30 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
CN103556164B (zh) * 2013-10-28 2015-08-19 沈阳大学 一种钛铝铬氮化物硬质反应膜的退除方法
TWI654340B (zh) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
WO2015095726A1 (en) 2013-12-20 2015-06-25 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
WO2015103146A1 (en) 2013-12-31 2015-07-09 Advanced Technology Materials, Inc. Formulations to selectively etch silicon and germanium
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015116679A1 (en) * 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) * 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
US20150344822A1 (en) * 2014-06-02 2015-12-03 Tetra Tech, Inc. Decontaminant and Process for Decontamination of Chemicals from Infrastructural Materials
US11978622B2 (en) * 2014-06-30 2024-05-07 Entegris, Inc. Aqueous and semi-aqueous cleaners for the removal of post-etch residues with tungsten and cobalt compatibility
KR20170056631A (ko) * 2014-09-18 2017-05-23 어플라이드 머티어리얼스, 인코포레이티드 엔지니어링된 점성 유체를 이용한 고효율 cmp 후 세정을 위한 방법 및 장치
EP3209815B1 (en) * 2014-10-21 2021-12-29 CMC Materials, Inc. Corrosion inhibitors and related compositions and methods
WO2016069576A1 (en) * 2014-10-31 2016-05-06 Entegris, Inc. Non-amine post-cmp compositions and method of use
JP6454928B2 (ja) * 2015-03-11 2019-01-23 上村工業株式会社 無電解めっき用処理剤、およびこれを用いたプリント配線基板とパッケージの製造方法
CN108291314B (zh) * 2015-11-19 2020-09-11 Oci有限公司 铜蚀刻用组合物及过氧化氢类金属蚀刻用组合物
EP3394879A2 (en) 2015-12-22 2018-10-31 Basf Se Composition for post chemical-mechanical-polishing cleaning
SG11201804637UA (en) * 2015-12-22 2018-07-30 Basf Se Composition for post chemical-mechanical-polishing cleaning
CN108701616B (zh) * 2016-02-16 2023-04-14 Cmc材料股份有限公司 抛光iii-v族材料的方法
TWI796289B (zh) * 2016-03-09 2023-03-21 美商恩特葛瑞斯股份有限公司 化學機械研磨後清洗組合物及清洗方法
CN105802763B (zh) * 2016-04-13 2018-08-03 乌鲁木齐市疾病预防控制中心 一种光谱分析仪器进样管路汞污染清洗剂
US9685406B1 (en) 2016-04-18 2017-06-20 International Business Machines Corporation Selective and non-selective barrier layer wet removal
CN109312263B (zh) 2016-06-10 2022-04-15 巴斯夫欧洲公司 用于化学机械抛光后清洁的组合物
RU2729485C1 (ru) * 2016-08-24 2020-08-07 Ппг Индастриз Огайо, Инк. Железосодержащая композиция очистителя
US10431464B2 (en) 2016-10-17 2019-10-01 International Business Machines Corporation Liner planarization-free process flow for fabricating metallic interconnect structures
CN110023477A (zh) 2016-11-25 2019-07-16 恩特格里斯公司 用于去除蚀刻后残留物的清洁组合物
US11279905B2 (en) 2017-01-17 2022-03-22 Daicel Corporation Semiconductor substrate cleaning agent
US10793809B2 (en) * 2017-02-28 2020-10-06 Ecolab Usa Inc. Alkaline cleaning compositions comprising a hydroxyphosphono carboxylic acid and methods of reducing metal corrosion
CN110431210B (zh) * 2017-03-23 2022-09-20 福吉米株式会社 研磨用组合物
AT519894A1 (de) * 2017-04-29 2018-11-15 Thonhauser Gmbh Reinigungsverfahren
CN107338126A (zh) * 2017-06-23 2017-11-10 昆山欣谷微电子材料有限公司 一种水基微电子剥离和清洗液组合物
JP6498734B2 (ja) * 2017-08-24 2019-04-10 攝津製油株式会社 洗浄剤組成物、洗浄剤、及び洗浄方法
US11175587B2 (en) * 2017-09-29 2021-11-16 Versum Materials Us, Llc Stripper solutions and methods of using stripper solutions
US10672653B2 (en) 2017-12-18 2020-06-02 International Business Machines Corporation Metallic interconnect structures with wrap around capping layers
TWI838356B (zh) * 2018-01-25 2024-04-11 德商馬克專利公司 光阻移除劑組合物
US11085011B2 (en) 2018-08-28 2021-08-10 Entegris, Inc. Post CMP cleaning compositions for ceria particles
CN109179965B (zh) * 2018-11-01 2020-11-17 中国农业大学 一种用于污泥高效脱水的复配调理剂及污泥脱水方法
WO2020148308A1 (en) * 2019-01-15 2020-07-23 Atotech Deutschland Gmbh Method of forming copper oxide on a copper surface
US11124741B2 (en) * 2019-02-08 2021-09-21 Entegris, Inc. Ceria removal compositions
MX2021012399A (es) 2019-04-12 2021-12-10 Ecolab Usa Inc Limpiador antimicrobiano multiuso y métodos de fabricación y uso de este.
JP2020188090A (ja) * 2019-05-13 2020-11-19 Jsr株式会社 コバルトを含む基板を処理するための半導体洗浄用または化学機械研磨用組成物
JP7389886B2 (ja) * 2019-07-11 2023-11-30 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツング フォトレジストリムーバ組成物
KR20220110490A (ko) * 2019-12-03 2022-08-08 미쯔비시 케미컬 주식회사 세륨 화합물 제거용 세정액, 세정 방법 및 반도체 웨이퍼의 제조 방법
CN111269761B (zh) * 2020-02-13 2021-04-27 金丝甲(上海)安全防范技术有限公司 洗消液及其用于锕系核素和过渡金属核素污染洗消的用途
KR20220012521A (ko) 2020-07-23 2022-02-04 주식회사 케이씨텍 세정액 조성물 및 이를 이용한 세정 방법
TWI824299B (zh) * 2020-09-22 2023-12-01 美商恩特葛瑞斯股份有限公司 蝕刻劑組合物
BR112023019583A2 (pt) 2021-04-01 2023-12-05 Sterilex LLC Desinfetante/sanitizante em pó sem quaternários
CN114854500A (zh) * 2022-05-12 2022-08-05 常州时创能源股份有限公司 一种硅片清洗用添加剂、清洗液及硅片制绒后清洗方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040063776A (ko) * 2003-01-07 2004-07-14 토소가부시키가이샤 세정액 및 그것을 이용한 세정방법
WO2009102004A1 (ja) * 2008-02-15 2009-08-20 Lion Corporation 洗浄剤組成物および電子デバイス用基板の洗浄方法
US20100043824A1 (en) * 2008-08-20 2010-02-25 Micron Technology, Inc. Microelectronic substrate cleaning systems with polyelectrolyte and associated methods

Family Cites Families (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
US5466389A (en) * 1994-04-20 1995-11-14 J. T. Baker Inc. PH adjusted nonionic surfactant-containing alkaline cleaner composition for cleaning microelectronics substrates
US6323168B1 (en) 1996-07-03 2001-11-27 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US7534752B2 (en) 1996-07-03 2009-05-19 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US6244785B1 (en) 1996-11-12 2001-06-12 H. B. Zachry Company Precast, modular spar system
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6755989B2 (en) 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US8092707B2 (en) 1997-04-30 2012-01-10 3M Innovative Properties Company Compositions and methods for modifying a surface suited for semiconductor fabrication
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
JP4226216B2 (ja) 1998-05-18 2009-02-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 半導体基板用の剥離用組成物
US6875733B1 (en) 1998-10-14 2005-04-05 Advanced Technology Materials, Inc. Ammonium borate containing compositions for stripping residues from semiconductor substrates
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6723691B2 (en) 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6492308B1 (en) 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6927176B2 (en) * 2000-06-26 2005-08-09 Applied Materials, Inc. Cleaning method and solution for cleaning a wafer in a single wafer process
US6361407B1 (en) * 2000-08-02 2002-03-26 Memc Electronic Materials, Inc. Method of polishing a semiconductor wafer
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6627587B2 (en) 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US20030119692A1 (en) 2001-12-07 2003-06-26 So Joseph K. Copper polishing cleaning solution
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
CN102061228B (zh) * 2002-06-07 2013-02-13 安万托特性材料股份有限公司 包含氧化剂和有机溶剂的微电子清洁组合物
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
US7736405B2 (en) 2003-05-12 2010-06-15 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for copper and associated materials and method of using same
US7056648B2 (en) 2003-09-17 2006-06-06 International Business Machines Corporation Method for isotropic etching of copper
JP4620680B2 (ja) * 2003-10-29 2011-01-26 マリンクロッド・ベイカー・インコーポレイテッド ハロゲン化金属の腐食阻害剤を含有するアルカリ性のプラズマエッチング/灰化後の残渣の除去剤およびフォトレジスト剥離組成物
KR20060115896A (ko) 2003-12-02 2006-11-10 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 레지스트, barc 및 갭 필 재료 스트리핑 케미칼 및방법
US20050145311A1 (en) 2003-12-30 2005-07-07 Walker Elizabeth L. Method for monitoring surface treatment of copper containing devices
US7390744B2 (en) * 2004-01-29 2008-06-24 Applied Materials, Inc. Method and composition for polishing a substrate
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US7253111B2 (en) 2004-04-21 2007-08-07 Rohm And Haas Electronic Materials Cmp Holding, Inc. Barrier polishing solution
US7323421B2 (en) * 2004-06-16 2008-01-29 Memc Electronic Materials, Inc. Silicon wafer etching process and composition
US20060063687A1 (en) 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060148666A1 (en) 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US7923423B2 (en) 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
WO2006086265A2 (en) * 2005-02-07 2006-08-17 Applied Materials, Inc. Method and composition for polishing a substrate
US7365045B2 (en) 2005-03-30 2008-04-29 Advanced Tehnology Materials, Inc. Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide
WO2006110645A2 (en) 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
US20070251551A1 (en) 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
TWI622639B (zh) 2005-06-07 2018-05-01 恩特葛瑞斯股份有限公司 金屬及介電相容犠牲抗反射塗層清洗及移除組成物
KR20080015027A (ko) 2005-06-13 2008-02-15 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 규화물 형성 후 금속 또는 금속 합금의 선택적인제거를 위한 조성물 및 방법
WO2007027522A2 (en) 2005-08-29 2007-03-08 Advanced Technology Materials, Inc. Composition and method for removing thick film photoresist
EP1949424A2 (en) 2005-10-05 2008-07-30 Advanced Technology Materials, Inc. Composition and method for selectively etching gate spacer oxide material
KR101444468B1 (ko) 2005-10-05 2014-10-30 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제
KR20080059442A (ko) 2005-10-13 2008-06-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 상용성 포토레지스트 및/또는 희생 반사방지 코팅제거 조성물
WO2007111694A2 (en) 2005-11-09 2007-10-04 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
TW200734448A (en) 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
US20080076688A1 (en) 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
EP2082024A4 (en) 2006-09-25 2010-11-17 Advanced Tech Materials COMPOSITIONS AND METHODS FOR REMOVING A PHOTORESISTANT AGENT FOR RECYCLING A SILICON GALETTE
US20080125342A1 (en) 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
TWI611047B (zh) 2006-12-21 2018-01-11 恩特葛瑞斯股份有限公司 用以移除蝕刻後殘餘物之液體清洗劑
TWI509690B (zh) 2006-12-21 2015-11-21 Entegris Inc 選擇性移除氮化矽之組合物及方法
TWI516573B (zh) 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
WO2008114616A1 (ja) 2007-03-16 2008-09-25 Mitsubishi Gas Chemical Company, Inc. 洗浄用組成物、半導体素子の製造方法
US20100112728A1 (en) 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
US7976723B2 (en) 2007-05-17 2011-07-12 International Business Machines Corporation Method for kinetically controlled etching of copper
TW200918664A (en) 2007-06-13 2009-05-01 Advanced Tech Materials Wafer reclamation compositions and methods
TW200916571A (en) 2007-08-02 2009-04-16 Advanced Tech Materials Non-fluoride containing composition for the removal of residue from a microelectronic device
KR20100056537A (ko) 2007-08-20 2010-05-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 이온-주입된 포토레지스트를 제거하기 위한 조성물 및 방법
KR20100123757A (ko) 2008-03-07 2010-11-24 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 비-선택적 산화물 에칭용 습윤 세정 조성물 및 사용 방법
EP2288965A4 (en) 2008-05-01 2011-08-10 Advanced Tech Materials LOW PH MIXTURES FOR REMOVAL OF HIGH DENSITY IMPLANTED RESERVE
CN102216854A (zh) 2008-08-04 2011-10-12 高级技术材料公司 环境友好型聚合物剥离组合物
EP2342738A4 (en) 2008-10-02 2013-04-17 Advanced Tech Materials USE OF TENSID / DETOINT MIXTURES FOR INCREASED METAL LOADING AND SURFACE PASSIVATION OF SILICON SUBSTRATES
CN102197124B (zh) 2008-10-21 2013-12-18 高级技术材料公司 铜清洁及保护调配物
EP2391700A4 (en) 2009-01-28 2016-08-31 Entegris Inc IN SITU CLEANING FORMULATIONS OF LITHOGRAPHIC APPARATUS
WO2010086745A1 (en) 2009-02-02 2010-08-05 Atmi Taiwan Co., Ltd. Method of etching lanthanum-containing oxide layers
WO2010091045A2 (en) 2009-02-05 2010-08-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of polymers and other organic material from a surface
US8754021B2 (en) * 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
JP2011205058A (ja) 2009-12-17 2011-10-13 Rohm & Haas Electronic Materials Llc 半導体基体をテクスチャ化する改良された方法
TWI583786B (zh) 2010-01-29 2017-05-21 恩特葛瑞斯股份有限公司 供附有金屬佈線之半導體用清洗劑
JP2013533631A (ja) 2010-07-16 2013-08-22 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド エッチング後残渣を除去するための水性洗浄剤
JP2012036750A (ja) 2010-08-04 2012-02-23 Panasonic Corp 圧縮機
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
SG187959A1 (en) 2010-08-27 2013-03-28 Advanced Tech Materials Method for preventing the collapse of high aspect ratio structures during drying
SG10201508015RA (en) 2010-10-06 2015-10-29 Entegris Inc Composition and process for selectively etching metal nitrides
US9416338B2 (en) 2010-10-13 2016-08-16 Advanced Technology Materials, Inc. Composition for and method of suppressing titanium nitride corrosion
US20130045597A1 (en) 2010-11-19 2013-02-21 Mitsubishi Gas Chemical Company, Inc. Liquid composition for cleaning semiconductor substrate and method of cleaning semiconductor substrate using the same
WO2012097143A2 (en) 2011-01-13 2012-07-19 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium- containing solutions
JP2012186470A (ja) 2011-02-18 2012-09-27 Sanyo Chem Ind Ltd 銅配線半導体用洗浄剤
JP2012251026A (ja) 2011-05-31 2012-12-20 Sanyo Chem Ind Ltd 半導体用洗浄剤
WO2012174518A2 (en) 2011-06-16 2012-12-20 Advanced Technology Materials, Inc. Compositions and methods for selectively etching silicon nitride
US9257270B2 (en) 2011-08-15 2016-02-09 Ekc Technology Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
US20130045908A1 (en) 2011-08-15 2013-02-21 Hua Cui Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
US8618036B2 (en) 2011-11-14 2013-12-31 International Business Machines Corporation Aqueous cerium-containing solution having an extended bath lifetime for removing mask material
JP6066552B2 (ja) 2011-12-06 2017-01-25 関東化學株式会社 電子デバイス用洗浄液組成物
CN104145324B (zh) 2011-12-28 2017-12-22 恩特格里斯公司 用于选择性蚀刻氮化钛的组合物和方法
CN104488068B (zh) 2012-03-12 2019-02-12 恩特格里斯公司 选择性去除灰化旋涂玻璃的方法
WO2013138278A1 (en) 2012-03-12 2013-09-19 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
WO2014089196A1 (en) 2012-12-05 2014-06-12 Advanced Technology Materials, Inc. Compositions for cleaning iii-v semiconductor materials and methods of using same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040063776A (ko) * 2003-01-07 2004-07-14 토소가부시키가이샤 세정액 및 그것을 이용한 세정방법
WO2009102004A1 (ja) * 2008-02-15 2009-08-20 Lion Corporation 洗浄剤組成物および電子デバイス用基板の洗浄方法
US20100043824A1 (en) * 2008-08-20 2010-02-25 Micron Technology, Inc. Microelectronic substrate cleaning systems with polyelectrolyte and associated methods

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11851584B2 (en) 2016-07-14 2023-12-26 Cmc Materials, Inc. Alternative oxidizing agents for cobalt CMP
US10711160B2 (en) 2017-06-12 2020-07-14 Samsung Electronics Co., Ltd. Slurry compositions for polishing a metal layer and methods for fabricating semiconductor devices using the same
WO2020130266A1 (ko) * 2018-12-21 2020-06-25 주식회사 케이씨텍 세정액 조성물 및 그것을 이용한 세정 방법
US11845912B2 (en) 2018-12-21 2023-12-19 Kctech Co., Ltd. Cleaning liquid composition and cleaning method using same
KR102284465B1 (ko) * 2020-09-24 2021-08-02 양영수 스텐레스 용기의 제조방법
WO2024063465A1 (ko) * 2022-09-22 2024-03-28 한양대학교 산학협력단 세정 조성물 및 이를 이용한 기판의 세정방법

Also Published As

Publication number Publication date
WO2013123317A1 (en) 2013-08-22
TWI600756B (zh) 2017-10-01
EP2814895A1 (en) 2014-12-24
CN104508072A (zh) 2015-04-08
US10176979B2 (en) 2019-01-08
KR102105381B1 (ko) 2020-04-29
US20160020087A1 (en) 2016-01-21
SG11201404930SA (en) 2014-09-26
EP2814895A4 (en) 2015-10-07
TW201343905A (zh) 2013-11-01
JP2015512971A (ja) 2015-04-30

Similar Documents

Publication Publication Date Title
KR102105381B1 (ko) 조성물을 이용한 cmp-후 제거 방법 및 그의 이용 방법
US11127587B2 (en) Non-amine post-CMP compositions and method of use
JP6339555B2 (ja) 高いwn/w選択率を有するストリッピング組成物
KR101912400B1 (ko) TiN 하드 마스크 및 에치 잔류물 제거
TWI703210B (zh) 化學機械研磨後調配物及使用方法
US8754021B2 (en) Non-amine post-CMP composition and method of use
KR101914817B1 (ko) 비-아민 cmp-후 조성물 및 사용 방법
US20100286014A1 (en) Low ph post-cmp residue removal composition and method of use
US20080076688A1 (en) Copper passivating post-chemical mechanical polishing cleaning composition and method of use
WO2005076332A1 (ja) 半導体デバイス用基板洗浄液及び洗浄方法
JP2008543060A (ja) 銅不活性化化学機械研磨後洗浄組成物及び使用方法
WO2003065433A1 (fr) Detergent liquide pour substrat de dispositif semi-conducteur et procede de nettoyage
JPWO2012066894A1 (ja) 半導体基板の洗浄用液体組成物およびそれを用いた半導体基板の洗浄方法
JP2008210990A (ja) 半導体デバイス用洗浄剤及びそれを用いた半導体デバイスの洗浄方法
TW201732024A (zh) 用於化學機械硏磨後清潔之組成物
TWI743026B (zh) 無胺之化學機械研磨後(post cmp)組成物及其使用方法
JP2010087258A (ja) 半導体基板表面用洗浄剤及びそれを用いた半導体デバイスの洗浄方法
WO2023096862A1 (en) Microelectronic device cleaning composition
JP2010050377A (ja) 半導体基板表面用洗浄剤及びそれを用いた半導体デバイスの洗浄方法

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant