TWI647298B - 選擇性蝕刻矽和鍺之配方 - Google Patents

選擇性蝕刻矽和鍺之配方 Download PDF

Info

Publication number
TWI647298B
TWI647298B TW103146533A TW103146533A TWI647298B TW I647298 B TWI647298 B TW I647298B TW 103146533 A TW103146533 A TW 103146533A TW 103146533 A TW103146533 A TW 103146533A TW I647298 B TWI647298 B TW I647298B
Authority
TW
Taiwan
Prior art keywords
germanium
silicon
containing material
ammonium
composition
Prior art date
Application number
TW103146533A
Other languages
English (en)
Other versions
TW201533220A (zh
Inventor
史帝芬 比洛德歐
艾曼紐 庫帕
Original Assignee
美商恩特葛瑞斯股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商恩特葛瑞斯股份有限公司 filed Critical 美商恩特葛瑞斯股份有限公司
Publication of TW201533220A publication Critical patent/TW201533220A/zh
Application granted granted Critical
Publication of TWI647298B publication Critical patent/TWI647298B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only

Abstract

本發明係關於適用於自其上具有含矽材料及含鍺材料之微電子裝置相對於含鍺材料選擇性移除含矽材料及反之亦然的組成物。該移除組成物包括至少一種二醇且可調整以達成所需的Si:Ge移除選擇性及蝕刻速率。

Description

選擇性蝕刻矽和鍺之配方
本發明係關於一種相對於含鍺材料選擇性蝕刻含矽材料及反之亦然的組成物及方法。
過去數十年來,積體電路中之特徵的縮放使得能夠增加半導體晶片上之功能性單元的密度。舉例來說,縮小電晶體尺寸容許將增加數量的記憶體裝置併入晶片上,導致製造具有增加容量之產品。
在用於積體電路裝置之場效電晶體(FET)的製造中,除矽外之半導電結晶材料可能有利。一種該類材料的實例為Ge,其提供許多相對於矽的潛在有利特徵,諸如,但不限於,高電荷載體(電洞)遷移率、帶隙偏移(band gap offset)、不同的晶格常數、及與矽合金化形成SiGe之半導電二元合金的能力。
在新型電晶體設計中使用Ge的一個問題為現針對多年來積極縮放之矽FET達成之極細特徵(例如,22奈米及以下)現在難以在Ge中達成,當以較不積極縮放的形式實施時,通常會使得潛在基於材料的效能被消除。縮放的困難係與Ge的材料性質有關,且更特定言之難以蝕刻通常在Ge活性層(例如,電晶體通道層)與下層矽基板材料之間用作中間層的SiGe。
本發明之一目的為提供相對於含鍺材料選擇性移除含矽材料及反之亦然,同時使存在於微電子裝置上之其他材料的移除或腐蝕減至最小的組成物。此外,本發明之一目的提供一種用於非選擇性蝕刻含矽及含鍺材料,以致該等材料以實質上相同速率蝕刻的組成物。
本發明係關於一種自包含含矽材料及含鍺材料之微電子裝置相對於含鍺材料選擇性蝕刻含矽材料及反之亦然的組成物及方法。
在一態樣中,描述一種自微電子裝置之表面相對於含鍺材料選擇性移除含矽材料之方法,該方法包括使矽選擇性組成物與微電子裝置之表面以相對於含鍺材料選擇性移除含矽材料所需的時間及溫度接觸,其中該矽選擇性組成物包含至少一種二醇化合物、至少一種氟化物物質、及至少一種氧化物質。
在另一態樣中,描述一種自微電子裝置之表面相對於含矽材料選擇性移除含鍺材料之方法,該方法包括使鍺選擇性組成物與微電子裝置之表面以相對於含矽材料選擇性移除含鍺材料所需的時間及溫度接觸,其中該鍺選擇性組成物包含至少一種二醇化合物及水。
本發明之其他態樣、特徵及具體例將可由隨後之揭示內容及隨附之申請專利範圍而更完整明瞭。
一般而言,本發明係關於相對於含鍺材料選擇性移除含矽材料及反之亦然,且因此適用作用於自微電子裝置至少部分移除材料之蝕刻劑的組成物。本發明揭示可如何調整組成物以相對於含Ge材料移除含Si材料或相對於含Si材料移除含Ge材料。
為容易參考起見,「微電子裝置」係相當於經製造用於微電子、積體電路、能量收集、或電腦晶片應用中之半導體基板、平板顯示器、相變記憶體裝置、太陽能面板及包括太陽能電池裝置、光伏打元件、及微機電系統(MEMS)之其他產品。應明瞭術語「微電子裝置」、「微電子基板」及「微電子裝置結構」並不具任何限制意味,且其包括任何最終將成為微電子裝置或微電子組件的基板或結構。微電子裝置可為圖案化、毯覆式、控制及/或測試裝置。
「矽」可定義為包括Si、多晶Si、及單晶Si。矽包含在可(例如)使用作為諸如FET及積體電路之電子裝置之基板或部分基板的絕緣體上矽(SOI)晶圓中。其他類型之晶圓亦可包含矽。
如本文所使用之「含矽材料」係相當於矽;p-摻雜矽;n-摻雜矽;氧化矽,包括閘氧化物(例如,熱或化學生長之SiO2)及TEOS;氮化矽;熱氧化物;SiOH;SiCOH;矽化鈦;矽化鎢;矽化鎳;矽化鈷;及低k介電材料。如本文所定義之「低k介電材料」係相當於在層狀微電子裝置中用作介電材料之任何材料,其中該材料具有低於約3.5之介電常數。較佳地,低k介電材料包括低極性材料諸如含矽有機聚合物、含矽有機/無機混合材料、有機矽酸鹽玻璃(OSG)、TEOS、氟化矽酸鹽玻璃(FSG)、二氧化矽、及摻碳氧化物(CDO)玻璃。應明瞭低k介電材料可具有不同密度及不同孔隙度。
如文中所述之「氧化矽」或「SiO2」材料係相當於自氧化矽前驅體來源沉積之材料,例如,TEOS、熱沉積氧化矽,或使用諸如SiLKTM、AURORATM、CORALTM、或BLACK DIAMONDTM之市售前驅體沉積之摻碳氧化物(CDO)。針對本說明之目的,「氧化矽」意欲廣義地包括SiO2、CDO、矽氧烷及熱氧化物。氧化矽或SiO2材料係相當於純氧化矽(SiO2)以及於結構中包含雜質的不純氧化矽。
如本文所使用之「氟化物」物質係相當於包括離子氟化物(F-)或共價鍵結氟之物質。應明瞭氟化物物質可作為氟化物物質包含或於原位產生。
如本文所定義之「含鍺材料」可為塊狀鍺晶圓、n-摻雜鍺、p-摻雜鍺、絕緣體上鍺(GOI)晶圓(在此情況該層係形成於基板頂部上之介電層上的鍺層)、基板上之鍺層、以及鍺化合物諸如鍺化鈦、鍺化鎢、鍺化鎳、及鍺化鈷。含鍺材料可為至少部分延伸於基板上方之連續層或可分割成個別區域。
矽-鍺(SiGe)為技藝中所知曉。取決於SiGe材料中Si相對於Ge的百分比,SiGe可粗略地歸類為含Si材料或含Ge材料。舉例來說,如SiGe材料具有大於50重量%之矽含量,則針對本揭示案之目的其將被視為含Si材料。如SiGe材料具有大於50重量%之鍺含量,則針對本揭示案之目的其將被視為含Ge材料。如要相對於SiGe移除Si(或反之亦然),則應明瞭SiGe為含鍺材料,而不管SiGe之原子組成為何。如要相對於SiGe移除Ge(或反之亦然),則應明瞭SiGe為含矽材料,而不管SiGe之原子組成為何。
如本文所使用之「約」係意指相當於所述值之±5%。
應明瞭一些化學組分當在其最低能量(即穩定)狀態中,特定言之在商業購得時,即自然包括可忽略量的水。針對本說明之目的,自然存在的水不被視為「添加水」。
本發明之組成物可以如更完整說明於下文之相當多樣的特定配方具體實施。
在所有該等組成物中,當參照包括零下限之重量百分比範圍論述組成物之特定組分時,當明瞭在組成物之各種特定具體例中可存在或不存在該等組分,且在存在該等組分之情況中,其可以基於其中使用該等組分之組成物之總重量計低至0.001重量百分比之濃度存在。
在第一態樣中,描述一種自微電子裝置之表面相對於含鍺材料選擇性移除含矽材料之組成物(以下稱為矽選擇性組成物)及其使用方法,該組成物包含至少一種二醇化合物、至少一種氟化物物質、及至少一種氧化物質,由其等所組成,或基本上由其等所組成。在另一具體例中,該矽選擇性組成物包含至少一種二醇化合物、至少一種氟化物物質、至少一種氧化物質、及水,由其等所組成,或基本上由其等所組成。
本文涵蓋的二醇物質包括脂族二醇,包括,但不限於,乙二醇、新戊二醇、丙二醇、1,3-丙二醇、1,2-丁二醇、1,3-丁二醇、1,4-丁二醇、1,2-戊二醇、1,3-戊二醇、1,4-戊二醇、2,3-丁二醇、3-甲基-1,2-丁二醇、1,5-戊二醇、2-甲基-1,3-戊二醇、2,4-戊二醇、2-甲基-2,4-戊二醇、2,3-戊二醇、1,2-己二醇、2-乙基-1,3-己二醇、2,5-二甲基-2,5-己二醇、1,2-辛二醇及其組合。亦涵蓋包含超過兩個羥基之物質,諸如三醇(例如,甘油),及其中存在兩個有效羥 基且第三個經酯化或醚化之物質(例如,辛酸甘油酯、癒創木酚甘油醚)。較佳地,該至少一種二醇物質包括1,2-丁二醇。二醇物質之量係在約70重量%至約99重量%,較佳大於約75重量%至約98.8重量%之範圍內。
涵蓋的氟化物物質包括氫氟酸。或者,當需要含矽材料之較低蝕刻速率時,除HF外之氟化物來源可能有利,其包括,但不限於,六氟鈦酸、六氟矽酸、六氟鋯酸、四氟硼酸、三氟甲磺酸四丁銨、四氟硼酸四烷基銨(NR1R2R3R4BF4)諸如四氟硼酸四丁基銨、六氟磷酸四烷基銨(NR1R2R3R4PF6)、氟化四烷基銨(NR1R2R3R4F)(其無水或水合物)諸如氟化四甲基銨、氟化氫銨、氟化銨,其中R1、R2、R3、R4可彼此相同或不同且係選自由氫、直鏈或分支鏈C1-C6烷基(例如,甲基、乙基、丙基、丁基、戊基、己基)、C1-C6烷氧基(例如,羥乙基、羥丙基)、經取代或未經取代之芳基(例如,苄基)所組成之群。氟化物物質較佳包括氫氟酸。氟化物物質之量係在約0.01重量%至約5重量%之範圍內,較佳約0.1重量%至1重量%。
本文涵蓋之氧化物質包括,但不限於,過氧化氫、FeCl3、FeF3、Fe(NO3)3、Sr(NO3)2、CoF3、MnF3、發氧方(oxone)(2KHSO5˙KHSO4˙K2SO4)、過碘酸、碘酸、氧化釩(V)、氧化釩(IV、V)、釩酸銨、過氧單硫酸銨、亞氯酸銨、氯酸銨、碘酸銨、硝酸銨、過硼酸銨、過氯酸銨、過碘酸銨、過硫酸銨、次氯酸銨、次溴酸銨、鎢酸銨、過硫酸鈉、次氯酸鈉、過硼酸鈉、次溴酸鈉、碘酸鉀、過錳酸鉀、過硫酸鉀、硝酸、過硫酸鉀、次氯酸鉀、亞氯酸四甲銨、氯酸四甲銨、碘酸四甲銨、過硼酸四甲銨、過氯酸 四甲銨、過碘酸四甲銨、過硫酸四甲銨、過氧單硫酸四丁銨、過氧單硫酸、硝酸鐵、尿素過氧化氫、過乙酸、甲基-1,4-苯醌(MBQ)、1,4-苯醌(BQ)、1,2-苯醌、2,6-二氯-1,4-苯醌(DCBQ)、甲苯醌、2,6-二甲基-1,4-苯醌(DMBQ)、四氯苯醌、四氧嘧啶(alloxan)、N-甲基啉N-氧化物、三甲胺N-氧化物、及其組合。氧化物質可在製造商處、在將組成物引入至裝置晶圓之前、或者於裝置晶圓處(即於原位)引入至組成物。氧化物質較佳包含醌化合物、過氧化氫、過乙酸、碘酸銨、或其任何組合。當存在時,氧化物質之量係在約0.01重量%至約10重量%之範圍內,較佳約0.1重量%至1重量%。
當存在時,水較佳為去離子水且以約0.1重量%至約40重量%之量存在。較佳地,矽選擇性組成物中之水量係在約0.1重量%至約30重量%之範圍內,且甚至更佳約0.1重量%至約20重量%。
應明瞭可調整矽選擇性組成物以改變含矽材料、含鍺材料及含矽材料相對於含鍺材料之蝕刻速率,此係熟悉技藝人士所可輕易地明瞭及決定。最佳地,在25℃下含矽化合物:含鍺化合物(Si:Ge)之選擇性係大於3:1,更佳大於4:1,及最佳等於或大於5:1,其中利用較弱氧化劑的含矽材料蝕刻速率大於約4埃/分鐘,或利用較強氧化劑時高至約33埃/分鐘。
在一具體例中,第一態樣之矽選擇性組成物包含1,2-、1,3-、或1,4-丁二醇、HF、水、及甲基-1,4-苯醌,由其等所組成,或基本上由其等所組成。
在第二態樣中,描述一種自微電子裝置之表面相對於含矽材料選擇性移除含鍺材料之組成物(後文稱為鍺選擇性組成物) 及其使用方法,該組成物包含至少一種二醇化合物及水,由其等所組成,或基本上由其等所組成。在另一具體例中,鍺選擇性組成物包含至少一種二醇化合物、至少一種氟化物物質、及水,由其等所組成,或基本上由其等所組成,其中該組成物實質上不含氧化劑。在又另一具體例中,鍺選擇性組成物包含至少一種二醇化合物、至少一種氧化劑、及水,由其等所組成,或基本上由其等所組成,其中該組成物實質上不含氟化物物質。二醇化合物、氟化物物質及氧化劑之物質列於前文第一態樣中。當存在時,水較佳為去離子水且以約0.1重量%至約40重量%之量存在。較佳地,鍺選擇性組成物中之水量係在約0.1重量%至約30重量%之範圍內,更佳在約0.1重量%至約20重量%之範圍內。針對最大選擇性,將氟化物含量保持低於0.5%之低值、或零。因此,當存在時,氟化物物質之量係在約0.01重量%至約0.5重量%之範圍內。在不存在氟化物時,較強氧化劑(諸如DCBQ)亦使Ge蝕刻速率增加超過Si蝕刻速率且因此增加選擇性。當存在時,氧化物質之量係在約0.01重量%至約5重量%之範圍內,較佳約0.1重量%至1重量%。第二組成物中二醇物質之量係在約70重量%至約99重量%之範圍內,較佳大於約75重量%至約98.8重量%。
應明瞭可調整鍺選擇性組成物以改變含矽材料、含鍺材料及含鍺材料相對於含矽材料之蝕刻速率,此係熟悉技藝人士所可輕易地明瞭及決定。最佳地,在25℃下含鍺化合物:含矽化合物(Ge:Si)之選擇性係大於約30:1,更佳大於約40:1,及最佳大於約50:1,其中利用強氧化劑的含鍺材料蝕刻速率大於約3埃/分鐘。
在一具體例中,第二態樣之鍺選擇性組成物包含 1,2-、1,3-、或1,4-丁二醇、HF、及水,由其等所組成,或基本上由其等所組成。在另一具體例中,第二態樣之鍺選擇性組成物包含1,2-、1,3-、或1,4-丁二醇、甲基-1,4-苯醌或DCBQ、及水,由其等所組成,或基本上由其等所組成。
在第三態樣中,描述一種用於清潔或擴大包含具有不同Si/Ge比之SiGe材料之通道、及視情況Si及Ge之膜及/或基板的非選擇性半導體蝕刻(NSSE)組成物及其使用方法。該非選擇性半導體蝕刻組成物應足夠溫和及/或可控制,來以相同速率清潔及蝕刻所有經暴露的含矽及含鍺材料。換言之,非選擇性半導體蝕刻組成物應具有實質上與含鍺材料相同之含矽材料的蝕刻速率。該等組成物可為滿足蝕刻速率標準之第一態樣或第二態樣中之任一者。
當明瞭一般實務係製造濃縮形式的組成物以在使用之前稀釋。舉例而言,組成物可以更為濃縮的形式製造,其後再在製造商處、在使用前、及/或在工廠在使用期間以水、額外的水、至少一種二醇、或額外的二醇稀釋。稀釋比率可在約0.1份稀釋劑:1份組成物濃縮物至約100份稀釋劑:1份組成物濃縮物範圍內。若使用醌作為氧化劑,則可使用醌於抗氧化(較佳非質子性)溶劑(例如,四氫噻吩碸或四甘醇二甲醚)中之濃溶液(例如5-10%)作為待於使用前方才添加至配方的醌來源。
文中所述之組成物係經由簡單地添加各別成分及混合至均勻狀態而容易地調配得。此外,可輕易地將組成物調配為單一包裝配方或在使用點處或使用點前混合的多份配方,較佳係多份配方。可將多份配方之個別份於工具處或於混合區域/範圍(諸如線上混合器)或於工具上游之儲槽中混合。涵蓋多份配方之各個份 可包含成分/組分之任何組合,其當混合在一起時形成期望的組成物。各別成分的濃度可在組成物的特定倍數內寬廣地改變,即更稀或更濃,且當明瞭組成物可變化及替代地包含與本文之揭示內容一致之成分的任何組合,由其等所組成,或基本上由其等所組成。
在第四態樣中,本發明係關於文中所述之第一、第二或第三態樣之組成物的使用方法。舉例來說,涵蓋一種使用矽選擇性組成物自微電子裝置之表面相對於含鍺材料選擇性移除含矽材料之方法。或者,涵蓋一種使用鍺選擇性組成物自微電子裝置之表面相對於含矽材料選擇性移除含鍺材料之方法。在又另一選擇中,涵蓋一種使用NSSE組成物自微電子裝置之表面以與含鍺材料實質上相同之速率移除含矽材料的方法。
在蝕刻應用中,具有較佳最終結果之特定組成物係以任何適當方式施用至微電子裝置之表面,例如,經由將組成物噴塗於裝置之表面上,經由將裝置浸泡(於靜態或動態體積之組成物中),經由使裝置與其上吸收有組成物之另一材料(例如,墊、或纖維吸收性塗布器元件)接觸,經由使包括氮化矽材料之裝置與循環的組成物接觸,或藉由任何其他藉以使組成物與含矽材料及含鍺材料進行移除接觸之適當手段、方式或技術。該應用係可於批式或單一晶圓裝置中用於動態或靜態清潔。
在使用文中所述之第一、第二或第三態樣之組成物時,典型上使該組成物與裝置結構在約20℃至約100℃範圍內,較佳約25℃至約70℃之溫度下接觸約1分鐘至約200分鐘,較佳約5分鐘至約60分鐘之足夠時間。該等接觸時間及溫度係為說明性,可使用任何其他可有效達成所需移除選擇性之適宜時間及溫度條 件。
在一具體例中,組成物係在傳遞至裝置結構的期間線上加熱。藉由於線上,而非於浴槽本身中加熱,組成物之壽命增長。
於達成期望的蝕刻作用後,可輕易地將組成物自其先前經施用的微電子裝置移除,例如,藉由可能係在本發明組成物的給定最終應用中所期望且有效的沖洗、洗滌、或其他移除步驟。舉例來說,裝置可經包括去離子水的沖洗溶液沖洗及/或乾燥(例如,旋轉乾燥、N2、蒸氣乾燥等)。如鍺或高鍺膜經暴露,則較佳的沖洗為實質上非水性,例如,異丙醇(IPA)。
本發明之又另一態樣係關於根據文中所述方法製得之經改良的微電子裝置及包含此等微電子裝置之產品。
本發明之另一態樣係關於一種製造物件,其包括以下各物,由其等所組成或基本上由其等所組成:微電子裝置基板、含矽材料、含鍺材料、及文中所述之第一、第二或第三態樣之組成物。
本發明之特徵及優點由以下論述的說明性實施例作更完整展示。
[實施例1]
製備矽選擇性組成物及測定鍺、氧化鍺及多晶Si之蝕刻速率。在該等組成物中,組成物的其餘部分為溶劑。將其上具有毯覆式鍺、氧化鍺及多晶Si之試樣於各組成物中在25℃下浸泡30分鐘及測定各別材料之移除量。矽選擇性組成物及結果示於表1。
表1:矽選擇性組成物 BD=丁二醇 MBQ=甲基-1,4-苯醌(即甲苯醌) EG=乙二醇 PG=丙二醇 BQ=1,4-苯醌 DCBQ=2,6-二氯-1,4-苯醌 DMBQ=2,6-二甲基-1,4-苯醌
由表1中之結果可看見含矽材料可相對於含鍺材料優先蝕刻性地移除,且可基於所選組分輕易地調整移除選擇性。此外,可調整矽選擇性組成物以改變含矽材料之蝕刻速率。
本發明人提出諸如配方K之配方可有效作為如文中所引介之NSSE組成物。
[實施例2]
製備鍺選擇性組成物及測定鍺、氧化鍺及多晶Si之蝕刻速率。在該等組成物中,組成物的其餘部分為溶劑。將其上具有毯覆式鍺、氧化鍺及多晶Si之試樣於各組成物中在25℃下浸泡 30分鐘及測定各別材料之移除量。鍺選擇性組成物及結果示於表2。
由表2中之結果可看見含鍺材料可相對於含矽材料優先蝕刻性地移除,儘管含鍺材料之蝕刻速率在大多數情況中並不特別高。換言之,可看見可調配鍺選擇性組成物以獲致於30分鐘內大於20埃之Ge損耗,且在其中使用較強氧化劑的一例(UU)中大於100埃。預期該數據可於較高蝕刻溫度下外推。
可自表1及2外推的一些觀察包括:(a)鍺的蝕刻速率比矽的蝕刻速率更受水影響;(b)矽的蝕刻速率比鍺的蝕刻速率更受氧化劑影響;(c)鍺的蝕刻速率相比矽的蝕刻速率較不受氟化物之存在影響;及(d)二醇之脂族尾部可為鍺蝕刻速率的良好抑制劑。
雖然本發明已參照本發明之特定態樣、特徵及說明性具體例描述於文中,但當明瞭本發明之效用並不因此受限,而係可延伸至涵蓋熟悉本發明領域人士基於文中之揭示內容當可明白的許多其他變化、修改及替代具體例。相應地,後文所主張之本發明意欲經廣泛地解釋及詮釋為包括於其精神及範疇內之所有該等變化、修改及替代具體例。

Claims (10)

  1. 一種自微電子裝置之表面相對於含鍺材料選擇性移除含矽材料之方法,該方法包括使矽選擇性組成物與微電子裝置之表面以相對於含鍺材料選擇性移除含矽材料所需的時間及溫度接觸,其中該矽選擇性組成物包含至少一種二醇化合物、至少一種氟化物物質、及至少一種氧化物質,且在25℃下具有大於3:1之含矽化合物:含鍺化合物(Si:Ge)的選擇性。
  2. 如請求項1之方法,其中該矽選擇性組成物進一步包含水。
  3. 如請求項1或2之方法,其中該至少一種二醇化合物包括選自由下列所組成之群之物質:乙二醇、新戊二醇、丙二醇、1,3-丙二醇、1,2-丁二醇、1,3-丁二醇、1,4-丁二醇、1,2-戊二醇、1,3-戊二醇、1,4-戊二醇、2,3-丁二醇、3-甲基-1,2-丁二醇、1,5-戊二醇、2-甲基-1,3-戊二醇、2,4-戊二醇、2-甲基-2,4-戊二醇、2,3-戊二醇、1,2-己二醇、2-乙基-1,3-己二醇、2,5-二甲基-2,5-己二醇、1,2-辛二醇及其組合。
  4. 如請求項1或2之方法,其中該至少一種氟化物物質包括選自由下列所組成之群之物質:氫氟酸、六氟鈦酸、六氟矽酸、六氟鋯酸、四氟硼酸、三氟甲磺酸四丁銨、四氟硼酸四烷基銨(NR1R2R3R4BF4)、六氟磷酸四烷基銨(NR1R2R3R4PF6)、氟化四烷基銨(NR1R2R3R4F)、氟化氫銨、氟化銨,其中R1、R2、R3、R4可彼此相同或不同且係選自由氫、直鏈或分支鏈C1-C6烷基、C1-C6烷氧基、或經取代或未經取代之芳基所組成之群。
  5. 如請求項1或2之方法,其中該至少一種氧化物質包括選自由下列所組成之群之物質:過氧化氫、FeCl3、FeF3、Fe(NO3)3、Sr(NO3)2、CoF3、MnF3、發氧方(oxone)(2KHSO5˙KHSO4˙K2SO4)、過碘酸、碘酸、氧化釩(V)、氧化釩(IV、V)、釩酸銨、過氧單硫酸銨、亞氯酸銨、氯酸銨、碘酸銨、硝酸銨、過硼酸銨、過氯酸銨、過碘酸銨、過硫酸銨、次氯酸銨、次溴酸銨、鎢酸銨、過硫酸鈉、次氯酸鈉、過硼酸鈉、次溴酸鈉、碘酸鉀、過錳酸鉀、過硫酸鉀、硝酸、過硫酸鉀、次氯酸鉀、亞氯酸四甲銨、氯酸四甲銨、碘酸四甲銨、過硼酸四甲銨、過氯酸四甲銨、過碘酸四甲銨、過硫酸四甲銨、過氧單硫酸四丁銨、過氧單硫酸、硝酸鐵、尿素過氧化氫、過乙酸、甲基-1,4-苯醌(MBQ)、1,4-苯醌(BQ)、1,2-苯醌、2,6-二氯-1,4-苯醌(DCBQ)、甲苯醌、2,6-二甲基-1,4-苯醌(DMBQ)、四氯苯醌、四氧嘧啶(alloxan)、N-甲基啉N-氧化物、三甲胺N-氧化物、及其組合。
  6. 如請求項2之方法,其中該矽選擇性組成物中之水量係在約0.1重量%至約40重量%之範圍內。
  7. 如請求項1或2之方法,其中該含矽材料之蝕刻速率係大於4埃/分鐘。
  8. 如請求項1或2之方法,其中該含矽材料包含下列中之至少一者:矽;n-摻雜矽;p-摻雜矽;氧化矽、閘氧化物;TEOS;氮化矽;熱氧化物;SiOH;SiCOH;矽化鈦;矽化鎢;矽化鎳;矽化鈷;及低k介電材料,及其中該含鍺材料包含下列中之至少一者:塊狀鍺晶圓、n-摻雜鍺、p-摻雜鍺、絕緣體上鍺(GOI)晶圓、基板上之鍺層、鍺化鈦、鍺化鎢、鍺化鎳、及鍺化鈷。
  9. 一種自微電子裝置之表面相對於含矽材料選擇性移除含鍺材料之方法,該方法包括使鍺選擇性組成物與微電子裝置之表面以相對於含矽材料選擇性移除含鍺材料所需的時間及溫度接觸,其中該鍺選擇性組成物包含至少一種二醇化合物及水,且其中該鍺選擇性組成物進一步包含至少一種含量低於0.5wt%之氟化物物質。
  10. 如請求項9之方法,其中在約20℃至約100℃範圍內之溫度下,時間係在約1分鐘至約200分鐘範圍內。
TW103146533A 2013-12-31 2014-12-31 選擇性蝕刻矽和鍺之配方 TWI647298B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361922187P 2013-12-31 2013-12-31
US61/922,187 2013-12-31

Publications (2)

Publication Number Publication Date
TW201533220A TW201533220A (zh) 2015-09-01
TWI647298B true TWI647298B (zh) 2019-01-11

Family

ID=53493952

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103146533A TWI647298B (zh) 2013-12-31 2014-12-31 選擇性蝕刻矽和鍺之配方

Country Status (4)

Country Link
US (1) US10475658B2 (zh)
KR (1) KR102290209B1 (zh)
TW (1) TWI647298B (zh)
WO (1) WO2015103146A1 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3084809A4 (en) 2013-12-20 2017-08-23 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
US20160340620A1 (en) 2014-01-29 2016-11-24 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
US10957547B2 (en) * 2015-07-09 2021-03-23 Entegris, Inc. Formulations to selectively etch silicon germanium relative to germanium
US10879076B2 (en) * 2017-08-25 2020-12-29 Versum Materials Us, Llc Etching solution for selectively removing silicon-germanium alloy from a silicon-germanium/silicon stack during manufacture of a semiconductor device
CN110117744B (zh) * 2018-02-05 2021-04-09 天津大学 一种具有可调带隙的氢化-羟基化的二维半导体锗硅合金及制备方法
US10934484B2 (en) * 2018-03-09 2021-03-02 Versum Materials Us, Llc Etching solution for selectively removing silicon-germanium alloy from a silicon-germanium/ germanium stack during manufacture of a semiconductor device
JP2022536501A (ja) * 2019-06-13 2022-08-17 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 半導体デバイスの製造中にp-ドープされたシリコン及びシリコン―ゲルマニウムに対してポリシリコンを選択的に除去するための液体組成物
EP4280258A1 (en) * 2021-01-12 2023-11-22 Mitsubishi Chemical Corporation Etching composition, etching method, production method for semiconductor device, and production method for gate-all-around transistor
CN114351143B (zh) * 2021-12-09 2023-07-25 湖北兴福电子材料股份有限公司 一种侧蚀量可控的锗蚀刻液

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6316370B1 (en) * 1999-04-05 2001-11-13 Micron Technology, Inc. Method for etching doped polysilicon with high selectivity to undoped polysilicon
TW200947555A (en) * 2008-02-01 2009-11-16 Newsouth Innovations Pty Ltd Method for patterned etching of selected material
TW201250818A (en) * 2011-05-17 2012-12-16 Fujifilm Corp Etching method and etching liquid used therein, manufacturing method of semiconductor element using the same

Family Cites Families (146)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
US5702075A (en) 1996-01-31 1997-12-30 David Lehrman Automatically collapsible support for an electrical cord for use with an ironing board
US7534752B2 (en) 1996-07-03 2009-05-19 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US6323168B1 (en) 1996-07-03 2001-11-27 Advanced Technology Materials, Inc. Post plasma ashing wafer cleaning formulation
US6755989B2 (en) 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6224785B1 (en) 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
US5993685A (en) 1997-04-02 1999-11-30 Advanced Technology Materials Planarization composition for removing metal films
WO1998048453A1 (en) 1997-04-23 1998-10-29 Advanced Chemical Systems International, Inc. Planarization compositions for cmp of interlayer dielectrics
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US5976928A (en) 1997-11-20 1999-11-02 Advanced Technology Materials, Inc. Chemical mechanical polishing of FeRAM capacitors
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
JP4226216B2 (ja) 1998-05-18 2009-02-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 半導体基板用の剥離用組成物
US6875733B1 (en) 1998-10-14 2005-04-05 Advanced Technology Materials, Inc. Ammonium borate containing compositions for stripping residues from semiconductor substrates
US6395194B1 (en) 1998-12-18 2002-05-28 Intersurface Dynamics Inc. Chemical mechanical polishing compositions, and process for the CMP removal of iridium thin using same
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6492308B1 (en) 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6723691B2 (en) 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6409781B1 (en) 2000-05-01 2002-06-25 Advanced Technology Materials, Inc. Polishing slurries for copper and associated materials
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6627587B2 (en) 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US7029373B2 (en) 2001-08-14 2006-04-18 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6800218B2 (en) 2001-08-23 2004-10-05 Advanced Technology Materials, Inc. Abrasive free formulations for chemical mechanical polishing of copper and associated materials and method of using same
US6802983B2 (en) 2001-09-17 2004-10-12 Advanced Technology Materials, Inc. Preparation of high performance silica slurry using a centrifuge
US7119418B2 (en) 2001-12-31 2006-10-10 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates
US7326673B2 (en) 2001-12-31 2008-02-05 Advanced Technology Materials, Inc. Treatment of semiconductor substrates using long-chain organothiols or long-chain acetates
US7557073B2 (en) 2001-12-31 2009-07-07 Advanced Technology Materials, Inc. Non-fluoride containing supercritical fluid composition for removal of ion-implant photoresist
US7030168B2 (en) 2001-12-31 2006-04-18 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US6849200B2 (en) 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US6989358B2 (en) 2002-10-31 2006-01-24 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for removal of photoresists
US6943139B2 (en) 2002-10-31 2005-09-13 Advanced Technology Materials, Inc. Removal of particle contamination on patterned silicon/silicon dioxide using supercritical carbon dioxide/chemical formulations
US7485611B2 (en) 2002-10-31 2009-02-03 Advanced Technology Materials, Inc. Supercritical fluid-based cleaning compositions and methods
US20060019850A1 (en) 2002-10-31 2006-01-26 Korzenski Michael B Removal of particle contamination on a patterned silicon/silicon dioxide using dense fluid/chemical formulations
US7223352B2 (en) 2002-10-31 2007-05-29 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US7011716B2 (en) 2003-04-29 2006-03-14 Advanced Technology Materials, Inc. Compositions and methods for drying patterned wafers during manufacture of integrated circuitry products
US7300601B2 (en) 2002-12-10 2007-11-27 Advanced Technology Materials, Inc. Passivative chemical mechanical polishing composition for copper film planarization
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
US6735978B1 (en) 2003-02-11 2004-05-18 Advanced Technology Materials, Inc. Treatment of supercritical fluid utilized in semiconductor manufacturing applications
US7736405B2 (en) 2003-05-12 2010-06-15 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for copper and associated materials and method of using same
WO2004101222A2 (en) 2003-05-12 2004-11-25 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for step-ii copper liner and other associated materials and method of using same
US7119052B2 (en) 2003-06-24 2006-10-10 Advanced Technology Materials, Inc. Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers
US7335239B2 (en) 2003-11-17 2008-02-26 Advanced Technology Materials, Inc. Chemical mechanical planarization pad
US20050118832A1 (en) 2003-12-01 2005-06-02 Korzenski Michael B. Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
JP2007519942A (ja) 2003-12-02 2007-07-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド レジスト、barc、およびギャップフィル材料を剥離する化学物質ならびに方法
US20050145311A1 (en) 2003-12-30 2005-07-07 Walker Elizabeth L. Method for monitoring surface treatment of copper containing devices
US7553803B2 (en) 2004-03-01 2009-06-30 Advanced Technology Materials, Inc. Enhancement of silicon-containing particulate material removal using supercritical fluid-based compositions
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US20050227482A1 (en) 2004-03-24 2005-10-13 Korzenski Michael B Composition useful for removal of bottom anti-reflection coatings from patterned ion-implanted photoresist wafers
US20060063687A1 (en) 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060148666A1 (en) 2004-12-30 2006-07-06 Advanced Technology Materials Inc. Aqueous cleaner with low metal etch rate
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US7923423B2 (en) 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
US7365045B2 (en) 2005-03-30 2008-04-29 Advanced Tehnology Materials, Inc. Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide
WO2006110645A2 (en) 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
US20070251551A1 (en) 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
TW200726858A (en) 2005-04-15 2007-07-16 Advanced Tech Materials Apparatus and method for supercritical fluid removal or deposition processes
CN101198416A (zh) 2005-04-15 2008-06-11 高级技术材料公司 从微电子器件上清除离子注入光致抗蚀剂层的配方
WO2006127885A1 (en) 2005-05-26 2006-11-30 Advanced Technology Materials, Inc. Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US20090215269A1 (en) 2005-06-06 2009-08-27 Advanced Technology Materials Inc. Integrated chemical mechanical polishing composition and process for single platen processing
CN101233456B (zh) 2005-06-07 2013-01-02 高级技术材料公司 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
TW200709294A (en) 2005-06-13 2007-03-01 Advanced Tech Materials Compositions and methods for selective removal of metal or metal alloy after metal silicide formation
KR20080023346A (ko) 2005-06-16 2008-03-13 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 경화된 포토레지스트, 에칭 후 잔류물 및/또는 바닥 반사방지 코팅 층의 제거를 위한 고밀도 유체 조성물
CN101356628B (zh) 2005-08-05 2012-01-04 高级技术材料公司 用于对金属膜进行平坦化的高通量化学机械抛光组合物
WO2007027522A2 (en) 2005-08-29 2007-03-08 Advanced Technology Materials, Inc. Composition and method for removing thick film photoresist
KR20080059429A (ko) 2005-10-05 2008-06-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 게이트 스페이서 산화물 재료를 선택적으로 에칭하기 위한조성물 및 방법
KR101444468B1 (ko) 2005-10-05 2014-10-30 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제
WO2007047365A2 (en) 2005-10-13 2007-04-26 Advanced Technology Materials, Inc. Metals compatible photoresist and/or sacrificial antireflective coating removal composition
KR100703014B1 (ko) * 2005-10-26 2007-04-06 삼성전자주식회사 실리콘 산화물 식각액 및 이를 이용한 반도체 소자의 제조 방법
WO2007120259A2 (en) 2005-11-08 2007-10-25 Advanced Technology Materials, Inc. Formulations for removing copper-containing post-etch residue from microelectronic devices
CN101356629B (zh) * 2005-11-09 2012-06-06 高级技术材料公司 用于将其上具有低k介电材料的半导体晶片再循环的组合物和方法
JP4826235B2 (ja) 2005-12-01 2011-11-30 三菱瓦斯化学株式会社 半導体表面処理剤
TW200734448A (en) 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
US20080076688A1 (en) 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
WO2008036823A2 (en) 2006-09-21 2008-03-27 Advanced Technology Materials, Inc. Uric acid additive for cleaning formulations
SG175559A1 (en) 2006-09-25 2011-11-28 Advanced Tech Materials Compositions and methods for the removal of photoresist for a wafer rework application
US20080125342A1 (en) 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
US8778210B2 (en) 2006-12-21 2014-07-15 Advanced Technology Materials, Inc. Compositions and methods for the selective removal of silicon nitride
WO2008080097A2 (en) 2006-12-21 2008-07-03 Advanced Technology Materials, Inc. Liquid cleaner for the removal of post-etch residues
TW200916564A (en) 2007-01-31 2009-04-16 Advanced Tech Materials Stabilization of polymer-silica dispersions for chemical mechanical polishing slurry applications
TWI516573B (zh) * 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
US20100112728A1 (en) 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
KR101378519B1 (ko) 2007-05-03 2014-03-27 소이텍 스트레인드 실리콘의 클리닝된 표면들을 준비하기 위한 개선된 공정
TW200918664A (en) 2007-06-13 2009-05-01 Advanced Tech Materials Wafer reclamation compositions and methods
US20100261632A1 (en) 2007-08-02 2010-10-14 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of residue from a microelectronic device
JP2010541192A (ja) 2007-08-20 2010-12-24 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド イオン注入フォトレジストを除去するための組成物および方法
TW200933727A (en) * 2007-10-31 2009-08-01 Mitsubishi Chem Corp Etching method and method for manufacturing optical/electronic device using the same
EP2227319A2 (en) 2007-11-14 2010-09-15 Advanced Technology Materials, Inc. Solvent-free synthesis of soluble nanocrystals
TW200934865A (en) 2007-11-30 2009-08-16 Advanced Tech Materials Formulations for cleaning memory device structures
SG188848A1 (en) 2008-03-07 2013-04-30 Advanced Tech Materials Non-selective oxide etch wet clean composition and method of use
US20090253072A1 (en) 2008-04-01 2009-10-08 Petruska Melissa A Nanoparticle reversible contrast enhancement material and method
EP2288965A4 (en) 2008-05-01 2011-08-10 Advanced Tech Materials LOW PH MIXTURES FOR REMOVAL OF HIGH DENSITY IMPLANTED RESERVE
CN102216854A (zh) 2008-08-04 2011-10-12 高级技术材料公司 环境友好型聚合物剥离组合物
KR20110063845A (ko) 2008-10-02 2011-06-14 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 실리콘 기판의 금속 로딩 및 표면 패시베이션을 향상시키기 위한 계면활성제/소포제 혼합물의 용도
KR101752684B1 (ko) 2008-10-21 2017-07-04 엔테그리스, 아이엔씨. 구리 세척 및 보호 조성물
WO2010088194A2 (en) 2009-01-28 2010-08-05 Advanced Technology Materials, Inc. Lithographic tool in situ clean formulations
WO2010086745A1 (en) 2009-02-02 2010-08-05 Atmi Taiwan Co., Ltd. Method of etching lanthanum-containing oxide layers
WO2010091045A2 (en) 2009-02-05 2010-08-12 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of polymers and other organic material from a surface
US8754021B2 (en) 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
US8367555B2 (en) 2009-12-11 2013-02-05 International Business Machines Corporation Removal of masking material
SG10201500387RA (en) 2010-01-29 2015-04-29 Entegris Inc Cleaning agent for semiconductor provided with metal wiring
JP5858597B2 (ja) 2010-01-29 2016-02-10 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド タングステン配線半導体用洗浄剤
JP5792284B2 (ja) 2010-04-15 2015-10-07 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 老朽化したプリント回路基板のリサイクル方法
JP5734734B2 (ja) 2010-05-18 2015-06-17 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 半導体上に電流トラックを形成する方法
JP2012021151A (ja) 2010-06-16 2012-02-02 Sanyo Chem Ind Ltd 銅配線半導体用洗浄剤
SG10201505535VA (en) 2010-07-16 2015-09-29 Entegris Inc Aqueous cleaner for the removal of post-etch residues
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
US8859411B2 (en) 2010-08-20 2014-10-14 Mitsubishi Gas Chemical Company, Inc. Method for producing transistor
TWI558818B (zh) 2010-08-20 2016-11-21 恩特葛瑞斯股份有限公司 從電子廢棄物再生貴金屬和卑金屬之永續製程
US20130280123A1 (en) 2010-08-27 2013-10-24 Advanced Technology Materials, Inc. Method for preventing the collapse of high aspect ratio structures during drying
SG10201508015RA (en) 2010-10-06 2015-10-29 Entegris Inc Composition and process for selectively etching metal nitrides
KR101891363B1 (ko) 2010-10-13 2018-08-24 엔테그리스, 아이엔씨. 티타늄 니트라이드 부식을 억제하기 위한 조성물 및 방법
KR102064487B1 (ko) 2011-01-13 2020-01-10 엔테그리스, 아이엔씨. 세륨-함유 용액에 의해 발생된 입자의 제거를 위한 배합물
JP2012186470A (ja) 2011-02-18 2012-09-27 Sanyo Chem Ind Ltd 銅配線半導体用洗浄剤
WO2012154498A2 (en) 2011-05-06 2012-11-15 Advanced Technology Materials, Inc. Removal of metal impurities from silicon surfaces for solar cell and semiconductor applications
JP2012251026A (ja) 2011-05-31 2012-12-20 Sanyo Chem Ind Ltd 半導体用洗浄剤
WO2012174518A2 (en) 2011-06-16 2012-12-20 Advanced Technology Materials, Inc. Compositions and methods for selectively etching silicon nitride
SG10201605021PA (en) 2011-06-21 2016-08-30 Entegris Inc Method for the recovery of lithium cobalt oxide from lithium ion batteries
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
EP2768920A4 (en) 2011-10-21 2015-06-03 Advanced Tech Materials AMIN FREE POST-KMP COMPOSITION AND METHOD OF USE THEREOF
US8618036B2 (en) 2011-11-14 2013-12-31 International Business Machines Corporation Aqueous cerium-containing solution having an extended bath lifetime for removing mask material
AP2014007781A0 (en) 2011-12-15 2014-07-31 Advanced Tech Materials Apparatus and method for stripping solder metals during the recycling of waste electrical and electronic equipment
US9546321B2 (en) 2011-12-28 2017-01-17 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
JP2015512971A (ja) 2012-02-15 2015-04-30 インテグリス,インコーポレイテッド 組成物を使用したcmp後除去及び使用方法
EP2826062A4 (en) 2012-03-12 2016-06-22 Entegris Inc METHOD FOR SELECTIVELY ELIMINATING SMOOTHED SPIN GLASS
WO2013138278A1 (en) 2012-03-12 2013-09-19 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
WO2013142250A1 (en) 2012-03-18 2013-09-26 Advanced Technology Materials, Inc. Post-cmp formulation having improved barrier layer compatibility and cleaning performance
WO2013152260A1 (en) 2012-04-06 2013-10-10 Advanced Technology Materials, Inc. Removal of lead from solid materials
US20130295712A1 (en) 2012-05-03 2013-11-07 Advanced Technology Materials, Inc. Methods of texturing surfaces for controlled reflection
WO2013170130A1 (en) 2012-05-11 2013-11-14 Advanced Technology Materials, Inc. Formulations for wet etching nipt during silicide fabrication
CN104395989A (zh) 2012-05-18 2015-03-04 高级技术材料公司 用于改进有机残余物去除的具有低铜蚀刻速率的水性清洁溶液
SG10201610541UA (en) 2012-05-18 2017-01-27 Entegris Inc Composition and process for stripping photoresist from a surface including titanium nitride
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
SG11201507014RA (en) 2013-03-04 2015-10-29 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
US9520617B2 (en) 2013-03-14 2016-12-13 Advanced Technology Materials, Inc. Sulfolane mixtures as ambient aprotic polar solvents
US20160075971A1 (en) 2013-04-22 2016-03-17 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
US20160122696A1 (en) 2013-05-17 2016-05-05 Advanced Technology Materials, Inc. Compositions and methods for removing ceria particles from a surface
CN105683336A (zh) 2013-06-06 2016-06-15 高级技术材料公司 用于选择性蚀刻氮化钛的组合物和方法
CN112442374A (zh) 2013-07-31 2021-03-05 恩特格里斯公司 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂
SG11201601158VA (en) 2013-08-30 2016-03-30 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
TWI654340B (zh) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
EP3084809A4 (en) 2013-12-20 2017-08-23 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
EP3110982A4 (en) 2014-02-25 2017-11-22 Entegris, Inc. Wet based formulations for the selective removal of noble metals

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6316370B1 (en) * 1999-04-05 2001-11-13 Micron Technology, Inc. Method for etching doped polysilicon with high selectivity to undoped polysilicon
TW200947555A (en) * 2008-02-01 2009-11-16 Newsouth Innovations Pty Ltd Method for patterned etching of selected material
TW201250818A (en) * 2011-05-17 2012-12-16 Fujifilm Corp Etching method and etching liquid used therein, manufacturing method of semiconductor element using the same

Also Published As

Publication number Publication date
TW201533220A (zh) 2015-09-01
US10475658B2 (en) 2019-11-12
US20160343576A1 (en) 2016-11-24
WO2015103146A1 (en) 2015-07-09
KR102290209B1 (ko) 2021-08-20
KR20160104045A (ko) 2016-09-02

Similar Documents

Publication Publication Date Title
TWI647298B (zh) 選擇性蝕刻矽和鍺之配方
TWI782893B (zh) 選擇性地移除鍺化矽材料之方法、套組及組成物
US9691629B2 (en) Compositions and methods for the selective removal of silicon nitride
WO2012174518A2 (en) Compositions and methods for selectively etching silicon nitride
TW202014512A (zh) 用於TiN硬遮罩的移除及蝕刻殘留物的清潔的組合物
CN108369898B (zh) 用于相对于氮化硅选择性蚀刻p掺杂多晶硅的组合物及方法
TWI654340B (zh) Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
TWI721311B (zh) 於製造一半導體裝置時用於相對氮化鈦選擇性移除氮化鉭的蝕刻組合物
TW201546577A (zh) 移除離子植入抗蝕劑之非氧化強酸類之用途
JP2005256173A (ja) 組成物の使用、水性組成物、自己整列ゲルマニドの形成方法、および半導体装置
JP2014057039A (ja) 半導体基板製品の製造方法及びエッチング液
US20130146805A1 (en) Etchant for controlled etching of ge and ge-rich silicon germanium alloys
TWI816379B (zh) 於製造一半導體裝置時用於從一矽-鍺/矽堆疊選擇性移除矽-鍺合金的蝕刻溶液
TWI716348B (zh) 與NiGe及Ge相容之Ni選擇性蝕刻組成物
TWI710666B (zh) 相對於氮化鈦材料選擇性地移除氮化鉭材料的蝕刻液及方法
TWI822057B (zh) 用於選擇性蝕刻氮化矽膜之組合物及方法