JP2010541192A - イオン注入フォトレジストを除去するための組成物および方法 - Google Patents

イオン注入フォトレジストを除去するための組成物および方法 Download PDF

Info

Publication number
JP2010541192A
JP2010541192A JP2010521985A JP2010521985A JP2010541192A JP 2010541192 A JP2010541192 A JP 2010541192A JP 2010521985 A JP2010521985 A JP 2010521985A JP 2010521985 A JP2010521985 A JP 2010521985A JP 2010541192 A JP2010541192 A JP 2010541192A
Authority
JP
Japan
Prior art keywords
composition
mineral acid
acid
microelectronic device
photoresist material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2010521985A
Other languages
English (en)
Inventor
ジョウ,レンジー
クーパー,エマニュエル
コルゼンスキー,マイケル
ジアン,ピン
Original Assignee
アドバンスド テクノロジー マテリアルズ,インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アドバンスド テクノロジー マテリアルズ,インコーポレイテッド filed Critical アドバンスド テクノロジー マテリアルズ,インコーポレイテッド
Publication of JP2010541192A publication Critical patent/JP2010541192A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

マイクロ電子デバイスからバルクフォトレジスト材料および/または硬化フォトレジスト材料を除去するための方法および鉱酸含有組成物を開発した。鉱酸含有組成物は、少なくとも1種の鉱酸と少なくとも1種の硫黄含有酸化剤と任意選択的に少なくとも1種の金属イオン含有触媒とを含む。鉱酸含有組成物は、下に位置するシリコン含有層に損傷を与えることなく硬化フォトレジスト材料を効果的に除去する。

Description

分野
本発明は、一般には、マイクロ電子デバイスの表面からバルクフォトレジストおよび硬化フォトレジストを除去するのに有用な鉱酸含有組成物と、それを除去するための前記組成物の使用方法と、に関する。
関連技術の説明
半導体デバイスはより集積化かつ小型化されるようになってきたので、マイクロ電子デバイス中の不純物分布を正確に制御するために、かつドーパント原子、たとえば、As、B、およびPを露出デバイス層に添加するために、フロントエンドオブライン(FEOL)加工時にイオン注入が広範に利用されてきた。ドーパント不純物の濃度および深さは、ドーパントのドーズ、加速エネルギー、およびイオン電流を変化させることにより制御される。後続の加工前に、イオン注入フォトレジスト層を除去しなければならない。前記硬化フォトレジストを除去するために、たとえば、限定されるものではないが、硫酸と過酸化水素との混合溶液(すなわちピラニア溶液)などを用いる湿式化学エッチングプロセスや酸素プラズマアッシングプロセスなどを用いる乾式プラズマエッチングプロセスをはじめとする種々のプロセスがこれまで使用されてきた。
残念ながら、低(5keV)、中(10keV)、および高(20keV)注入エネルギーで高ドーズ(たとえば、約1×1015原子cm−2超のドーズ)のイオンを所望の層に注入した場合、フォトレジスト層(特に、フォトレジストの露出表面)にも全体にわたり注入され、この層は物理的かつ化学的に剛性になる。炭化領域または「クラスト(ccrust)」とも呼ばれる剛性イオン注入フォトレジスト層は、除去が困難であることが判明している。
現在、イオン注入フォトレジストおよび他の汚染物質の除去は、通常、プラズマエッチング法およびそれに続く多工程湿式ストリッピングプロセスにより、典型的には、水性系エッチャント配合物を用いてフォトレジスト、エッチング後の残渣、および他の汚染物質を除去することにより、行われる。当技術分野での湿式ストリッピング処理は、一般に、強酸、塩基、溶媒、および酸化剤の使用を含む。しかしながら、不都合なことに、湿式ストリッピング処理はまた、下に位置するシリコン含有層たとえば基板およびゲート酸化物のエッチングならびに/またはゲート酸化物厚さの増大をも引き起こす。
フィーチャーサイズ(feature size)が減少し続けているので、先行技術の水性系エッチャント配合物を用いたのでは上述の除去要件を満たすことがかなり厄介な問題になる。水は、高アスペクト比を有するより小さい画像ノードへの接近を制限または妨害する高い表面張力を有するので、クレバス中またはグルーブ中の残渣を除去することがより困難になる。そのほかに、水性系エッチャント配合物を用いると、多くの場合、溶存する溶質が蒸発乾燥時にトレンチ中またはビア中に残留するので、伝導が抑制されかつデバイスの歩留りが低下する。さらに、下に位置する多孔性低k誘電体材料は、水などの高い表面張力の液体の毛管応力に耐えるのに十分な機械的強度を有していないので、構造体のパターン崩壊を生じる。水性エッチャント配合物はまた、誘電率、機械的強度、吸湿性、熱膨張係数、およびさまざまな基板への接着性をはじめとする低k材料の重要な材料特性を大きく変化させる可能性がある。
したがって、マイクロ電子デバイスからバルクフォトレジストおよび硬化フォトレジストを除去することに関連した先行技術の欠点を克服する改良された組成物を提供することは、当技術分野での著しい進歩になるであろう。改良された組成物は、プラズマエッチング工程を必要とすることなくかつ下に位置するシリコン含有層を実質的にオーバーエッチングすることなく、一工程または多工程のプロセスでバルクフォトレジストおよび硬化フォトレジストを効果的に除去するものとする。
概要
本発明は、一般には、マイクロ電子デバイスの表面からバルクフォトレジストおよび硬化フォトレジストを除去するのに有用な鉱酸含有組成物と、それを除去するための前記組成物の製造方法および使用方法と、それを用いて製造された改良されたマイクロ電子デバイスと、に関する。より具体的には、高ドーズイオン注入フォトレジスト膜の除去に有用な組成物とその使用方法とを記述する。有利には、本明細書中に記載の組成物は、マイクロ電子デバイス上の低k誘電体材料に適合しうる。
一の態様では、少なくとも1種の鉱酸と少なくとも1種の硫黄含有酸化剤とを含む鉱酸含有組成物を記述し、この組成物は、バルクフォトレジスト材料および/または硬化フォトレジスト材料を、前記フォトレジスト材料を表面上に有するマイクロ電子デバイスから、除去するのに好適なものである。
他の態様では、少なくとも1種の鉱酸と少なくとも1種の硫黄含有酸化剤とより本質的になる鉱酸含有組成物を記述し、この組成物は、バルクフォトレジスト材料および/または硬化フォトレジスト材料を、前記フォトレジスト材料を表面上に有するマイクロ電子デバイスから、除去するのに好適なものである。
さらに他の態様では、少なくとも1種の鉱酸と少なくとも1種の硫黄含有酸化剤とからなる鉱酸含有組成物を記述し、この組成物は、バルクフォトレジスト材料および/または硬化フォトレジスト材料を、前記フォトレジスト材料を表面上に有するマイクロ電子デバイスから、除去するのに好適なものである。
さらに他の態様では、少なくとも1種の鉱酸と少なくとも1種の硫黄含有酸化剤と少なくとも1種の金属イオン含有触媒とを含む鉱酸含有組成物を記述し、この組成物は、バルクフォトレジスト材料および/または硬化フォトレジスト材料を、前記フォトレジスト材料を表面上に有するマイクロ電子デバイスから、除去するのに好適なものである。
さらに他の態様は、少なくとも1種の鉱酸と少なくとも1種の硫黄含有酸化剤と少なくとも1種の金属イオン含有触媒とより本質的になる鉱酸含有組成物に関し、この組成物は、バルクフォトレジスト材料および/または硬化フォトレジスト材料を、前記フォトレジスト材料を表面上に有するマイクロ電子デバイスから、除去するのに好適なものである。
他の態様は、少なくとも1種の鉱酸と少なくとも1種の硫黄含有酸化剤と少なくとも1種の金属イオン含有触媒とからなる鉱酸含有組成物に関し、この組成物は、バルクフォトレジスト材料および/または硬化フォトレジスト材料を、前記フォトレジスト材料を表面上に有するマイクロ電子デバイスから、除去するのに好適なものである。
さらに他の態様は、バルクフォトレジスト材料および/または硬化フォトレジスト材料を、前記フォトレジスト材料を表面上に有するマイクロ電子デバイスから、除去する方法に関し、前記方法は、マイクロ電子デバイスから前記フォトレジスト材料を少なくとも部分的に除去するのに十分な時間にわたりかつ十分な接触条件下でマイクロ電子デバイスを鉱酸含有組成物と接触させることを含み、鉱酸含有組成物は、少なくとも1種の鉱酸と少なくとも1種の硫黄含有酸化剤と任意選択的に少なくとも1種の金属イオン含有触媒とを含む。
さらに他の態様では、マイクロ電子デバイスの製造方法を記述し、前記方法は、バルクフォトレジスト材料および/または硬化フォトレジスト材料を、前記フォトレジスト材料を表面上に有するマイクロ電子デバイスから、少なくとも部分的に除去するのに十分な時間にわたりかつ十分な接触条件下で、マイクロ電子デバイスを本発明に係る鉱酸含有組成物と接触させることと、任意選択的に前記清浄化マイクロ電子デバイスを製品に組み込むことと、を含む。
さらに他の態様は、本明細書中に記載の方法および/または組成物を用いて、バルクフォトレジストおよび/または硬化フォトレジストを、前記フォトレジストを表面上に有するマイクロ電子デバイスから、除去することと、任意選択的にマイクロ電子デバイスを製品に組み込むことと、を含む本明細書に記載の方法を用いて製造された改良されたマイクロ電子デバイスと、それを組み込んだ製品と、に関する。
他の態様は、鉱酸含有組成物とマイクロ電子デバイスウェーハとバルクフォトレジストおよび/または硬化フォトレジストとを含む製品に関し、この組成物は、少なくとも1種の鉱酸と少なくとも1種の硫黄含有酸化剤と任意選択的に少なくとも1種の金属イオン含有触媒とを含む。
さらに他の態様は、輸送、混合、および送給のために鉱酸含有組成物をパッケージングすることに関し、この鉱酸含有組成物は、少なくとも1種の鉱酸と少なくとも1種の硫黄含有酸化剤と任意選択的に少なくとも1種の金属イオン含有触媒とを含み、前記パッケージングは、少なくとも2つの内部容器または内部ブラダーを含む外部パッケージを含み、第1の内部容器または内部ブラダーは、少なくとも1種の硫黄含有酸化剤を含み、かつ第2の内部容器または内部ブラダーは、少なくとも1種の鉱酸と任意選択的に少なくとも1種の金属イオン含有触媒とを含み、第1および第2の内部容器または内部ブラダーの内容物は、鉱酸含有組成物を形成するために外部パッケージ内で混合可能である。形成された鉱酸含有組成物は、その後、バルクフォトレジストおよび/または硬化フォトレジストを、前記フォトレジストを表面上に有するマイクロ電子デバイスから、除去するのに十分な時間にわたり、マイクロ電子デバイスに送給可能である。
本発明の他の態様、特徴、および利点については、以下の開示および添付の特許請求の範囲からさらに完全に自明なものとなろう。
図面の簡単な説明
対照表面の走査型電子顕微鏡写真である。 本明細書中に記載の鉱酸含有組成物を用いて清浄化した後のホウ素イオンを含むフォトレジストの走査型電子顕微鏡写真である。 対照表面の走査型電子顕微鏡写真である。 本明細書中に記載の鉱酸含有組成物を用いて清浄化した後のヒ素イオンを含むフォトレジストの走査型電子顕微鏡写真である。
詳細な説明およびその好ましい実施形態
本発明は、一般には、マイクロ電子デバイスの表面からバルクフォトレジストおよび硬化フォトレジストを除去するのにきわめて有効な鉱酸含有組成物、特定的には硫酸含有組成物の発見に基づく。より具体的には、鉱酸含有組成物は、高ドーズイオン注入フォトレジストを、それを表面上に有するマイクロ電子デバイスの表面から、除去するのに特に有用である。
参照を容易にするために、「マイクロ電子デバイス」は、マイクロエレクトロニクス用途、集積回路用途、またはコンピューターチップ用途で使用するために製造された、半導体基板、フラットパネルディスプレイ、相変化メモリーデバイス、ソーラーパネルおよび光起電装置、ならびにマイクロエレクトロメカニカルシステム(MEMS)に対応する。「マイクロ電子デバイス」という用語は、なんら限定的なものではなく、最終的にマイクロ電子デバイスまたはマイクロ電子アセンブリーになるいかなる基板も包含することが理解されよう。
本明細書中で用いられる「バルクフォトレジスト」は、マイクロ電子デバイス表面上のフォトレジスト、特定的には硬化フォトレジストクラストに隣接してその下に位置するフォトレジストに対応する。
本明細書中で用いられる「硬化フォトレジスト」としては、集積回路のバックエンドオブライン(BEOL)デュアルダマシン加工時などにプラズマエッチングされたフォトレジスト、半導体ウェーハの適切な層にドーパント種を注入するフロントエンドオブライン(FEOL)加工時などにイオン注入されたフォトレジスト、および/または炭化クラストもしくは高架橋クラストがバルクフォトレジストの露出表面上に形成される任意の他の方法でのフォトレジストが挙げられるが、これらに限定されるものではない。ドーピング種としては、ホウ素イオン、ヒ素イオン、二フッ化ホウ素イオン、インジウムイオン、アンチモンイオン、ゲルマニウムイオン、および/またはリンイオンが挙げられるが、これらに限定されるものではない。
本明細書中で用いられる場合、「下に位置するシリコン含有」層は、バルクフォトレジストおよび/または硬化フォトレジストの真下の層、たとえば、限定されるものではないが、シリコン、ゲート酸化物(たとえば、熱的もしくは化学的に成長させたSiO)やTEOSをはじめとする酸化シリコン、窒化シリコン、および低k誘電体材料に対応する。本明細書中で定義される場合、「低k誘電体材料」は、層状マイクロ電子デバイス中で誘電体材料として使用される任意の材料に対応し、この材料は、約3.5未満の誘電率を有する。好ましくは、低k誘電体材料は、低極性材料、たとえば、シリコン含有有機ポリマー、シリコン含有ハイブリッド有機/無機材料、有機シリケートガラス(OSG)、TEOS、フッ素化シリケートガラス(FSG)、二酸化シリコン、および炭素ドープ酸化物(CDO)ガラスを含む。低k誘電体材料は、さまざまな密度およびさまざまな多孔度を有しうることが理解されよう。
「実質的に有していない」および「有していない」は、本明細書中では、2wt.%未満、好ましくは1wt.%未満、より好ましくは0.5wt.%未満、最も好ましくは0.1wt.%未満として定義される。
本明細書中で定義される場合、「実質的にオーバーエッチングする」は、隣接して下に位置するシリコン含有層が、本明細書中に記載の方法に従って本明細書中に記載の鉱酸含有組成物を前記下に位置する層を有するマイクロ電子デバイスと接触させた後、約10%超除去、より好ましくは約5%超除去、最も好ましくは約2%超除去されることに対応する。言い換えれば、最も好ましくは、下に位置するシリコン含有層の2%以下が規定の温度で規定の時間にわたり本明細書中に記載の組成物を用いてエッチングされる。
本明細書中で用いられる場合、「約」は、指定値の±5%に対応するものとする。
本明細書中で用いられる場合、バルクフォトレジスト材料および硬化フォトレジスト材料を、前記フォトレジスト材料を表面上に有するマイクロ電子デバイスから、除去することに関する「好適度」は、マイクロ電子デバイスから前記フォトレジスト材料を少なくとも部分的に除去することに対応する。好ましくは、フォトレジスト材料の少なくとも90%が本明細書中に記載の組成物を用いてマイクロ電子デバイスから除去され、より好ましくはフォトレジスト材料の少なくとも95%、最も好ましくは少なくとも99%が除去される。
組成物は、これ以降でさらに十分に説明されるように、広範にわたるさまざまな特定の配合物の形態で具現化可能である。
ゼロの下限を含む重量パーセント範囲に関連して組成物の特定の成分が論じられるすべてのそのような組成物では、そのような成分は、組成物の種々の特定の実施形態に存在しても存在しなくてもよく、そのような成分が存在する場合、そのような成分が利用される組成物の全重量を基準にして0.01重量パーセント程度の低い濃度で存在しうることが理解されよう。
一般に、組成物は、少なくとも1種の鉱酸と少なくとも1種の硫黄含有酸化剤とを含み、組成物は、マイクロ電子デバイスの表面からバルクフォトレジストおよび硬化フォトレジストを除去するのに有用である。
一の態様では、少なくとも1種の鉱酸と少なくとも1種の硫黄含有酸化剤とからなるかまたはそれらから本質的になる組成物を記述し、この組成物は、バルクフォトレジストおよび硬化フォトレジストを、それを表面上に有するマイクロ電子デバイスから、除去するのに有用なものである。他の態様では、少なくとも1種の鉱酸と少なくとも1種の硫黄含有酸化剤と少なくとも1種の金属イオン含有触媒とからなるかまたはそれらから本質的になる組成物を記述する。一般に、相互に関連する成分の特定の割合および量は、過度の労力を伴うことなく当該技術の範囲内で容易に決定可能なものとして、バルクフォトレジストおよび硬化フォトレジストに対する組成物および/または加工装置の所望の除去作用を提供すべく好適に変更可能である。
本発明に係る組成物に有用な鉱酸としては、硫酸、メタンスルホン酸、トリフルオロメタンスルホン酸、トリフルオロ酢酸、硝酸、ピロ硫酸(H)、ピロリン酸、ポリメタリン酸、およびそれらの組合せが挙げられるが、これらに限定されるものではない。最も好ましくは、鉱酸は、硫酸、好ましくは濃硫酸(市販品としては95%〜98%のHSOである)を含む。それほど有利ではないが、硫酸は、組成物中のHSOの濃度が約50%〜約95%の範囲内になるように希釈可能である。
硫黄含有酸化剤としては、OXONE(登録商標)(2KHSO・KHSO・KSO)、硫酸水素アンモニウム、硫酸水素セシウム、硫酸水素カリウム、硫酸アンモニウム、硫酸セシウム、硫酸カリウム、過硫酸アンモニウム、ペルオキシ一硫酸アンモニウム、ペルオキシ一硫酸、ペルオキシ一硫酸テトラブチルアンモニウム、ペルオキシ一硫酸セシウム、ペルオキシ一硫酸カリウム、他のペルオキシ一硫酸塩、他の過硫酸塩、およびそれらの組合せが挙げられるが、これらに限定されるものではなく、ただし、鉱酸が硫酸自体を含む場合、硫黄含有酸化剤は、ペルオキシ一硫酸(HSO)を含んでいなくてもよい。好ましくは、硫黄含有酸化剤は、OXONE(登録商標)、過硫酸アンモニウム、またはそれらの組合せを含む。
利用可能と考えられる金属イオン含有触媒としては、第一鉄塩、第二鉄塩、銀塩、およびそれらの組合せが挙げられるが、これらに限定されるものではない。好ましくは、金属イオン含有触媒としては、硫酸第一鉄(溶解性の問題に依存する)、硝酸第一鉄、リン酸第一鉄、過塩素酸第一鉄、メタンスルホン酸第一鉄、トリフルオロ酢酸第一鉄、およびそれらの組合せが挙げられる。
好ましくは、鉱酸含有組成物は、添加された水を実質的に有していない。当然のことながら濃HSOは少量の水を有するが、追加の水は、ニートの水としても濃HSO以外の成分の希釈剤としても本明細書中に記載の組成物に添加しないことが望ましい。したがって、組成物は、好ましくは鉱酸中の水の量を基準にして約5wt%未満の水を含み、より好ましくは組成物の全重量を基準にして3wt%未満、最も好ましくは2wt%未満の水を含む。ピロ硫酸またはピロリン酸などの濃厚な鉱酸を使用する場合、組成物は、水を実質的に有していない。さらに、本明細書中に記載の組成物は、好ましくは、研磨性材料、過酸化水素、アミノ/CONH鎖を有する非イオン性化合物類、非イオン性および他の界面活性剤類、ヒドロキシルアミン、アゾール類、水溶性ポリマー類、SbFやBFなどのフッ化物イオン含有化合物類、イミダゾリウムカチオン類、ピリジニウムカチオン類、ピロリジニウムカチオン類、ホスホニウムカチオン類、第四級アンモニウムカチオン類、およびそれらの組合せを実質的に有していない。
少なくとも1種の鉱酸と少なくとも1種の硫黄含有酸化剤と任意選択的に少なくとも1種の金属イオン含有触媒とを含むか、それらからなるか、またはそれらから本質的になる組成物中の各成分の量は、組成物の全重量を基準にして、以下のとおりである。
Figure 2010541192
存在する場合、金属イオン含有触媒のより少ない量は約0.01wt%である。鉱酸は組成物中の溶媒である。
好ましい実施形態では、少なくとも1種の鉱酸と少なくとも1種の硫黄含有酸化剤と任意選択的に少なくとも1種の金属イオン含有触媒とを含むか、それらからなるか、またはそれらから本質的になる組成物中の各成分の量は、組成物の全重量を基準にして、以下のとおりである。
Figure 2010541192
存在する場合、金属イオン含有触媒のより少ない量は約0.01wt%である。
特に好ましい実施形態では、組成物は、濃HSOとOXONE(登録商標)とを含む。好ましくは、組成物は、75wt%の濃HSOと25wt%のOXONE(登録商標)とを含む。
他の好ましい実施形態では、少なくとも1種の鉱酸と少なくとも1種の硫黄含有酸化剤と任意選択的に少なくとも1種の金属イオン含有触媒とを含むか、それらからなるか、またはそれらから本質的になる組成物中の各成分の量は、組成物の全重量を基準にして、以下のとおりである。
Figure 2010541192
特に好ましい実施形態では、組成物は、濃HSOと過硫酸アンモニウムと少なくとも1種の第一鉄塩とを含む。
重要なこととして、本明細書中に記載の組成物は、約2未満、より好ましくは約1未満のpHを有する。本明細書中に記載の組成物のpHは、使用される成分およびその量に依存して、ゼロ未満でありうることが理解されよう。
他の実施形態では、本明細書中に記載の上述の組成物は、バルクフォトレジスト材料および/または硬化フォトレジスト材料をさらに含み、バルクフォトレジスト材料および/または硬化フォトレジスト材料は、ホウ素イオン、ヒ素イオン、二フッ化ホウ素イオン、インジウムイオン、アンチモンイオン、ゲルマニウムイオン、および/またはリンイオンを含みうる。たとえば、組成物は、少なくとも1種の鉱酸と少なくとも1種の硫黄含有酸化剤とバルクフォトレジスト材料および/または硬化フォトレジスト材料とを含みうる。他の実施形態では、本明細書中に記載の組成物は、少なくとも1種の鉱酸と少なくとも1種の硫黄含有酸化剤と少なくとも1種の金属イオン含有触媒とバルクフォトレジスト材料および/または硬化フォトレジスト材料とを含みうる。さらに他の実施形態では、組成物は、HSOとOXONE(登録商標)とバルクフォトレジストおよび/または硬化フォトレジストとを含む。さらに他の実施形態では、組成物は、HSOと過硫酸アンモニウムと少なくとも1種の第一鉄塩とバルクフォトレジストおよび/または硬化フォトレジストとを含む。重要なこととして、フォトレジスト材料および注入イオンは、鉱酸含有組成物中に溶解可能および/または懸濁可能である。
組成物は、マイクロ電子デバイス上の下に位置するシリコン含有材料に適合しうる。
組成物は、単一パッケージ配合物または使用時もしくはその前に混合される複数の部分で構成された配合物として容易に配合可能である。たとえば、ツールで、ツールの上流の貯蔵タンク内で、または混合配合物をツールに直接送給する輸送パッケージ内で、複数の部分で構成された配合物の個々の部分を混合することが可能である。たとえば、単一輸送パッケージは、マイクロチップ工場で使用者により一緒に混合可能な少なくとも2つの個別の容器またはブラダーを含むことが可能であり、かつ混合配合物は、ツールに直接送給可能である。少なくとも2つの容器またはブラダーの1つは、固体または液体でありうる少なくとも1種の硫黄含有酸化剤を含むことが可能であり、一方、少なくとも2つの容器の他の1つは、少なくとも1種の鉱酸と任意選択的に少なくとも1種の金属イオン含有触媒とを含むことが可能である。一の実施形態では、少なくとも2つの容器またはブラダーの1つは、少なくとも1種の硫黄含有酸化剤を含み、一方、少なくとも2つの容器またはブラダーの2つ目は、少なくとも1種の鉱酸を含む。他の実施形態では、少なくとも2つの容器またはブラダーの1つは、少なくとも1種の硫黄含有酸化剤を含み、一方、少なくとも2つの容器またはブラダーの2つ目は、少なくとも1種の鉱酸と少なくとも1種の金属イオン含有触媒との混合物を含む。さらに他の実施形態では、1つの容器またはブラダーは、少なくとも1種の硫黄含有酸化剤を含み、第2の容器またはブラダーは、少なくとも1種の鉱酸を含み、かつ第3の容器またはブラダーは、少なくとも1種の金属イオン含有触媒を含む。輸送パッケージおよびパッケージの内部容器または内部ブラダーは、組成物成分の貯蔵および輸送に好適なものでなければならない。たとえば、Advanced Technology Materials, Inc. (Danbury, Conn., USA)により提供されるパッケージングに好適なものでなければならない。
他の態様は、本明細書中に記載の組成物を形成するように構成された1つ以上の成分を1つ以上の容器内に含むキットに関する。キットは、マイクロチップ工場でまたは使用時に少なくとも1種の硫黄含有酸化剤および少なくとも1種の金属イオン含有触媒と組み合わせるための少なくとも1種の鉱酸を1つ以上の容器内に含みうる。他の選択肢として、キットは、マイクロチップ工場でまたは使用時に少なくとも1種の硫黄含有酸化剤と組み合わせるための少なくとも1種の鉱酸を1つ以上の容器内に含みうる。キットの容器は、前記鉱酸含有組成物を貯蔵および輸送するのに好適なものでなければならない。たとえば、NOWPak(登録商標)容器(Advanced Technology Materials, Inc., Danbury, Conn., USA)である。鉱酸含有組成物の成分を含有する1つ以上の容器は、好ましくは、前記1つ以上の容器内の成分をブレンドおよび分配すべく流体連通状態にするための手段を含む。たとえば、NOWPak(登録商標)容器に関しては、前記1つ以上の容器内のライナーの外側にガス圧力を加えてライナーの内容物の少なくとも一部分を排出させることにより、ブレンドおよび分配すべく流体連通にすることが可能である。他の選択肢として、流体連通にすべく従来型の加圧可能な容器のヘッドスペースにガス圧力を加えることが可能であるかまたはポンプを使用することが可能である。そのほかに、システムは、好ましくは、ブレンドされた除去用組成物をプロセスツールに分配するための分配口を含む。
好ましくは、実質的に化学的に不活性で不純物を含まない可撓性かつ弾力性の高分子フィルム材料たとえば高密度ポリエチレンを用いて前記1つ以上の容器のライナーを作製する。望ましいライナー材料は、共押出しまたはバリヤー層を必要とすることなく、かつライナー内に配置される成分に対する純度要件に悪影響を及ぼす可能性のある顔料、UV防止剤、加工剤のいずれをも用いることなく、加工される。望ましいライナー材料のリストには、バージン(添加剤なし)ポリエチレン、バージンポリテトラフルオロエチレン(PTFE)、ポリプロピレン、ポリウレタン、ポリビニリデンクロリド、ポリビニルクロリド、ポリアセタール、ポリスチレン、ポリアクリロニトリル、ポリブチレンなどを含むフィルムが包含される。そのようなライナー材料の好ましい厚さは、たとえば20ミル(0.020インチ)の厚さのように、約5ミル(0.005インチ)〜約30ミル(0.030インチ)の範囲内である。
キット用の容器に関して、次の特許および特許出願の開示は、それらのそれぞれの全体が参照により本明細書に援用されるものとする。「超高純度液体中で粒子の発生を最小限に抑えるための装置および方法(APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS)」という名称の米国特許第7,188,644号、「返却可能かつ再使用可能なバッグインドラム流体貯蔵・分配容器システム(RETURNABLE AND REUSABLE, BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM)」という名称の米国特許第6,698,619号、John E.Q. Hughesの名義で2007年5月9日に出願された「材料をブレンドおよび分配するためのシステムおよび方法(SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION)」という名称の米国仮特許出願第60/916,966号、およびAdvanced Technology Materials, Inc.の名義で2008年5月9日に出願された「材料をブレンドおよび分配するためのシステムおよび方法(SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION)」という名称のPCT/US08/63276号。
マイクロエレクトロニクス製造操作に適用した場合、本明細書中に記載の組成物は、マイクロ電子デバイスの表面からバルクフォトレジストおよび硬化フォトレジストを清浄除去するために有効に利用される。重要なこととして、組成物は、デバイス表面上の低k誘電体材料に損傷を与えない。好ましくは、組成物は、フォトレジスト除去前にデバイス上に存在するバルクフォトレジストおよび硬化フォトレジストの少なくとも85%、より好ましくは少なくとも90%、さらにより好ましくは少なくとも95%、最も好ましくは少なくとも99%を除去する。
除去適用時、鉱酸含有組成物は、任意の好適な方法で、たとえば、デバイスの表面上に組成物をスプレーすることにより、フォトレジスト材料を含むデバイスを(多量の組成物中に)浸漬することにより、デバイスを他の材料、たとえば、組成物で飽和されたパッドまたは繊維状収着性アプリケーターエレメントと接触させることにより、フォトレジスト材料を含むデバイスを循環組成物と接触させることにより、または鉱酸含有組成物をマイクロ電子デバイス上のフォトレジスト材料と接触させる任意の他の好適な手段、方法、または技術により、フォトレジスト材料を表面上に有するマイクロ電子デバイスに適用される。適用は、動的または静的な清浄除去のためのバッチまたは単一のウェーハ装置によるものでありうる。
バルクフォトレジストおよび硬化フォトレジストを、それを表面上に有するマイクロ電子デバイスから、除去するための本発明に係る組成物の使用時、典型的には、組成物は、約10秒間〜約60分間、好ましくは約5分間〜30分間にわたり、約20℃〜約100℃、好ましくは約40℃〜約80℃の範囲内の温度で、デバイスと接触させる。そのような接触時間および接触温度は、例示的なものであり、本発明の広義の実施の範囲内でデバイスからバルクフォトレジストおよび硬化フォトレジストを少なくとも部分的に清浄除去するのに有効な任意の他の好適な時間および温度の条件を利用することが可能である。「少なくとも部分的な清浄除去」および「実質的な除去」は両方とも、フォトレジスト除去前にデバイス上に存在するバルクフォトレジストおよび硬化フォトレジストの少なくとも85%、より好ましくは少なくとも90%、さらにより好ましくは少なくとも95%、最も好ましい少なくとも99%の除去に対応する。
所望の除去作用の達成後、本明細書中に記載の組成物の所与の最終使用適用時に望ましくかつ有効であれば、組成物をそれが先行して適用されたデバイスから容易に除去することが可能である。好ましくは、リンス溶液は冷脱イオン水を含む。他の選択肢として、リンス溶液は、より低濃度の鉱酸(たとえば約10%〜約80%)を含むことが可能であり、その場合、デバイスを室温またはほぼ室温でリンスし、続いて、室温またはほぼ室温でDI水でリンスすることが可能である。DI水による最終リンスの前に、漸減濃度の鉱酸を有する複数の溶液でデバイスをリンスすることが可能であることが理解されよう。その後、窒素乾燥サイクルまたはスピン乾燥サイクルを用いてデバイスを乾燥させることが可能である。
さらに他の態様は、本明細書に記載の方法に従って製造された改良されたマイクロ電子デバイスと、そのようなマイクロ電子デバイスを含有する製品と、に関する。
他の態様は、再循環組成物に関する。この場合、フォトレジスト負荷量が、組成物が収容しうる最大量(当業者により、容易に決定される)に到達するまで、この組成物を再循環させることが可能である。当業者には、濾過および/またはポンプ移送システムが再循環プロセスに必要とされる可能性があることが理解されよう。
他のさらなる態様は、マイクロ電子デバイスを含む物品の製造方法に関し、前記方法は、本明細書中に記載の組成物を用いて、バルクフォトレジストおよび硬化フォトレジストを、前記フォトレジストを表面上に有するマイクロ電子デバイスから、清浄除去するのに十分な時間にわたり、マイクロ電子デバイスを組成物と接触させることと、前記マイクロ電子デバイスを前記物品に組み込むことと、を含む。
さらに他の態様は、輸送、混合、および送給のために鉱酸含有組成物をパッケージングすることに関し、この鉱酸含有組成物は、少なくとも1種の鉱酸と少なくとも1種の硫黄含有酸化剤と任意選択的に少なくとも1種の金属イオン含有触媒とを含み、前記パッケージングは、少なくとも2つの内部容器または内部ブラダーを含む外部パッケージを含み、第1の内部容器または内部ブラダーは、少なくとも1種の硫黄含有酸化剤を含み、かつ第2の内部容器または内部ブラダーは、少なくとも1種の鉱酸と任意選択的に少なくとも1種の金属イオン含有触媒とを含み、第1および第2の内部容器または内部ブラダーの内容物は、鉱酸含有組成物を形成するために外部パッケージ内で混合可能である。形成された鉱酸含有組成物は、その後、バルクフォトレジストおよび/または硬化フォトレジストを、前記フォトレジストを表面上に有するマイクロ電子デバイスから、除去するのに十分な時間にわたり、マイクロ電子デバイスに送給可能である。
さらに他の態様は、シングルウェーハツール(SWT)と本明細書中に記載の組成物とを用いてマイクロ電子デバイスの表面からバルクフォトレジストおよび硬化フォトレジストを清浄除去するプロセスに関する。現在、注入レジストのストリッピング用の溶液は、主にバッチモードで使用され、強酸化剤、たとえば、硫酸−過酸化水素混合物(SPM)に基づく。この混合物は、有効な温度で限られた浴寿命を有する。現時点でバッチ加工よりも優れたSWTを用いる場合、フォトレジストの溶解時間を典型的な10〜30分間から約1分間に短縮することが必要とされる。不都合なことに、これは、より高い加工温度、たとえば、バッチプロセス温度よりも高い約40〜80℃を必要とするので、鉱酸含有組成物中の酸化剤の分解が加速される。SWT装置を使用する場合、典型的には、組成物は、約30秒間〜約2分間、好ましくは約45秒間〜90秒間にわたり、約20℃〜約190℃、好ましくは約90℃〜約140℃の範囲内の温度で、マイクロ電子デバイスと接触させる。
したがって、SWTを用いたより高い温度での加工について本明細書中で説明する。好ましくは、SWT用の鉱酸含有組成物は、1回使用組成物である。実施形態は、以下のことを含む。
1. 酸化剤の比較的低温の濃厚溶液のストリームと高温の希釈剤たとえば熱硫酸とを混合する。任意選択的に、溶液の一方は、他方よりも多くの水を含有し、いくらかの混合熱を発生する可能性がある。混合は、1つのウェーハに必要とされる溶液にちょうどよい十分な大きさの小型の第2の貯蔵槽を用いるかまたは2つの異なる溶液を有する2つのチューブを「Y」接続で合流させるかのいずれかにより実施可能である。
2. デバイスウェーハに向かう途中でチューブの外側から酸化性溶液を加熱する。かつ/または
3. 高い熱質量および制御可能な温度を有する金属チャック上にデバイスウェーハを定置し、ウェーハの熱伝導率に基づいて鉱酸含有組成物を急速に数十度昇温する。
以下に記述する例示的な実施例により特徴および利点をさらに十分に示す。
実施例1
酸化物層上にフォトレジストラインを有するパターン化ウェーハ(このフォトレジストは、35KeVのエネルギーを用いて2.1×1015原子cm−2のホウ素原子でドープされたものであった)を、75wt%の濃HSO(95〜98%)と25wt%のOXONE(登録商標)とを含む本明細書中に記載の組成物中に80℃で30分間浸漬した。図1(図1Aは浸漬前のウェーハを表し、図1Bは浸漬後のウェーハを表す)に見られるように、バルクフォトレジストおよび硬化フォトレジストは、ウェーハの表面から実質的に除去された。重要なこととして、下に位置する酸化物層は、実質的にエッチングされなかった。
実施例2
酸化物層上にフォトレジストラインを有するパターン化ウェーハ(このフォトレジストは、20KeVのエネルギーを用いて2×1015原子cm−2のヒ素原子でドープされたものであった)を、75wt%の濃HSO(95〜98%)と25wt%のOXONE(登録商標)とを含む本明細書中に記載の組成物中に80℃で10分間浸漬した。図2(図2Aは浸漬前のウェーハを表し、図2Bは浸漬後のウェーハを表す)に見られるように、バルクフォトレジストおよび硬化フォトレジストは、ウェーハの表面から実質的に除去された。重要なこととして、下に位置する酸化物層は、実質的にエッチングされなかった。
実施例3
酸化物層上にフォトレジストラインを有するパターン化ウェーハ(このフォトレジストは、20KeVのエネルギーを用いて2×1015原子cm−2のヒ素原子でドープされたものであった)を、75wt%の濃HSO(95〜98%)と25wt%の過硫酸アンモニウムとを含む本明細書中に記載の組成物中に80℃で30分間浸漬した。バルクフォトレジストおよび硬化フォトレジストは、ウェーハの表面から実質的に除去された。重要なこととして、下に位置する酸化物層は、実質的にエッチングされなかった。
重要なこととして、濃HSOと過硫酸アンモニウムとを含む組成物に第一鉄塩を添加した場合、約40℃〜約60℃の範囲内の温度などのより温和な条件を用いてバルクフォトレジストおよび硬化フォトレジストを除去することが可能である。
例示的な実施形態および特徴を参照しながら本発明をさまざまに開示してきたが、以上に記載の実施形態および特徴は、本発明を限定しようとするものではなく、当業者であれば、本明細書中の開示に基づいて、他の変形形態、変更形態、および他の実施形態が思い浮かぶであろうことが理解されよう。したがって、本発明は、以下に示される特許請求の範囲の趣旨および範囲内のすべてのそのような変形形態、変更形態、および他の選択肢の実施形態を包含するように広義に解釈されるものとする。

Claims (28)

  1. 少なくとも1種の鉱酸と、少なくとも1種の硫黄含有酸化剤と、を含む鉱酸含有組成物。
  2. 少なくとも1種の金属イオン含有触媒を更に含む請求項1に記載の組成物。
  3. 前記組成物が、バルクフォトレジスト材料および/または硬化フォトレジスト材料を、前記フォトレジスト材料を表面上に有するマイクロ電子デバイスから、除去するのに好適である請求項1または2に記載の組成物。
  4. 前記少なくとも1種の鉱酸が、硫酸、メタンスルホン酸、トリフルオロメタンスルホン酸、トリフルオロ酢酸、硝酸、ピロ硫酸(H)、ピロリン酸、ポリメタリン酸、およびそれらの組合せからなる群から選択される酸を含む請求項1または2に記載の組成物。
  5. 前記少なくとも1種の鉱酸が、硫酸を含む請求項1または2に記載の組成物。
  6. 前記少なくとも1種の硫黄含有酸化剤が、OXONE(登録商標)、硫酸水素アンモニウム、硫酸水素セシウム、硫酸水素カリウム、硫酸アンモニウム、硫酸セシウム、硫酸カリウム、過硫酸アンモニウム、ペルオキシ一硫酸アンモニウム、ペルオキシ一硫酸カリウム、ペルオキシ一硫酸、ペルオキシ一硫酸テトラブチルアンモニウム、ペルオキシ一硫酸セシウム、他のペルオキシ一硫酸塩、他の過硫酸塩、およびそれらの組合せからなる群から選択される種を含む請求項1または2に記載の組成物。
  7. 前記少なくとも1種の硫黄含有酸化剤が、OXONE(登録商標)を含む請求項1または2に記載の組成物。
  8. 前記少なくとも1種の金属イオン含有触媒が、第一鉄塩、第二鉄塩、銀塩、およびそれらの組合せからなる群から選択される塩を含む請求項2に記載の組成物。
  9. 前記少なくとも1種の金属イオン含有触媒が、第一鉄塩を含む請求項2に記載の組成物。
  10. pHが2未満である、請求項1または2に記載の組成物。
  11. 前記組成物が、バルクフォトレジスト材料残渣および/または硬化フォトレジスト材料残渣をさらに含む請求項1または2に記載の組成物。
  12. 前記フォトレジスト材料残渣が、B、As、P、BF、In、Ge、Sb、およびそれらの組合せからなる群から選択される少なくとも1種の注入されたイオンを含む請求項11に記載の組成物。
  13. 前記少なくとも1種の鉱酸の量が、前記組成物の全重量を基準にして約75%〜約95%の範囲内である請求項1に記載の組成物。
  14. 前記少なくとも1種の硫黄含有酸化剤の量が、前記組成物の全重量を基準にして約5%〜約25%の範囲内である請求項1に記載の組成物。
  15. 前記組成物が、前記組成物の全重量を基準にして約5wt%未満の水を含む請求項1または2に記載の組成物。
  16. 前記組成物が、研磨性材料、過酸化水素、アミノ/CONH鎖を有する非イオン性化合物類、非イオン性および他の界面活性剤類、ヒドロキシルアミン、アゾール類、水溶性ポリマー類、フッ化物イオン含有化合物類、イミダゾリウムカチオン類、ピリジニウムカチオン類、ピロリジニウムカチオン類、ホスホニウムカチオン類、第四級アンモニウムカチオン類、およびそれらの組合せを実質的に有していない請求項1または2に記載の組成物。
  17. パッケージを含むキットであって、前記パッケージが少なくとも2つの内部容器を含み、第1の内部容器が少なくとも1種の硫黄含有酸化剤を含み、かつ第2の内部容器が少なくとも1種の鉱酸と任意選択的に少なくとも1種の金属イオン含有触媒とを含み、前記第1および第2の内部容器の内容物が、鉱酸含有組成物を形成するために前記パッケージ内で混合可能であるキット。
  18. バルクフォトレジスト材料および/または硬化フォトレジスト材料を、前記フォトレジスト材料を表面上に有するマイクロ電子デバイスから、除去する方法であって、前記マイクロ電子デバイスから前記フォトレジスト材料を少なくとも部分的に除去するのに十分な時間にわたりかつ十分な接触条件下で前記マイクロ電子デバイスを鉱酸含有組成物と接触させることを含み、前記鉱酸含有組成物が、少なくとも1種の鉱酸と少なくとも1種の硫黄含有酸化剤とを含む方法。
  19. 前記組成物が、少なくとも1種の金属イオン含有触媒をさらに含む請求項18に記載の方法。
  20. 前記接触が、約5分間〜約30分間の時間、約40℃〜約80℃の範囲内の温度、およびそれらの組合せからなる群から選択される条件を含む請求項18または19に記載の方法。
  21. 前記マイクロ電子デバイスが、半導体基板、フラットパネルディスプレイ、相変化メモリーデバイス、ソーラーパネルおよび光起電装置、ならびにマイクロエレクトロメカニカルシステム(MEMS)からなる群から選択される物品を含む請求項18または19に記載の方法。
  22. 前記バルクフォトレジスト材料および/または硬化フォトレジスト材料が、ヒ素イオン、ホウ素イオン、リンイオン、インジウムイオン、アンチモンイオン、二フッ化ホウ素、ゲルマニウム、およびそれらの組合せからなる群から選択されるドーパントイオンを含む、請求項18または19に記載の方法。
  23. 前記接触が、前記マイクロ電子デバイスの表面上に前記鉱酸含有組成物をスプレーすること、前記マイクロ電子デバイスを十分な体積の鉱酸含有組成物中に浸漬すること、前記マイクロ電子デバイスの表面を前記鉱酸含有組成物で飽和された他の材料と接触させること、前記マイクロ電子デバイスを循環鉱酸含有組成物と接触させること、前記マイクロ電子デバイスを前記鉱酸含有組成物の連続流と接触させること、および前記マイクロ電子デバイスの表面を連続した時間にわたり静止体積の前記鉱酸含有組成物と接触させること、からなる群から選択されるプロセスを含む請求項18または19に記載の方法。
  24. 前記鉱酸含有組成物との接触の後で前記マイクロ電子デバイスをリンスすることをさらに含む請求項18または19に記載の方法。
  25. 前記リンス処理が、前記マイクロ電子デバイスを脱イオン水と接触させることを含む請求項24に記載の方法。
  26. 前記リンス処理が、前記マイクロ電子デバイスを希硫酸と接触させることを含む請求項24に記載の方法。
  27. 前記接触が、第1の温度の前記少なくとも1種の硫黄含有酸化剤のストリームを第2の温度の前記少なくとも1種の鉱酸のストリームと混合することを含み、前記第1の温度が前記第2の温度未満である請求項18または19に記載の方法。
  28. 前記第1の温度が約20℃〜約40℃の範囲内であり、かつ前記第2の温度が約90℃〜約140℃の範囲内である、請求項27に記載の方法。
JP2010521985A 2007-08-20 2008-08-20 イオン注入フォトレジストを除去するための組成物および方法 Withdrawn JP2010541192A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US96545607P 2007-08-20 2007-08-20
PCT/US2008/073650 WO2009026324A2 (en) 2007-08-20 2008-08-20 Composition and method for removing ion-implanted photoresist

Publications (1)

Publication Number Publication Date
JP2010541192A true JP2010541192A (ja) 2010-12-24

Family

ID=40378964

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010521985A Withdrawn JP2010541192A (ja) 2007-08-20 2008-08-20 イオン注入フォトレジストを除去するための組成物および方法

Country Status (7)

Country Link
US (1) US20110039747A1 (ja)
EP (1) EP2190967A4 (ja)
JP (1) JP2010541192A (ja)
KR (1) KR20100056537A (ja)
SG (1) SG183744A1 (ja)
TW (1) TW200927918A (ja)
WO (1) WO2009026324A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014240949A (ja) * 2013-05-16 2014-12-25 旭化成イーマテリアルズ株式会社 レジスト剥離液及びレジスト剥離方法
US9771550B2 (en) 2013-12-11 2017-09-26 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009170554A (ja) * 2008-01-11 2009-07-30 Panasonic Corp 半導体装置の製造方法
US8026200B2 (en) 2008-05-01 2011-09-27 Advanced Technology Materials, Inc. Low pH mixtures for the removal of high density implanted resist
US8252515B2 (en) * 2009-10-13 2012-08-28 United Microelectronics Corp. Method for removing photoresist
TWI548738B (zh) 2010-07-16 2016-09-11 安堤格里斯公司 用於移除蝕刻後殘餘物之水性清潔劑
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
KR20130099948A (ko) 2010-08-20 2013-09-06 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 E-폐기물로부터 귀금속 및 베이스 금속을 회수하는 지속가능한 방법
WO2012048079A2 (en) 2010-10-06 2012-04-12 Advanced Technology Materials, Inc. Composition and process for selectively etching metal nitrides
KR101891363B1 (ko) 2010-10-13 2018-08-24 엔테그리스, 아이엔씨. 티타늄 니트라이드 부식을 억제하기 위한 조성물 및 방법
WO2012097143A2 (en) 2011-01-13 2012-07-19 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium- containing solutions
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
WO2013101907A1 (en) 2011-12-28 2013-07-04 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
CN104508072A (zh) 2012-02-15 2015-04-08 安格斯公司 用于cmp后去除的组合物及使用方法
SG10201610541UA (en) 2012-05-18 2017-01-27 Entegris Inc Composition and process for stripping photoresist from a surface including titanium nitride
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
US8853081B2 (en) * 2012-12-27 2014-10-07 Intermolecular, Inc. High dose ion-implanted photoresist removal using organic solvent and transition metal mixtures
US8993218B2 (en) * 2013-02-20 2015-03-31 Taiwan Semiconductor Manufacturing Company Limited Photo resist (PR) profile control
TWI655273B (zh) 2013-03-04 2019-04-01 美商恩特葛瑞斯股份有限公司 選擇性蝕刻氮化鈦之組成物及方法
US10920141B2 (en) 2013-06-06 2021-02-16 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
CN112442374A (zh) 2013-07-31 2021-03-05 恩特格里斯公司 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
TWI654340B (zh) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
KR102352475B1 (ko) 2013-12-20 2022-01-18 엔테그리스, 아이엔씨. 이온-주입된 레지스트의 제거를 위한 비-산화성 강산의 용도
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
US20160340620A1 (en) 2014-01-29 2016-11-24 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
KR102622751B1 (ko) * 2018-07-13 2024-01-10 솔브레인 주식회사 마스크 세정용 조성물 및 이를 이용한 마스크 세정 방법

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4101440A (en) * 1975-07-23 1978-07-18 Hitachi, Ltd. Chemically digestive agents
FR2371705A1 (fr) 1976-11-19 1978-06-16 Ibm Procede de suppression des couches d'un materiau organique formees sur un substrat
US5139763A (en) * 1991-03-06 1992-08-18 E. I. Du Pont De Nemours And Company Class of stable potassium monopersulfate compositions
JPH0829989A (ja) * 1994-07-14 1996-02-02 Furontetsuku:Kk フォトレジスト膜の除去方法
US6294145B1 (en) * 1994-11-08 2001-09-25 Texas Instruments Incorporated Piranha etch preparation having long shelf life and method of making same
US6032682A (en) * 1996-06-25 2000-03-07 Cfmt, Inc Method for sulfuric acid resist stripping
US20020111024A1 (en) * 1996-07-25 2002-08-15 Small Robert J. Chemical mechanical polishing compositions
US20040134873A1 (en) * 1996-07-25 2004-07-15 Li Yao Abrasive-free chemical mechanical polishing composition and polishing process containing same
US6383723B1 (en) * 1998-08-28 2002-05-07 Micron Technology, Inc. Method to clean substrate and improve photoresist profile
EP1171389B1 (en) * 1999-01-15 2009-07-22 Nalco Chemical Company Composition and method for simultaneously precipitating metal ions from semiconductor wastewater and enhancing microfilter operation
AU4309601A (en) * 1999-12-07 2001-06-18 Cabot Microelectronics Corporation Chemical-mechanical polishing method
DE19963509A1 (de) * 1999-12-28 2001-07-05 Merck Patent Gmbh Verfahren zur Herstellung hochreiner Schwefelsäure
US6489281B1 (en) * 2000-09-12 2002-12-03 Ecolab Inc. Cleaning composition comprising inorganic acids, an oxidant, and a cationic surfactant
JP3688650B2 (ja) * 2002-03-26 2005-08-31 株式会社東芝 電子デバイスの製造方法
WO2003091376A1 (en) * 2002-04-24 2003-11-06 Ekc Technology, Inc. Oxalic acid as a cleaning product for aluminium, copper and dielectric surfaces
US7188644B2 (en) 2002-05-03 2007-03-13 Advanced Technology Materials, Inc. Apparatus and method for minimizing the generation of particles in ultrapure liquids
US6698619B2 (en) 2002-05-03 2004-03-02 Advanced Technology Materials, Inc. Returnable and reusable, bag-in-drum fluid storage and dispensing container system
US6604987B1 (en) * 2002-06-06 2003-08-12 Cabot Microelectronics Corporation CMP compositions containing silver salts
US6803353B2 (en) * 2002-11-12 2004-10-12 Atofina Chemicals, Inc. Copper chemical mechanical polishing solutions using sulfonated amphiprotic agents
US6911393B2 (en) * 2002-12-02 2005-06-28 Arkema Inc. Composition and method for copper chemical mechanical planarization
US20040217006A1 (en) * 2003-03-18 2004-11-04 Small Robert J. Residue removers for electrohydrodynamic cleaning of semiconductors
US6818142B2 (en) * 2003-03-31 2004-11-16 E. I. Du Pont De Nemours And Company Potassium hydrogen peroxymonosulfate solutions
US20050063895A1 (en) * 2003-09-23 2005-03-24 Martin Perry L. Production of potassium monopersulfate triple salt using oleum
US7300480B2 (en) * 2003-09-25 2007-11-27 Rohm And Haas Electronic Materials Cmp Holdings, Inc. High-rate barrier polishing composition
US7419911B2 (en) * 2003-11-10 2008-09-02 Ekc Technology, Inc. Compositions and methods for rapidly removing overfilled substrates
US20070082456A1 (en) * 2003-11-14 2007-04-12 Nobuo Uotani Polishing composition and polishing method
US20050236359A1 (en) * 2004-04-22 2005-10-27 Ginning Hu Copper/copper alloy surface bonding promotor and its usage
JP2006108304A (ja) * 2004-10-04 2006-04-20 Nec Electronics Corp 基板処理装置
US20060183654A1 (en) * 2005-02-14 2006-08-17 Small Robert J Semiconductor cleaning using ionic liquids
KR101191405B1 (ko) * 2005-07-13 2012-10-16 삼성디스플레이 주식회사 식각액 및 이를 이용한 액정 표시 장치의 제조 방법
US7435162B2 (en) * 2005-10-24 2008-10-14 3M Innovative Properties Company Polishing fluids and methods for CMP
US7960328B2 (en) * 2005-11-09 2011-06-14 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
US7442323B2 (en) 2006-06-02 2008-10-28 E. I. Du Pont De Nemours And Company Potassium monopersulfate solutions

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014240949A (ja) * 2013-05-16 2014-12-25 旭化成イーマテリアルズ株式会社 レジスト剥離液及びレジスト剥離方法
US9771550B2 (en) 2013-12-11 2017-09-26 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces

Also Published As

Publication number Publication date
WO2009026324A3 (en) 2009-05-14
TW200927918A (en) 2009-07-01
KR20100056537A (ko) 2010-05-27
EP2190967A4 (en) 2010-10-13
EP2190967A2 (en) 2010-06-02
WO2009026324A2 (en) 2009-02-26
US20110039747A1 (en) 2011-02-17
SG183744A1 (en) 2012-09-27

Similar Documents

Publication Publication Date Title
JP2010541192A (ja) イオン注入フォトレジストを除去するための組成物および方法
US8026200B2 (en) Low pH mixtures for the removal of high density implanted resist
TWI592468B (zh) 選擇性移除灰化旋塗玻璃之方法
US10711227B2 (en) TiN hard mask and etch residue removal
CN107155367B (zh) 利用钨及钴兼容性移除蚀刻后残余物的含水及半含水清洁剂
JP6329909B2 (ja) 窒化チタンを選択的にエッチングするための組成物および方法
US9416338B2 (en) Composition for and method of suppressing titanium nitride corrosion
JP2020167418A (ja) 金属、誘電体および窒化物適合性を有する、反射防止コーティング洗浄およびエッチング後残留物除去組成物
US20070135321A1 (en) Methods for chemically treating a substrate using foam technology
JP2016127291A (ja) 高いwn/w選択率を有するストリッピング組成物
TW201516129A (zh) 選擇性蝕刻氮化鈦之組成物及方法
CN111394100A (zh) 用于选择性蚀刻氮化钛的组合物和方法
KR20150016574A (ko) 티타늄 나이트라이드를 포함한 표면에서 포토레지스트를 제거하는 조성물 및 방법
KR20100014916A (ko) TiSiN의 선택적 제거를 위한 조성물 및 공정
US20220033710A1 (en) Compositions and methods for selectively etching silicon nitride films
WO2003064581A1 (en) Methods and compositions for chemically treating a substrate using foam technology
TW202210616A (zh) 移除硬遮罩之方法
KR20070090199A (ko) 반도체 적용을 위한 선택적 제거용 화학 물질 및 이를 생산및 사용하는 방법

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20111101