TW200927918A - Composition and method for removing ion-implanted photoresist - Google Patents

Composition and method for removing ion-implanted photoresist Download PDF

Info

Publication number
TW200927918A
TW200927918A TW097131792A TW97131792A TW200927918A TW 200927918 A TW200927918 A TW 200927918A TW 097131792 A TW097131792 A TW 097131792A TW 97131792 A TW97131792 A TW 97131792A TW 200927918 A TW200927918 A TW 200927918A
Authority
TW
Taiwan
Prior art keywords
composition
acid
microelectronic device
inorganic acid
sulfur
Prior art date
Application number
TW097131792A
Other languages
English (en)
Inventor
Renjie Zhou
Emanuel I Cooper
Michael B Korzenski
Ping Jiang
Original Assignee
Advanced Tech Materials
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Tech Materials filed Critical Advanced Tech Materials
Publication of TW200927918A publication Critical patent/TW200927918A/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Description

200927918 九、發明說明: 【發明所屬之技術領域】 本發明有關一種可用於自微電子裝置表面移除塊狀及硬 化光阻劑之含無機酸之組合物以及使用該組合物移除該塊 狀及硬化光阻劑之方法。 【先前技術】 隨著半導艎裝置變得越積體化及微小化,在前端製程 (front-end-of-line (FEOL))加工期間已廣泛使用離子植入以 精確控制該微電子裝置中之雜質分布且將摻雜物原子如 As、B及P加入該暴露出之裝置層中。該摻雜劑雜質之濃 度及深度係藉由改變摻雜劑劑量、加速能量及離子電流予 以控制。在隨後加工之前,該植入離子之光阻劑層必須移 除。以往已使用各種製程以移除該硬化光阻劑,包含(但 不限於)濕式化學蝕刻製程,如在硫酸及過氧化氫之混合 溶液(即Piranha溶液)中蝕刻;及乾式電漿蝕刻製程,如在 氧電聚灰化製程中钱刻。 不幸地’當在所需層中以低植入劑能量(5 keV)、中植入 劑能量(10 keV)及高植入劑(20 keV)植入高劑量離子(如大 於約1 X1015個原子/cm2之劑量)時,其亦會植入整個光阻 劑層中’尤其是所暴露表面之光阻劑,其變成物理性且化 學性地硬化。亦稱為碳化區或”硬皮"之該硬質離子植入光 阻劑層已證實難以移除。 目前’移除離子植入光阻劑及其他污染物一般係藉由電 漿姓刻法進行’接著進行多步驟濕式剝除製程,一般係使 133994.doc •6· 200927918 用水性蝕刻劑調配物以移除光阻劑、蝕刻後殘留物及其他 污染物。本技藝中之濕式剝離處理一般包含使用強酸、 鹼、溶劑及氧化劑。然而不利地是,濕式剝離處理亦會蝕 刻底下的含矽層,如基材及閘極氧化物及/或增加閘極氡 化物厚度。 由於特徵尺寸持續減小,欲使用先前技術之水性钱刻劑 調配物以滿足前述移除要件明顯變得更具挑戰性。水具有 高表面張力,其限制了或無法靠近具有高縱橫比之較小的 影像即.點,且因此’移除凹穴或溝槽内之殘留物變得更困 難。此外,水性蝕刻劑調配物在蒸發乾燥後,事先溶解的 溶質經常會留在溝紋或通孔後方,其將抑制傳導性且降低 裝置產量。再者,底下之多孔低-k介電材料亦不具有足夠 機械強度以抵禦高表面張力液體如水之毛細壓力,導致結 構圖形崩潰。水性蝕刻劑調配物亦強烈地改變低_k材料之 重要材料性質,包含介電常數、機械強度、吸濕性、熱膨 脹係數及對不同基材之黏附性。 因此,本技藝中將明顯需要提供一種可克服先前技藝有 關自微電子裝置移除塊狀及硬化光阻劑之缺點的改良組合 物。該改良之組合物應可有效於單一步驟或多步驟製程中 移除塊狀及硬化光阻劑,而不需要使用電漿蝕刻步驟且不 會實質上過度蝕刻底下之含矽層。 【發明内容】 本發明實質上係有關一種可自微電子裝置表面移除塊狀 及硬化光阻劑之含無機酸之組合物、其製造方法及使用該 133994.doc 200927918 組合物移除該光阻劑之方法、以及使用該組合物所製得之 改良微電子裝置。更詳言之,係描述可用於移除高劑量離 子植入光阻劑膜之組合物及使用該組合物之方法。有利 地,本文所述之組合物可與微電子裝置上之低4介電材料 相容。 本發明一態樣係描述一種含無機酸之組合物,其包括至 少一種無機酸及至少一種含硫氧化劑,其中該組合物適用 於自其上具有光阻劑材料之微電子裝置移除塊狀及/或硬 化之該光阻劑材料。 本發明另一態樣係描述一種含無機酸之組合物,其基本 上係由至少一種無機酸及至少一種含硫氧化劑所構成其 中該組合物適用於自其上具有光阻劑材料之微電子裝置移 除塊狀及/或硬化之該光阻劑材料。 本發明又另一態樣係描述一種含無機酸之組合物,其係 由至少一種無機酸及至少一種含硫氧化劑所構成,其中該 組合物適用於自其上具有光阻劑材料之微電子裝置移除塊 狀及/或硬化之該光阻劑材料。 本發明又再另一態樣係描述一種含無機酸之組合物,其 包括至少一種無機酸、至少一種含硫氧化劑及至少一種含 金屬離子觸媒,其中該組合物適用於自其上具有光阻劑材 料之微電子裝置移除塊狀及/或硬化之該光阻劑材料。 本發明之其他態樣係描述一種含無機酸之組合物,其基 本上係由至少一種無機酸、至少一種含硫氧化劑及至少一 種含金屬離子觸媒所構成,其中該組合物適用於自其上具 133994.doc 200927918 有光阻劑材料之微電子裝置移除塊狀及/或硬化之該光阻 劑材料。 本發明之其他態樣係描述一種含無機酸之組合物,其係 由至少一種無機酸、至少一種含硫氧化劑及至少一種含金 屬離子觸媒所構成,其中該組合物適用於自其上具有光阻 劑材料之微電子裝置移除塊狀及/或硬化之該光阻劑材 料。 本發明之又一態樣係描述一種自其上具有光阻劑材料之 微電子裝置移除塊狀及/或硬化之該光阻劑材料之方法, 該方法包括.使該微電子裝置與含無機酸之組合物在充分 接觸條件下接觸一段充分時間以自該微電子裝置至少部分 移除該光阻劑材料’其中該含無機酸之組合物包括至少一 種無機酸、至少一種含硫氧化劑及視情況之至少一種含金 屬離子之觸媒。 本發明之另一態樣係描述一種製造微電子裝置之方法, 該方法包括使該微電子裝置與含無機酸之組合物在充分接 觸條件下接觸一段充分時間以自其上具有光阻劑之該微電 子裝置至少部分移除塊狀及/或硬化之該光阻劑材料,且 視情況將該經清潔之微電子裝置併入產品中。 本發明之又一態樣係描述一種改良之微電子裝置以及併 入有該裝置且使用本文所述之包括自其上具有光阻劑之微 電子裝置移除塊狀及/或硬化之該光阻劑之方法所製造之 產品、使用本文所述方法及/或組合物且視情況將該微電 子裝置併入產品中之產品。 133994.doc •9· 200927918 本發明又另一態樣係有關一種製造物件,其包括含無機 酸之組合物、微電子裝置晶圓及塊狀及/或硬化光阻劑, 其中該組合物包括至少一種無機酸、至少一種含硫氧化劑 及視情況之至少一種含金屬離子之觸媒。 本發明之再一態樣係有關用於船運、混合及輸送之含無 機酸組合物之包裝’其中該含無機酸之組合物包括至少一 種無機酸、至少一種含硫氧化劑及視情況之至少一種含金 屬離子之觸媒,該包裝包括一外部包裝,其包括至少兩個 内部谷器或囊袋,其中第一内部容器或囊袋包含至少一種 含硫氧化劑且第二容器或囊袋包含至少一種無機酸及視情 況之至少一種含金屬離子之觸媒,其中該第一及第二内部 容器或囊袋中之内容物可在該外部包裝内混合,而形成含 無機酸之組合物。所形成之含無機酸之組合物隨後可輸送 至微電子裝置歷時充分時間以自其上具有光阻劑之微電子 裝置移除塊狀及/或硬化之該光阻劑。 本發明之其他態樣、特徵及優點將由下述實施方式及申 請專利範圍更完全理解。 【實施方式】 本發明大體上係基於發現含無機酸之組合物,尤其是含 硫酸之組合物可高度有效地自微電子裝置表面移除塊狀及 硬化之光阻劑。更特定言之,該含無機酸之組合物特別可 用於自其上具有高劑量離子植入之光阻劑之微電子裝置表 面移除該光阻劑。 就易於參考而言,"微電子裝置"相當於半導體基板、平 133994.doc •10· 200927918 面顯示器、相變化記憶體裝置、太陽能面板及光電伏打、 及微電機系統(MEMS),其經製造用於微電子、積體電路 或電腦晶片應用。應了解名詞"微電子裝置"並不意味著限 制而是包含最終將變成為電子裝置或微電子總成之任何基 板。 • 本文所用之"塊狀光阻劑••相當於在微電子裝置表面上, . 尤其是鄰近且位於硬化光阻劑下方之硬皮之光阻劑。 本文所用之”硬化光阻劑"包含(但不限於):在例如積體 ® 電路之後段製程(back-end-of-line (BEOL))雙重金屬鑲嵌製 程期間已經電漿蝕刻之光阻劑;在前端製程(FE〇l)加工期 間經離子植入以在該半導體晶圓之適當層中植入摻雜物物 種之離子植入之光阻劑;及/或將在塊狀光阻劑之曝光表 面上形成碳化或高度交聯硬皮之任何其他方法。摻雜物種 包含(但不限於)硼、砷、二氟化硼、銦、銻、鍺及/或磷離 〇 ❹ 本文所用之”底下含矽"層相當於緊接於該塊狀及/或硬化 光阻劑下方之層,包含(但不限於”矽;氧化矽,包含閘 極氧化物(如熱或化學生長之以仏彡及TE〇s ;氮化矽;及 • 低·W電材料。本文所用之,,低_k介電材料”相當於在層狀 I電子裝置中使用作為介電材料之任何材料,其中該材料 具有小於約3.5之介電常數。較好,該低-k介電材料包含低 極性材料如切有機聚合物、切混成有機/無機材料、 有機石夕酸鹽玻璃(OSG)、TE〇s、氟切酸鹽玻璃(MG)、 -氧化Μ摻雜碳之氧㈣(CDG)玻璃。應料該低姆 133994.doc •11- 200927918 料可具有改變之密度及改變之孔隙度。 本文所用之“實質上無"及"無"在本文中係定義為少於 2 wt%,較好少於1 wt%,更好少於0.5 wt%,且最好少於 0.1 wt%。 本文所用之"實質上過度蝕刻"相當於依據本文所述方法 使本文所述含無機酸之組合物與具有該底下層之微電子裝 置接觸後’移除大於約10%,更好移除大於約5%且最好移 除約2¾之該相鄰底下含矽層。換言之,最好使用本文所 述之組合物在所述溫度一段所述時間後蝕刻掉不大於2〇/〇 之該底下含梦層。 本文所用之"約"欲相當於所述值之±50/〇。 本文所用之"適用於"自其上具有該光阻劑材料之微電子 裝置移除塊狀及硬化光阻劑材料,相當於自該微電子裝置 移除至少部份之該光阻劑材料。較好使用本文所述之組合 物自該微電子裝置移除至少90%之光阻劑材料,更好移除 至少95% ’且最好移除至少99%之該光阻劑材料。 組合物可具體化成廣泛範圍之特定調配物,如本文後文 詳述。 在所有此等組合物中,其中該組合物之特定組份係以重 量百分比範圍加以討論,包含零下限,將了解在組合物之 各種特定具體例中此等組份可存在或不存在,且例如當存 在此等組份時,其可以以使用有該等組份之該組合物總重 計’低如0.01重量。/。之濃度存在。 通常,該組合物包含至少一種無機酸及至少一種含硫氧 133994.doc -12- 200927918 化劑’其中該組合物可用於自微電子裝置表面移除塊狀及 硬化之光阻劑。 一態樣中,描述一種組合物,其包括下列成分、由下列 成为組成或實質上由下列成分組成:至少一種無機酸及至 少一種含硫氧化劑,其中該組合物可用於自其上具有光阻 劑之微電子裝置移除塊狀及硬化之該光阻劑。另一態樣 . 中,係描述一種組合物,其包括下列成分、由下列成分組 成或實質上由下列成分組成:至少一種無機酸、至少一種 含硫氧化劑及至少一種含金屬離子之觸媒。通常,彼此關 聯之組份之特定比例及量可適當地改變,以提供組合物對 於該塊狀及硬化光阻劑及/或加工設備之所需移除作用, 其為熟知本技藝者未經過度努力即可輕易決定者。 可用於本發明之組合物之無機酸包含(但不限於)硫酸、 曱磺酸、二氟甲磺酸、三氟乙酸、硝酸、焦硫酸 (H2S2〇7)、焦磷酸、聚偏磷酸及其組合。最佳地該無機 ❹ 酸包括硫酸,較佳為濃硫酸,其商業上為95%至98% H2so4 〇雖然較不利’但硫酸可經稀釋,以致組合物中 H2S〇4濃度在約50%至約95%之範圍。 含硫氧化劑包含(但不限於)〇x〇NE(g)(2KHS〇5 . khs〇 · . K2S04)、硫酸氫銨、硫酸氫铯、硫酸氫鉀、硫酸銨、硫酸 鉋、硫酸鉀、過硫酸銨、過氧單硫酸銨、過氧單硫酸、過 氧單硫酸四丁基銨、過氧單硫酸鉋、過氧單硫酸鉀、其他 過氧單硫酸鹽、其他過硫酸鹽及其組合,其限制條件為當 該無機酸包括硫酸本身時,該含硫氧化劑可不包含過氧單 133994.doc •13- 200927918 硫酸(H2S〇5)。較佳地,該含硫氧化劑包括OXONE®、過 硫酸銨或其組合。 所欲之含金屬離子觸媒包含(但不限於)亞鐵鹽、鐵鹽、 銀鹽及其組合。較好,該含金屬離子之觸媒包含硫酸亞鐵 (視溶解度問題而定)、硝酸亞鐵、磷酸亞鐵、高氣酸亞 鐵、曱磺酸亞鐵、三氟乙酸亞鐵及其組合。 較好,含無機酸之組合物實質上未添加水。然而應了解 農H2S04具有少量水,於本文組合物中應不添加額外水, 無論是純水或濃H2S04以外之稀釋成份。據此,該組合物 較好包含少於該無機酸之水量之約5 wt%之水,更好少於 該組合物總重之3 wt%,且最好少於2 wt%。若使用濃縮無 機酸,如焦硫酸或焦磷酸,則該組合物實質上無水。再 者,本文所述之組合物較少實質上無下列材料:研磨材 料、過氧化氫、具有胺基/CONH鏈之非離子化合物、非離 子及其他界面活性劑、羥基胺、唑類、水可溶性聚合物、 含氟離子之化合物如SbF5及BF3、咪唑鑌陽離子、》比啶鏽 陽離子、"比咯啶鑌陽離子、鱗陽離子、四級銨陽離子及其 組合。 包括至少一種無機酸、至少一種含硫氧化劑及視情況之 至少一種觸媒、由其等組成或實質上由其等組成之組合物 中之各成分之量,以該組合物總重計,為: 量(wt%) 無機酸類 約 75 至95 wt% 含硫氧化劑類 約5至約25 wt% 含金屬離子觸媒類 0至約5 wt% 133994.doc -14- 200927918 若存在有含金屬離子之觸媒,則其下限量約為0.01 wt°/〇。 該無機酸在該組合物中為溶劑。 較佳具體例中,包括至少一種無機酸、至少一種含硫氧 化劑及視情況之至少一種觸媒、由其等組成或實質上由其 等組成之組合物中之各成分之量,以該組合物總重計, 量(Wt〇/o) 濃硫酸類 約 75 至95 wt% 含硫氧化劑類 約5至約25 wt% 含金屬離子觸媒類 0 至約 5 wt °/〇 若存在有含金屬離子之觸媒,則其下限量約為0.01 Wt%。 在特佳具體例中,該組合物包含濃H2S〇4及OXONE®。 較好,該組合物75wt%之濃H2S〇4及25wt%之OXONE®。 另一較佳具體例中,包括至少一種無機酸、至少一種含 硫氧化劑及視情況之至少一種觸媒、由其等組成或實質上 φ 由其等組成之組合物中之各成分之量,以該組合物總重 計,為. 量(wt%) 濃硫酸類 約 75 至95 wt% 含硫氧化劑類 約5至約25 wt% 含金屬離子觸媒類 0.01 至約5 wt% 特佳具體例中,該組合物包含濃h2so4、過硫酸銨及至 少一種亞鐵鹽。 133994.doc -15- 200927918 重要地是’本文所述之組合物具有pH小於約2,更好小 於約1 °應理解本文所述之組合物之阳可小於約〇,視所用 之組份及其量而定。
另一具體例中,本文所述之前述組合物進而包含塊狀及/ 或硬化光阻劑材料,其中該塊狀及/或硬化光阻劑材料可 包括.硼、砷、二氟化硼、銦、銻、鍺及/或磷離子。例 如,該組合物可包含至少一種無機酸、至少一種含硫氧化 劑及塊狀及/或硬化光阻劑材料。另一具體例中,本文所 述之組合物可包含至少一種無機酸、至少一種含硫氧化 劑、至少一種含金屬離子之觸媒及塊狀及/或硬化光阻劑 材料又再另較佳具體例中,該組合物包括仏8〇4、 OXONE®及塊狀及/或硬化光阻劑。又另—具體例中該 組合物包括H2S〇4、過硫酸録、至少—種亞鐵鹽及塊狀及^ 或硬化光阻劑。重要地是’該光阻劑材料及植入離子可溶 解及/或懸浮於該含無機酸之組合物中。 該組合物可與微電子裝置上之底下含矽層相容。 該組合物可易於調配為單—包裝之調配物或多部份之調 配物而在使用時點之時或之前加以混合,例如多部份調配 霧之個別部份可在工具中、於卫具上游之儲存槽中或在將 混合調配物直接輸送至該工具中之運輸包裝中加以混合。 例如,單-運輸包I可包含至少兩個容器或囊袋,其可由 使用者在製造時混合在—起且風合之調配物可直接輸送至 :具卜該至少兩個容器或囊袋之-可包含至少一種含硫 氧化劑’其可為固體或液趙,而該至少兩個容器中之另一 133994.doc 200927918 個:包含至少一種無機酸及視情況之 之觸媒。一具體例中,該至少兩種含金屬離子 至少一種含硫氧化劑,而該至:容=袋之一可包含 至少一種無機酸。另—具趙❹兩個各器中之第二個包含 之一包含至少一錄人、巾,該至少兩個容器或囊袋 1包含至,3硫氧化劑’而該至少兩個容器中之第 -種無機酸與至少一種含金屬離子之觸媒之 又另—具體例中,—個容器或囊袋包含至少一種 ❹ 第三個容器或囊袋包含種無機酸’且 輸包裝及該包裝之内部容器或囊:屬離子之觸媒。該運
令器或囊玟必須適用於儲存及運輸 該組合物組份,你丨4m A A J 由 dvanced Technology Materials, Ine· (Danbury,Conn·’ us A)所提供之包裝。 另-態樣係關於一種套組,其包含在一或多個容器中之 -或多種用以形成本文所述組合物之組份。該套組可包含 在或多個合器中之至少一種無機酸,用以在製造時或使 用時點與至少-種含硫氧化劑及至少_種含金屬離子之觸 媒組合。或者,該套組可包含在—或多個容^中之至少一 種無機酸,用以在製造時或使用時點與至少一種含硫氧化 劑組合m之容器必須適用於儲存及輸送該含無機酸 之組合物,例如由 N0WPak⑧(Advanced Techn〇l〇gy Materials,Inc” Danbury,Conn.,USA)。含有該含無機酸之 組合物之組份之一或多個容器較好包含使於該一或多個容 器中之該4組份流趙相通而供掺和及分配之裝置。例如, 有關NOWPak®容器,可對該一或多個容器之内趁外側施 133994.doc •17- 200927918 加氣壓以引起該内襯之至少部分内容物排出且因此可使流 體相通供掺和及分配。或者,可對習知可加壓容器之頂部 空間施加氣壓或可使用泵使流體相通。此外,該系統較好 包含用以分配該捧和之移除組合物至加工工具中之分配 口 ° 較好使用實質上化學惰性、無雜質、可撓性及彈性聚合 . 物薄膜材料如高密度聚乙烯以製造該一或多個容器之該内 襯。所需之内襯材料經加工而不需要共擠出或障蔽層,且 不需要對欲在該襯裏内分配之組份之純度需求有不利影響 之任何顏料、UV抑制劑或加工劑。所須襯裏材料之例示 包含包括下列之薄膜:純(無添加劑)聚乙烯、純聚四氟乙 烯(PTFE)、聚丙烯、聚胺基曱酸酯、聚偏氣乙烯、聚氣乙 烯、聚乙縮醛、聚苯乙烯、聚丙烯腈、聚丁烯等等。此等 内襯材料之較佳厚度係在約5密耳(〇〇〇5吋)至約3〇密耳 (0.030吋)之範圍,例如2〇密耳(〇〇2〇吋)之厚度。 〇 關於該套組之容器,下述專利及專利申請案之揭示因此 以其個別部分併入本文供參考:美國專利號7 188 644標題 為"於超純度液體中使產生之顆粒最小化之裝置及方法"; 美國專利號6,698,619標題為"可回收及可在使用之筒中袋 . 流體儲存及分配容器系統”;以John E.Q. Hughes名義於 2007年5月9日申請之美國專利申請號60/916,966標題,,材料 捧口及刀配之系統及方法";及以Advance(j Technology Materials,Inc.於 2_年5月 9日名義中請之 pcT/us〇8/63276 標題"材料掺合及分配之系統及方法,,。 133994.doc •18· 200927918 當應用至微電子裝置製造操作中’本文所述之組合物可 利用以自該微電子裝置表面清潔塊狀及硬化光阻劑❶重要 地是,該組合物不損及該裝置表面上之低4介電材料❶較 好該組合物移除該裝置上所存在之光阻劑移除前之至少 85〇/。之該塊狀及硬化光阻劑,更好至少9〇%,甚至更好至 ' 少95%且最好至少99〇/〇。 • 在移除應用中,該含無機酸之組合物係以任何適宜方式 施加至其上具有光阻劑材料之微電子裝置,例如藉由將該 組合物喷霧在該裝置表面;將包含該光阻劑之該裝置浸潰 (於一體積之組合物中);使該裝置與其他材料如墊片或纖 維狀吸附塗佈元件接觸,該元件飽浸有該組合物;使包含 該光阻劑材料之裝置與一循環組合物接觸;或藉任何其他 適宜機構、方式或技術;藉此將含無機酸之組合物與該微 電子裝置上之該光阻材料接觸。該應用可於批次或單一晶 圓裝置中進行,供動態或靜態清潔。 φ 使用本發明之組合物自其上具有光阻劑之微電子裝置移 除塊狀及硬化光阻劑中,該組合物通常與該裝置在約2〇它 至約100°C之溫度範圍,較好約40。〇至約8〇。〇之溫度範圍, 接觸約10秒至約60分鐘,較好約5分鐘至3〇分鐘。此接觸 時間及溫度為說明性,且在本發明較廣實務中,可使用可 有效地自該裝置至少部份清潔該塊狀及硬化光阻劑之其他 適宜時間及溫度條件。”至少部分清潔"及"實質上移除"均 相當於移除該裝置上所存在之光阻劑移除前之至少85%之 該塊狀及硬化光阻劑,更好至少9〇%,甚至更好至少95% 133994.doc 200927918 且最好至少99%。 達成所需移除作用後,該組合物可易於自其先前所施加 之裝置移除,如本文所用組合物之既定終用途中可能所需 且有效者。較好’該清洗溶液包含冷卻知去離子水。或 者’該清洗溶液可包含低濃度無機酸(如約1〇%至約 80%) ’因而該裝置可在室溫或室溫左右清洗,接著以〇1水 在室溫或室溫左右清洗。應理解該裝置可在以DI水最終清 洗之前’以具有較低濃度無機酸之多種溶液清洗。隨後, 該裝置可使用氮或旋轉乾燥循環加以乾燥。 又另一態樣係有關依據本文所述方法製造之改良微電子 裝置及含此微電子裝置之產品。 另一態樣係有關循環之組合物,其中該組合物可循環直 至光阻劑負載達到該組合物可容納之最大量,如熟知本技 藝者所決定者。熟知本技藝者應理解對該循環製程可能需 要過濾、及/或抽泵系統。 再另一態樣係有關製造包括微電子裝置之物件之方法, 該方法包括使該微電子裝置與組合物接觸足以自其上具有 該光阻劑之微電子裝置清除塊狀及硬化光阻劑之時間,並 將該微電子裝置併入該物件中,其中係使用本文所述之組 合物。 又另一態樣係有關用以運輸混合及輸送之含無機酸組合 物之包裝’其中該含無機酸之組合物包含至少一種無機 酸、至少一種含硫氧化劑及視情況之至少一種含金屬離子 之觸媒,該包裝包括外部包裝,其包括至少兩個内部容器 133994.doc •20· 200927918
或囊袋,其中該第一1 U 乐内4谷器或囊袋包含至少一種含硫氧 化劑且第一内部谷器或囊袋包含至少一種無機酸及視情況 之至少-種含金屬離子之觸媒,其中該第一及第二内部容 器或囊衣之内容物在該外部包裝中混合以形成含無機酸之 ,及&物所形成之含無機酸之組合物隨後可輸送至微電子 裝置一段足以自其上具有光阻劑之微電子裝置移除塊狀及/ 或硬化之該光阻劑之時間。 再另一態樣係有關使用單一晶圓工具(SWT)及本文所述 之組合物自微電子裝置表面清潔塊狀及硬化光阻劑之方 法。目前,用於剝除經植入之阻劑之溶液大多係以批次模 式使用,且係基於強氧化劑,例如硫酸_過氧化氫混合物 (SPM)。該等混合物在其有效之溫度下具有有限之浴槽壽 命(bath life)。由於目前對SWT的偏好超越批次製程,因 而有需要將光阻劑之溶解時間從一般之10-30分鐘縮短至 約1分鐘《不利地’此需要較高之加工溫度,例如,比批 ❷ 次加工溫度咼約40-80 °C,此會加速該含無機酸之組合物 中之氧化劑分解。在SWT設備使用中,該組合物—般與該 微電子裝置在自約2(TC至約190°C之溫度範圍,較佳約9(rc • 至約140°C之溫度範圍,接觸約30秒至約2分鐘之時間,較 佳約45秒至90秒。 因此,本文描述使用SWT之較高溫加工。較佳地,用於 SWT之含無機酸之組合物為單次使用組合物。具體例包 含: 1.使相對冷的濃氧化劑溶液流與熱稀釋劑如熱硫酸混 133994.doc •21· 200927918 合。視情況,其中一溶液可含有較另一溶液更多之水,以 產生些許混合熱。該混合可在大小恰足夠用於一片晶圓所 需溶液之小的第二儲槽中進行,或藉由使運送兩不同溶液 之兩管以"γ"字形連匯合在一起而進行; 2_在引入該裝置晶圓的途中自管件外部加熱該氧化溶 ' 液;及/或 3·將裝置晶圓定位於具高熱質量及可控制溫度之金屬 夾頭上,並仰賴晶圓之熱傳導性而快速地將該含無機酸之 組合物加熱數十度。 該等特徵及優點藉由下述說明性實例更完全顯示。 實施例1 使在氧化物層上具有光阻劑線之圖案化晶圓(其中該光 阻劑係以35〖6¥能量摻雜有2.1\1〇15原子硼/(;1112)在80。〇下 浸潰於包含75 wt°/c^H2S04(95-98%)及 25 wt% OXONE® 之 本文所述組合杨中歷時30分鐘。如圖i可看出,其中圖以 φ 代表浸潰前之晶圓且圖1B代表浸潰後之晶圓,該塊狀及硬 化光阻劑實質上自該晶圓表面移除。重要地是,底下之氧 化物層實質上未被蝕刻。 ' 實施例2 , 在氧化物層上具有光阻劑線之圖案化晶圓(其中該光阻 劑係以20 KeV能量摻雜有2xl〇15原子砷/cm-2)在8(rc浸潰 於包含 75 wt%濃 H2S04(95-98°/。)及 25 wt°/。OXONE®之本文 所述組合物中歷時10分鐘。如圖2可看出,其中圖2八代表 改潰前之晶圓且圖2B代表浸潰後之晶圓,該塊狀及硬化光 133994.doc -22- 200927918 重要地是,底下之氧化物 阻劑實質上自該晶圓表面移除 層實質上未蝕刻掉。 實施例3 在氧化物層上具有光阻劑線之圖案化晶圓(其中該光阻 劑係以20 KeV能量換雜有2χ1〇15原子钟/cm.2)在啊浸潰 於包含75 Wt%濃H2S〇4(95_98%)及25哪過硫酸録之本文 所述組合物巾糾料鐘。該缝及硬化光阻㈣質上自 ❹
該晶圓表面移除,底下之氧化物層實質上未蝕刻掉。 重要地是,當於包含濃h2S〇4及過硫酸錢之組合物中添 加亞鐵鹽時,使用較溫和條件如自約㈣約6q之溫度可移 除塊狀及硬化光阻劑。 雖然本發明已參考說明性具體例及特徵有多種描述,但 應理解上述具體例及特徵q以限制本發明,且基於本文 之揭示將可對熟知本技藝者提示其他變化、改良及其他具 趙例本身。因此本發明應較廣泛地架構為涵括隨後申請專 利範圍之精神及範圍之所有此等變化改良及替代具體 例。 【圖式簡單說明】 圖1A及1B為對照表_ 1A)與使用本文所述之含無機 酸之組合物清潔後之包含卿子之光阻劑(圖ib)之掃描電 子顯微照片。 圖2A及2B為對照表面(圖2A)與使用本文所述之含無機 酸之組合物清潔後之包切離子之光阻劑(圖2B)之掃描電 子顯微照片。 133994.doc -23-

Claims (1)

  1. 200927918 十、申請專利範圍: 1. 一種含無機酸之組合物,其含有至少一種無機酸及至少 一種含硫氧化劑。 2. 如4求項1之組合物,其進一步包括至少-種含金屬離 子之觸媒。 3. 如請求項1或2之組合物,其中該組合物適用於自其上具 • 有光阻劑材料之微電子裝置移除塊狀及/或硬化之該光阻 劑材料。 © 4·如請求項_之組合物,其中該至少—種無機酸包括選 自由硫酸、曱磺酸、三氟甲磺酸、三氟乙酸、硝酸、焦 硫酸(H2S2〇7)、焦磷酸、聚偏磷酸及其組合所組成之群 之酸。 5. 如請求項丨或2之組合物,其中該至少一種無機酸包括硫 酸。 6. 如請求項丨或2之組合物,其中該至少一種含硫氧化劑包 參括選自由下列所組成之群之物種:〇χ〇ΝΕ®、硫酸氫 銨、硫酸氫鉋、硫酸氫鉀、硫酸銨、硫酸鉋、硫酸鉀、 過硫酸銨、過氧單硫酸銨、過氧單硫酸鉀、過氧單硫 • 酸、過氧單硫酸四丁基銨、過氧單硫酸铯、其他過氧單 , 硫酸鹽、其他過硫酸鹽及其組合。 7·如請求項1或2之組合物,其中該至少一種含硫氧化劑包 括ΟΧΟΝΕ®。 8.如請求項2之組合物,其中該至少一種含金屬離子觸媒 包括選自由亞鐵鹽、鐵鹽、銀鹽及其組合所組成之群之 133994.doc 200927918 鹽。 9.如請求項2之組合物’其中該至少—種含金屬離子之觸 媒包括亞鐵鹽。 10. 11. . 12. e 如請求項1或2之組合物,其中其?11小於2。 如請求項1或2之組合物,其中該組合物進—步包括塊狀 及/或硬化光阻劑材料殘留物。 如”青求項11之組合物’其中該光阻劑材料殘留物包括至 ^種選自由B、As、P、BF2、In、Ge、Sb及其組合所 組成之群之植入離子。 13.如明求項1之組合物,其中該至少一種無機酸之量以該 組σ物之總重量计為自約75〇/。至約%%之範圍内。 •如4求項1之組合物’其中該至少_種含硫氧化劑之量 以該組合物之總重量計為自約5%至約25%之範圍内。 15_請求項1或2之組合物,其中該組合物以該組合物之總重 量计包括少於約5 wt%的水。 ❹16·如請求項1或2之組合物,其中該組合物實質上不含下列 物質:研磨材料、過氧化氫、Μ胺基/CONH鏈之非離 子&物非離子及其他界面活性劑、羥基胺、唑類、 水溶性聚合物、含氟離子之化合物、咪唑鑌陽離子、吡 ’ 錢陽離子"比^鑌陽離子、㈣離子、四級錢陽離 子及其組合。 17_ —種包括包裝 。 匕装之套組’其中該包裝包括至少兩個内部容 器其中第一内部容器包含至少一種含硫氧化劑且第二 内#奋器包含至少一種無機酸及視情況之至少一種含金 133994.doc 200927918 18. ❹19.20. 21. 22. 23. 屬離子之觸媒’其中該第一及第二内部容器之内容物可 於該包裝内混合而形成含無機酸之組合物。 一種自其上具有光阻劑材料之微電子裝置移除塊狀及/或 硬化之該光阻劑材料之方法,該方法包括:使該微電子 裝置與含無機酸之組合物在充分接觸條件下接觸一段充 分時間以自該微電子裝置至少部分地移除該光阻劑材 料’其中該含無機酸之組合物包括至少一種無機酸及至 少一種含硫氧化劑。 如請求項18之方法,其中該組合物進一步包括至少一種 含金屬離子之觸媒。 如請求項18或19之方法’其中該接觸包括選自由下列組 成之群之條件:自約5分鐘至約30分鐘之時間;自約40 °C至約80。(:範圍内之溫度;及其組合。 如請求項18或19之方法,其中該微電子裝置包括選自由 下列所組成之群之物件:半導體基板、平面顯示器、相 變化記憶體裝置、太陽能面板及光電伏打元件及微電機 系統(MEMS)。 如請求項18或19之方法,其中該塊狀及/或硬化光阻劑材 料包括選自由下列所組成之群之摻雜物離子:砷離子、 蝴離子、磷離子、銦離子、銻離子、二氟化硼、鍺及其 組合。 如請求項18或19之方法’其中該接觸包括選自由下列組 成之群之製程:將含無機酸之組合物噴霧在該微電子裝 置表面上;將該微電子裝置浸潰在足夠體積之含無機睃 133994.doc 200927918 之組合物中;使該微電子裝置表面與經含無機酸之組合 物飽和之另一材料接觸;使該微電子裝置與循環之含2 機酸之組合物接觸;使該微電子裝置與連續流動之含: 機酸之組合物接觸;及使該微電子裝置表面與靜態體積 之含無機酸之組合物接觸一段持續時間。 24. 如請求項18或19之方法,其進一步包括在與該含無機酸 - 之組合物接觸之後,清洗該微電子裝置。 25. 如睛求項24之方法,其中該清洗包括使該微電子裝置與 ® 纟離子水接觸。 26. 如請求項24之方法,其中該清洗包括使該微電子裝置與 稀硫酸接觸。 27. 如請求項18或19之方法,其中該接觸包括使處於第—溫 度之該至少一種含硫氧化劑之液流與處於第二溫度之至 少一種無機酸之液流混合,其中該第一溫度係低於該第 二溫度。 眷 28.如睛求項27之方法,其中該第一溫度係在約2(TC至約40 C之範圍内’且該第二溫度係在約9〇β(:至約ι4(Γ(:之範 圍内。 133994.doc 200927918 七、指定代表圖: (一) 本案指定代表圖為:第(1A)圖。 (二) 本代表圖之元件符號簡單說明: (無元件符號說明) 八、本案若有化學式時,請揭示最能顯示發明特徵的化學式: (無)
    133994.doc
TW097131792A 2007-08-20 2008-08-20 Composition and method for removing ion-implanted photoresist TW200927918A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US96545607P 2007-08-20 2007-08-20

Publications (1)

Publication Number Publication Date
TW200927918A true TW200927918A (en) 2009-07-01

Family

ID=40378964

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097131792A TW200927918A (en) 2007-08-20 2008-08-20 Composition and method for removing ion-implanted photoresist

Country Status (7)

Country Link
US (1) US20110039747A1 (zh)
EP (1) EP2190967A4 (zh)
JP (1) JP2010541192A (zh)
KR (1) KR20100056537A (zh)
SG (1) SG183744A1 (zh)
TW (1) TW200927918A (zh)
WO (1) WO2009026324A2 (zh)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009170554A (ja) * 2008-01-11 2009-07-30 Panasonic Corp 半導体装置の製造方法
US8026200B2 (en) 2008-05-01 2011-09-27 Advanced Technology Materials, Inc. Low pH mixtures for the removal of high density implanted resist
US8252515B2 (en) * 2009-10-13 2012-08-28 United Microelectronics Corp. Method for removing photoresist
JP2013533631A (ja) 2010-07-16 2013-08-22 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド エッチング後残渣を除去するための水性洗浄剤
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
US9238850B2 (en) 2010-08-20 2016-01-19 Advanced Technology Materials, Inc. Sustainable process for reclaiming precious metals and base metals from e-waste
SG189292A1 (en) 2010-10-06 2013-05-31 Advanced Tech Materials Composition and process for selectively etching metal nitrides
TWI502065B (zh) 2010-10-13 2015-10-01 Entegris Inc 抑制氮化鈦腐蝕之組成物及方法
KR102064487B1 (ko) 2011-01-13 2020-01-10 엔테그리스, 아이엔씨. 세륨-함유 용액에 의해 발생된 입자의 제거를 위한 배합물
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
JP6329909B2 (ja) 2011-12-28 2018-05-23 インテグリス・インコーポレーテッド 窒化チタンを選択的にエッチングするための組成物および方法
CN104508072A (zh) 2012-02-15 2015-04-08 安格斯公司 用于cmp后去除的组合物及使用方法
EP2850495A4 (en) 2012-05-18 2016-01-20 Entegris Inc COMPOSITION AND METHOD FOR REMOVING PHOTOLACK FROM A SURFACE WITH TITANNITRIDE
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
US8853081B2 (en) * 2012-12-27 2014-10-07 Intermolecular, Inc. High dose ion-implanted photoresist removal using organic solvent and transition metal mixtures
US8993218B2 (en) * 2013-02-20 2015-03-31 Taiwan Semiconductor Manufacturing Company Limited Photo resist (PR) profile control
KR102294726B1 (ko) 2013-03-04 2021-08-30 엔테그리스, 아이엔씨. 티타늄 나이트라이드를 선택적으로 에칭하기 위한 조성물 및 방법
JP2014240949A (ja) * 2013-05-16 2014-12-25 旭化成イーマテリアルズ株式会社 レジスト剥離液及びレジスト剥離方法
EP3004287B1 (en) 2013-06-06 2021-08-18 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
TWI683889B (zh) 2013-07-31 2020-02-01 美商恩特葛瑞斯股份有限公司 用於移除金屬硬遮罩及蝕刻後殘餘物之具有Cu/W相容性的水性配方
SG11201601158VA (en) 2013-08-30 2016-03-30 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
US9771550B2 (en) 2013-12-11 2017-09-26 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
TWI654340B (zh) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
WO2015095726A1 (en) 2013-12-20 2015-06-25 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
KR102290209B1 (ko) 2013-12-31 2021-08-20 엔테그리스, 아이엔씨. 규소 및 게르마늄을 선택적으로 에칭하기 위한 배합물
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
KR102622751B1 (ko) * 2018-07-13 2024-01-10 솔브레인 주식회사 마스크 세정용 조성물 및 이를 이용한 마스크 세정 방법

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4101440A (en) * 1975-07-23 1978-07-18 Hitachi, Ltd. Chemically digestive agents
FR2371705A1 (fr) 1976-11-19 1978-06-16 Ibm Procede de suppression des couches d'un materiau organique formees sur un substrat
US5139763A (en) * 1991-03-06 1992-08-18 E. I. Du Pont De Nemours And Company Class of stable potassium monopersulfate compositions
JPH0829989A (ja) * 1994-07-14 1996-02-02 Furontetsuku:Kk フォトレジスト膜の除去方法
US6294145B1 (en) * 1994-11-08 2001-09-25 Texas Instruments Incorporated Piranha etch preparation having long shelf life and method of making same
WO1997050019A1 (en) * 1996-06-25 1997-12-31 Cfm Technologies, Inc. Improved method for sulfuric acid resist stripping
US20020111024A1 (en) * 1996-07-25 2002-08-15 Small Robert J. Chemical mechanical polishing compositions
US20040134873A1 (en) * 1996-07-25 2004-07-15 Li Yao Abrasive-free chemical mechanical polishing composition and polishing process containing same
US6383723B1 (en) * 1998-08-28 2002-05-07 Micron Technology, Inc. Method to clean substrate and improve photoresist profile
WO2000041974A1 (en) * 1999-01-15 2000-07-20 Nalco Chemical Company Composition and method for simultaneously precipitating metal ions from semiconductor wastewater and enhancing microfilter operation
JP2003516626A (ja) * 1999-12-07 2003-05-13 キャボット マイクロエレクトロニクス コーポレイション 化学的機械研磨方法
DE19963509A1 (de) * 1999-12-28 2001-07-05 Merck Patent Gmbh Verfahren zur Herstellung hochreiner Schwefelsäure
US6489281B1 (en) * 2000-09-12 2002-12-03 Ecolab Inc. Cleaning composition comprising inorganic acids, an oxidant, and a cationic surfactant
JP3688650B2 (ja) * 2002-03-26 2005-08-31 株式会社東芝 電子デバイスの製造方法
AU2003225178A1 (en) * 2002-04-24 2003-11-10 Ekc Technology, Inc. Oxalic acid as a cleaning product for aluminium, copper and dielectric surfaces
US7188644B2 (en) 2002-05-03 2007-03-13 Advanced Technology Materials, Inc. Apparatus and method for minimizing the generation of particles in ultrapure liquids
US6698619B2 (en) 2002-05-03 2004-03-02 Advanced Technology Materials, Inc. Returnable and reusable, bag-in-drum fluid storage and dispensing container system
US6604987B1 (en) * 2002-06-06 2003-08-12 Cabot Microelectronics Corporation CMP compositions containing silver salts
US6803353B2 (en) * 2002-11-12 2004-10-12 Atofina Chemicals, Inc. Copper chemical mechanical polishing solutions using sulfonated amphiprotic agents
US6911393B2 (en) * 2002-12-02 2005-06-28 Arkema Inc. Composition and method for copper chemical mechanical planarization
US20040217006A1 (en) * 2003-03-18 2004-11-04 Small Robert J. Residue removers for electrohydrodynamic cleaning of semiconductors
US6818142B2 (en) * 2003-03-31 2004-11-16 E. I. Du Pont De Nemours And Company Potassium hydrogen peroxymonosulfate solutions
US20050063895A1 (en) * 2003-09-23 2005-03-24 Martin Perry L. Production of potassium monopersulfate triple salt using oleum
US7300480B2 (en) * 2003-09-25 2007-11-27 Rohm And Haas Electronic Materials Cmp Holdings, Inc. High-rate barrier polishing composition
US7419911B2 (en) * 2003-11-10 2008-09-02 Ekc Technology, Inc. Compositions and methods for rapidly removing overfilled substrates
US20070082456A1 (en) * 2003-11-14 2007-04-12 Nobuo Uotani Polishing composition and polishing method
US20050236359A1 (en) * 2004-04-22 2005-10-27 Ginning Hu Copper/copper alloy surface bonding promotor and its usage
JP2006108304A (ja) * 2004-10-04 2006-04-20 Nec Electronics Corp 基板処理装置
US20060183654A1 (en) * 2005-02-14 2006-08-17 Small Robert J Semiconductor cleaning using ionic liquids
KR101191405B1 (ko) * 2005-07-13 2012-10-16 삼성디스플레이 주식회사 식각액 및 이를 이용한 액정 표시 장치의 제조 방법
US7435162B2 (en) * 2005-10-24 2008-10-14 3M Innovative Properties Company Polishing fluids and methods for CMP
AU2006340825A1 (en) * 2005-11-09 2007-10-04 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
US7442323B2 (en) 2006-06-02 2008-10-28 E. I. Du Pont De Nemours And Company Potassium monopersulfate solutions

Also Published As

Publication number Publication date
KR20100056537A (ko) 2010-05-27
WO2009026324A2 (en) 2009-02-26
SG183744A1 (en) 2012-09-27
JP2010541192A (ja) 2010-12-24
EP2190967A2 (en) 2010-06-02
WO2009026324A3 (en) 2009-05-14
EP2190967A4 (en) 2010-10-13
US20110039747A1 (en) 2011-02-17

Similar Documents

Publication Publication Date Title
TW200927918A (en) Composition and method for removing ion-implanted photoresist
US10711227B2 (en) TiN hard mask and etch residue removal
TWI494710B (zh) 用於移除高密度經摻雜光阻之低pH混合物
US7273060B2 (en) Methods for chemically treating a substrate using foam technology
TWI592468B (zh) 選擇性移除灰化旋塗玻璃之方法
CN104487900B (zh) 用于从包括氮化钛的表面剥离光致抗蚀剂的组合物和方法
KR20100014916A (ko) TiSiN의 선택적 제거를 위한 조성물 및 공정
US9222018B1 (en) Titanium nitride hard mask and etch residue removal
TW201209156A (en) Aqueous cleaner for the removal of post-etch residues
TW201510180A (zh) 用於移除金屬硬遮罩及蝕刻後殘餘物之具有Cu/W相容性的水性配方
WO2006113621A2 (en) Formulations for cleaning ion-implanted photoresist layers from microelectronic devices
TW200908148A (en) Methods for stripping material for wafer reclamation
WO2012051380A2 (en) Composition for and method of suppressing titanium nitride corrosion
JP5657318B2 (ja) 半導体基板用洗浄剤、これを利用した洗浄方法及び半導体素子の製造方法
JP2023536836A (ja) 窒化シリコン膜を選択的にエッチングするための組成物及び方法
WO2003064581A1 (en) Methods and compositions for chemically treating a substrate using foam technology
JP2007298930A (ja) 剥離剤組成物
JP2023536111A (ja) ハードマスクを除去するための方法