KR100522845B1 - 잔류물 제거용 세정액 - Google Patents

잔류물 제거용 세정액 Download PDF

Info

Publication number
KR100522845B1
KR100522845B1 KR10-2002-7005571A KR20027005571A KR100522845B1 KR 100522845 B1 KR100522845 B1 KR 100522845B1 KR 20027005571 A KR20027005571 A KR 20027005571A KR 100522845 B1 KR100522845 B1 KR 100522845B1
Authority
KR
South Korea
Prior art keywords
minutes
corrosion
residue
ultrapure water
water
Prior art date
Application number
KR10-2002-7005571A
Other languages
English (en)
Other versions
KR20020041834A (ko
Inventor
스토미즈키
미카미이치로
노나카도루
도노세이지
Original Assignee
가부시끼가이샤 도꾸야마
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2000266023A external-priority patent/JP3891768B2/ja
Application filed by 가부시끼가이샤 도꾸야마 filed Critical 가부시끼가이샤 도꾸야마
Publication of KR20020041834A publication Critical patent/KR20020041834A/ko
Application granted granted Critical
Publication of KR100522845B1 publication Critical patent/KR100522845B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3746Macromolecular compounds obtained by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/3757(Co)polymerised carboxylic acids, -anhydrides, -esters in solid and liquid compositions
    • C11D3/3765(Co)polymerised carboxylic acids, -anhydrides, -esters in solid and liquid compositions in liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3703Macromolecular compounds obtained otherwise than by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/3723Polyamines or polyalkyleneimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3746Macromolecular compounds obtained by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/3769(Co)polymerised monomers containing nitrogen, e.g. carbonamides, nitriles or amines
    • C11D3/3776Heterocyclic compounds, e.g. lactam
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/24Hydrocarbons
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3263Amides or imides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5009Organic solvents containing phosphorus, sulfur or silicon, e.g. dimethylsulfoxide
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5013Organic solvents containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5022Organic solvents containing oxygen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)

Abstract

본 발명의 잔류물 제거용 세정액은 아민 및 플루오르화염으로부터 선택한 적어도 1종의 용해제가 용해된 수용성 고분자의 수계 용액으로 된다. 이 세정액은 전자회로 제조시에 발생하는 잔류물을, 유효하게 세정 제거할 수 있고, 절연막, 저유전 층간 절연막 및 배선에 대한 부식이 매우 적고, 또한 기포도 적게 형성하는 이점을 제공한다.

Description

잔류물 제거용 세정액{CLEANING SOLUTION FOR REMOVING RESIDUE}
본 발명은, 전자 회로 패턴 제조 시에, 기판을 에싱(ashing), 에칭 혹은 CMP 처리했을 때에 생성되는 잔류물을 제거하기 위한 세정액에 관한 것이다.
반도체 웨이퍼상의 IC, LSI 및 LCD 등은, 일반적으로 포토리소그래피 기술을 사용하여, 기판 위에 미세한 전자회로 패턴을 형성하여 제조하고 있다. 구체적으로는, 산화 규소 등의 절연막이나 Al, Cu, Si, Ti 등의 배선층 또는 SOG나 불소함유 수지 등의 저유전 층간 절연막이 형성된 기판 웨이퍼상에, 포토레지스트를 도포한 다음, 소망한 패턴을 형성한 마스크를 통해서 노광하고, 현상하여 소망한 부위에 레지스트 패턴을 형성시킨다. 그 다음에, 상기 레지스트 패턴으로부터 상기 절연막 및 배선층, 또는 저유전 층간 절연막에 대해서 에칭 처리한 뒤, 레지스트를 제거한다. 상술한 바와 같은 전자회로 패턴의 형성에서, 포토레지스트는 잔류물 세정액으로 세정하거나 혹은 에싱 처리함에 의해 제거된다. 후자의 에싱 처리는 플라즈마 등의 에너지를 이용하여 레지스트를 회(ash)화하여 제거하는 것이다. 이러한 에싱 처리 후에 포토레지스트를 제거한 표면에는 포토레지스트 에싱 잔류물로 불리는 불완전 회화물(ashed product)이나, 에칭 시에 패턴의 측벽 부근에 생기는 측벽 퇴적막이 충분히 제거되지 않고 남아있다. 여기서 측벽 퇴적막이라 함은, 에칭 공정에서 포토레지스트 마스크의 측벽이나 패턴 측벽에, 에칭 가스, 포토레지스트, 하지 절연막, 배선층, 저유전 층간 절연막 및 기판이 복잡하게 반응하여 형성되는 난용성 생성물이다. 이 측벽 퇴적막은 이방성 에칭의 효과를 높일 목적으로 고의로 생성시키기도 하고, 의도에 반하여 생성되기도 한다.
반응성 이온 에칭(RIE)을 행한 경우에는, 특히, 용해성이 낮은 측벽 퇴적막이나 포토레지스트의 불완전 회화물이 현저하게 생긴다. RIE는 기판인 웨이퍼에 마이너스 전압을 걸고, 플루오르화탄소, 플루오르화수소 및 염화수소 등의 할로겐 화합물 가스를 포함하는 반응성 가스에 플라즈마를 조사하여 에칭을 행하는 방법이다.
상기 포토레지스트는, 이온 주입 처리에 노출된 경우에도, 변질되고 난용화된다. 또한 에싱 처리 후에도, 상기 포토레지스트의 불완전 회화물이 현저하게 생성된다. 상기 이온 주입 처리라 함은 절연의 기판에서, 원하는 장소에 도전성 부분을 형성하기 위해서, 레지스트 위쪽에서 레지스트 표면으로, 인, 붕소, 안티몬 및 비소 등의 이온을 주입(injection)하는 조작이다. 여기서, 레지스트는 마스크로서 작용하여, 레지스트가 제거된 부위에 선택적으로 이온을 주입할 수 있다.
현상 후의 포토레지스트를 포함하여, 상기 에싱 처리 후의 제거면에 남아있는 포토레지스트 불완전 회화물이나 측벽 퇴적막은, 배선 패턴의 접촉 불량을 일으키므로, 세정하여 제거함이 요망된다. 그러므로, 각종 유기용매로 되는 용액이 잔류물 제거용으로 사용되어, 포토레지스트를 포함하는 잔류물 제거가 시도되고 있으나, 이온 주입 처리한 포토레지스트는 변질되고, 에싱 후의 포토레지스트 잔류물은 고도의 중합물이거나, 혹은 일부 무기화되어 있으므로, 상기 잔류물 세정액으로는 충분히 제거할 수 없다.
이러한 상황 하에, 일본 특개평11-67632공보에는, 불소 화합물, 수용성 유기용매 및 나머지는 물로 되는 조성의 잔류물 세정액이 기재되어 있다. 상기 세정액은, 불소 화합물과 물로 된 시스템이기 때문에, 유기물이 비교적으로 적은 잔류물에 대해서도 양호하게 박리할 수 있으며, 수용성 유기 용매를 50∼80중량% 함유하므로, 피세정면에 존재하는 배선 재료의 부식을 방지할 수 있다.
그러나, 상기 세정액은 잔류물 제거 성능을 발휘하기 위해서 물을 필수 성분으로 하며, 상기 유기 용매만을 사용한 부식방지(corrosion- preventing) 효과에 대해서는, 여전히 개선의 여지가 있다. 즉, 세정되는 잔류물 아래 층은 충분한 내부식성을 갖도록 처리되어 있지 않다. 특히, 알루미늄이나 알루미늄 합금 등의 금속 배선 재료나 SOG 등의 저유전 층간 절연막에서는 부식 문제가 발생한다.
상기 문제에 대해서, 상기 공보에서는, 고도의 부식방지 목적으로, 계면활성제를 첨가함이 제안되어 있다.
그러나, 계면활성제의 첨가에 의해 거품이 발생하고, 웨이퍼상에 거품이 부착되어 세정을 방해한다. 또한, 거품이 계 밖으로 빠진 경우에는, 잔류물 세정액 중의 계면활성제 성분만이 선택적으로 감소하여 부식방지 효과가 변화한다.
가혹한 에싱 조건에 의해 더 무기화된 잔류물은, 상기 세정액으로는 완전히 제거할 수 없는 문제가 여전히 존재한다. 이것은, 상기 세정액에 부식방지제로서 다량의 유기용매를 함유하고 있기 때문에, 세정 성분으로서 첨가되는 불소계 화합물의 세정 성능을 충분히 발휘할 수 없기 때문이다.
그런데, 일본 특개 2000-194144 공보에는, 방식제로서의 유기 용매의 사용을 제한하고 계면활성제를 방식제로 사용한 조성의 세정액으로서, 불소 화합물, 양쪽성 계면활성제, 및 나머지가 물로 되는 조성물이 기재되어 있다. 이 세정액은, 매우 변성화된 포토레지스트의 불완전 회화물이나 측벽 퇴적막 등의 잔류물을 양호하게 제거할 수 있어서, 기판 웨이퍼상의 절연막이나 배선층을 거의 부식시키지 않는다.
그러나, 상기 세정액으로도, 양쪽성 이온 계면활성제의 첨가에 의해 잔류물을 세정할 때 거품이 발생하는 문제가 있었다.
따라서, 물에 대한 유기 용매의 비율을 임의로 변화시킨 경우에도, 안정하고 뛰어난 부식방지 능력을 발휘할 수 있으나 거품 발생은 적은 잔류물 세정액의 개발이 요망되고 있다.
한편, 근년, Cu 다마신 처리(damascene process)로서 Cu 배선이 사용되고 있다. 이 경우, 화학적, 기계적 연마(CMP)라는 방법을 사용하여 Cu배선을 연마하여 패턴을 형성하고 있다. CMP 처리 후, 기판상에는 알루미나, 실리카 등의 연마제 분말은 물론, 산화동, 탄탈룸, 질화탄탈룸 등의 기판의 연마로 생성된 분말, 및 저유전 층간 절연막 및 절연막 등의 잔류물이 잔존한다. 여기서, 상기 잔류물의 대부분은 무기물이기 때문에 강력한 세정에 의해 제거해야만 한다. 그러나, 하지 배선도 금속 등의 무기물이므로, 하지의 부식을 피할 수 없었다.
상기 부식의 문제에 대하여, 지금까지는 방향족 히드록시 화합물, 아세틸렌 알코올 및 트리아졸 화합물이 세정액에 첨가되는 부식방지제로서 사용되고 있다. 따라서 이들을 상기 세정액의 부식방지제로서 사용하는 것을 고려할 수 있지만, 얻어지는 세정액은 부식 방지성이 약한 것이었다.
본 발명자들은, 상술한 문제를 감안하여, 예의 연구를 계속하였다. 그 결과, 상기 문제는 용해제를 함유하는 수용액에 수용성 고분자 화합물을 첨가함으로써 해결할 수 있음을 알아내어, 본 발명을 완성하기에 이르렀다.
본 발명에 의하면, 아민 및 플루오르화염으로부터 선택한 적어도 1종의 용해제, 및 수용성 고분자 화합물을 함유하는 수용액으로 된, 전자회로 제조 시에 발생하는 잔류물 제거용 세정액이 제공된다.
본 발명에 사용되는 용해제는, 용매인 물과의 상승 작용에 의해 상기 난용성 잔류물을 매우 양호하게 용해할 수 있다. 수용성 고분자 화합물은, 그 작용 메카니즘은 아직 명확히 밝혀지지 않았지만, 높은 부식방지능을 발휘하기 위해서 필수적이다. 본 발명자들은 수용성 고분자가 산화막이나 금속 표면에 흡착하여 보호막을 형성하는 것으로 추측하고 있다. 소수성기를 거의 갖지 않기 때문에 거품이 적게 발생한다.
<발명을 실시하기 위한 최량의 형태>
본 발명에서는, 아민 화합물 및 플루오르화염으로부터 선택한 적어도 1종의 용해제와 수용성 고분자를 병용하는 것이 필수적이다.
여기서, 용해제는 전자회로 제조 시에 발생하는 잔류물을 양호하게 제거할 수 있는 물질, 즉, 화학반응에 의해, 혹은 분자간력이나 정전기적인 친화력 등에 의해 잔류물을 제거할 수 있는 물질이다. 본 발명에서 사용하는 용해제는 아민 화합물 및 플루오르화염로부터 선택한다. 본 발명에서는, 잔류물을 제거하는 능력이 높다는 점에서, 플루오르화염이 가장 적합하게 사용된다.
상기 플루오르화염의 대표적인 예로는 하기 일반식(1)
(식 중, 4개의 R은 동일해도 달라도 좋고, 수소 원자, 알킬기 또는 아릴기임)
으로 표시되는 암모늄 플루오라이드 화합물을 들 수 있다
일반식(1) 중의 알킬기로는 메틸기, 에틸기, 프로필기 등의 탄소수 1∼3의 저급 알킬기가 바람직하게 사용될 수 있다. 아릴기로는 페닐기가 바람직하게 사용될 수 있다. 상기 일반식(1)으로 표시되는 암모늄 플루오라이드 화합물의 구체적인 예로는, 암모늄 플루오라이드(4개의 R이 모두 수소 원자); 테트라메틸암모늄 플루오라이드, 테트라에틸암모늄 플루오라이드, 테트라프로필암모늄 플루오라이드, 트리메틸-에틸암모늄 플루오라이드, 트리에틸-메틸암모늄 플루오라이드, 디메틸-디에틸암모늄 플루오라이드, 디메틸-디프로필암모늄 플루오라이드, 테트라페닐암모늄 플루오라이드 및 트리메틸-페닐암모늄 플루오라이드 등의 플루오르화 제4급 암모늄염; 트리메틸암모늄 플루오라이드, 트리에틸암모늄 플루오라이드 및 트리페닐암모늄 플루오라이드 등의 플루오르화 제3급 암모늄염; 디메틸암모늄 플루오라이드, 디에틸암모늄 플루오라이드 및 디페닐암모늄 플루오라이드 등의 플루오르화 제2급 암모늄염; 모노메틸암모늄 플루오라이드, 모노에틸암모늄 플루오라이드 및 모노페닐암모늄 플루오라이드 등의 플루오르화 제1급 암모늄염 등을 들 수 있다. 본 발명에서, 이들 암모늄 플루오라이드 화합물은 단독으로 사용할 수도 있고, 2종 이상을 조합하여 사용해도 좋다. 본 발명에서는, 암모늄 플루오라이드가 가장 바람직하게 사용된다.
또다른 용해제인 아민 화합물로는 메틸아민, 벤질아민, 1,3-프로판디아민 및 하이드록실아민을 사용할 수 있다.
이들 용해제는 통상, 세정액 중에 용해되어 있으나, 잔류물 세정 능력 및 하지 배선의 부식을 고려하여, 세정액 중의 농도는 0.05∼1중량%의 범위, 더 바람직하게는 0.1∼0.5중량%의 범위인 것이 요망된다. 첨가량이 0.05중량% 보다 적은 경우에는 잔류물이 종종 완전히 제거되지 않는다. 또, 1중량%를 넘으면, 종종 하지 배선을 부식한다.
본 발명에서 사용되는 수용성 고분자는 친수기가 분자 중에 통계적으로 균일하게 분포되어 있는 합성 또는 천연의 고분자 물질이다. 상기 합성 고분자의 구체적인 예로는 폴리비닐피롤리돈, 폴리에틸렌이민, 폴리에틸렌글리콜, 폴리프로필렌글리콜, 폴리비닐알코올, 폴리에틸렌옥사이드, 폴리비닐에테르, 폴리아크릴산, 폴리아크릴아미드, 메틸셀룰로오스, 에틸셀룰로오스 및 하이드록시에틸셀룰로오스를 들 수 있다. 천연에 존재하는 것으로는 폴리인산, 아밀로스, 다당류, 젤라틴 등을 들 수 있다. 이들 수용성 고분자 중에서, 바람직한 예로는 폴리비닐피롤리돈, 폴리에틸렌이민 및 폴리아크릴산을 들 수 있다.
상기 수용성 고분자의 분자량은 3,000이상, 바람직하게는 10,000이상이다. 분자량이 3,000보다 작은 경우에는, 부식방지 효과가 약해진다. 또 분자량이 너무나 큰 경우에는, 일반적으로 물에 대한 용해도가 감소하거나, 용액의 점도가 증가하여 부식방지 효과를 불규칙하게 만든다. 따라서, 분자량은 500,000이하, 특히 10,O00∼100,O00의 범위 인 것이 요망된다.
본 발명의 수용성 고분자 화합물은 분자 중에 친수기를 함유하고 있으므로, 하지를 구성하는 산화막이나 금속과, 전기적인 힘에 의해 혹은 배위 결합 등의 친화력에 의해서 흡착하여, 산화막이나 금속의 표면에 보호 피막을 형성하여, 부식방지의 효과를 발휘하는 것으로 생각된다. 그러므로, 수용성 고분자 화합물은 상기 하지 금속 표면에 단분자층을 형성하는데 필요한 것 이상의 양으로 사용되는 것이 요망된다. 그러나, 너무 다량으로 사용한 경우에는, 용액의 점도가 증가하여, 오히려 잔류물이 충분히 제거되지 않는다. 그러므로 세정액 중의 수용성 고분자 화합물의 농도는 0.05∼1중량%, 바람직하게는, 0.1∼0.5중량%의 범위인 것이 요망된다.
본 발명의 수계 용액을 구성하는 용매는 통상 초순수(ultrapure water) 및 유기용매이다. 초순수라 함은 통상 반도체에 사용되는 초순수를 말하며, Na 이온, K 이온 등의 금속 양이온의 합계 함유량이 5ppb이하이며, 또한 할로겐 이온도 합계 함유량이 5ppb이하이다. 종종 유기용매의 병용이 요망되는 경우가 있다. 여기서 사용되는 유기용매는 수용성이고, 또한 극성이 높은 것이 바람직하다. 구체적인 예로는 디메틸설폭사이드 등의 설폭사이드류; N,N-디메틸포름아미드, N-메틸포름아미드 및 N,N-디메틸아세트아미드 등의 아미드류; N-메틸-2-피롤리돈 등의 락탐류; 디옥산 등의 에테르류; 이소프로필알코올 등의 알코올류; 디프로필렌글리콜모노메틸에테르, 디에틸렌글리콜모노메틸에테르 및 디에틸렌글리콜모노에틸에테르 등의 글리콜에테르류를 들 수 있다.
본 발명에서, 상기 유기용매의 혼합 비율에 특별한 제한은 없다. 일반적으로, 그 비율은 세정될 잔류물의 무기화된 정도에 따라서 적합하게 선택하는 것이 좋다. 예를 들면, 에싱 시간을 길게 하는 등의 가혹한 에싱 조건에 기인하여 잔류물 중에 유기물이 거의 남아있지 않는 경우에는, 유기 용매의 농도가 40중량% 이하인 세정액을 사용하는 것이 바람직하다. 또, 잔류물이 포토레지스트 그자체이거나 에싱처리가 약하게 행해져서 잔류물에 유기물이 비교적 다량 함유되어 있는 경우에는, 유기 용매의 농도를 40중량% 이상으로 하여 함유시킬 수 있으며, 유기 용매의 농도를 85중량%, 바람직하게는 80중량%까지허용할 수 있다. 즉, 세정액 중의 유기 용매의 농도가 85중량% 보다 높은 경우에는, 잔류물의 종류에 관계 없이 세정 능력의 저하되는 경향이 있다.
특히, 잔류물이 비교적 다량의 유기물을 함유하는 경우에 대해, 부식도 적고 잔류물을 양호하게 제거하는 점에서 글리콜에테르나, 혹은 글리콜에테르를 함유하는 수용성 유기 용매를 사용하는 것이 특히 요망된다.
글리콜에테르와 다른 수용성 유기용매를 병용하는 경우, 적합한 유기용매는 디메틸설폭사이드, N,N-디메틸포름아미드 및 N-메틸-2-피롤리돈으로부터 선택한 적어도 1종이다. 병용 시, 글리콜에테르류의 양은 전체 유기 용매의 10중량% 이상, 바람직하게는, 20중량% 이상이 추천된다.
본 발명의 잔류물 제거용 세정액은, 온도 혹은 교반 조건에 어떠한 제한없이, 단지 각 성분을 소정의 비율로 혼합함으로써 제조할 수 있다.
여기서 사용하는 잔류물 세정액은 금속 이온의 함유량이 50ppb 이하, 바람직하게는 1Oppb이하, 더 바람직하게는 1ppb이하로 되도록 충분히 정제하는 것이 요망된다. 또 불용성의 미세 고형물을 함유하는 경우에는, 여과 등의 처리를 충분히 행하여 제거하는 것이 요망된다. 예를 들면, 0.5μm 이하의 입자가 1ml중에 50개 이내이고, 특히 0.3μm이하의 입자가 1ml 중에 50개 이내인 것이 요망된다.
본 발명의 상술한 잔류물 세정액에는, 필요에 따라서, 상기 수용성 고분자 화합물 외에 계면활성제 등의 용해 조제, 퀴놀리놀 등의 환원제 및 소포제를 더 함유해도 좋다.
본 발명의 잔류물 세정액이 적용되는 포토레지스트는 공지의 것이 어떠한 제한없이 사용된다. 상기 잔류물 세정액은, 전자회로 패턴의 제조에 사용되는 g-선, i-선, KrF 엑시머선, ArF 엑시머선, F2 엑시머선, X-선 및 전자선용의 포토레지스트에 유래하는 잔류물 세정 및 제거용으로 특히 바람직하게 사용된다. 본 발명의 잔류물 세정액은, 포토레지스트 형성 수지가 노볼락 수지, 폴리하이드록시스티렌 수지 혹은 폴리메타크릴산 수지인 경우에 특히 뛰어난 세정 효과를 발휘한다.
본 발명의 잔류물 세정액은, 통상, 전자회로 패턴 제조 시, 기판 웨이퍼상에서 현상한 뒤 또는 에칭 또는 이온 주입 등의 처리를 행한 뒤의 포토레지스트 및 잔류물 제거용으로, 또는 상기 웨이퍼를 에싱 처리한 뒤에 발생하는 잔류물 제거용으로, 또는 CMP 처리한 뒤에 잔존하는 잔류물 제거용으로 사용된다.
포토레지스트의 에싱 처리는 산소 래디칼을 발생시켜 포토레지스트를 에싱시키는 공지 방법을 제한없이 사용할 수 있다. 예를 들면, 배치식, 매엽(piece-by-piece)식, 오존 에싱 방식 혹은 UV 오존 에싱 방식 등을 적용할 수 있다. 에칭 처리로는 습식 에칭 혹은 건식 에칭 모두 좋으나, 통상 건식 에칭 후의 잔류물 제거에 사용된다. 건식 에칭으로는, 플라즈마 에칭 혹은 반응성 이온 에칭을 제한 없이 적용할 수 있다.
CMP에 사용되는 슬러리는 알루미나, 실리카 등이어도 좋다. 그 방법은 웨이퍼를 회전하는 등에 의해 웨이퍼를 물리적으로 이동시키거나, 패드를 회전시켜 연마를 행하거나, 또는 이들 모두를 구동하는 방법이어도 좋다.
기판 웨이퍼로는, 특별한 제한은 없지만, 그 표면에, SiO2층 등의 절연막, SOG 혹은 불소계 수지 등의 저유전 층간 절연막 재료, 또는 Al, Cu, Si 혹은 그 합금 등의 배선이 형성된 실리콘 웨이퍼 혹은 유리가 일반적으로 사용된다.
본 발명의 잔류물 세정액을 사용한 처리면을 세정하기 위해서는, 그 처리 표면을 잔류물 세정액에 침지해도 좋고, 그 처리 표면에 잔류물 세정액을 분사해도 좋다. 이 경우에, 잔류물 세정액의 온도에 특별한 제한은 없다. 일반적으로, 가열하여 사용하는 것이 잔류물 제거 능을 증가시키지만 그 만큼 하지의 부식 가능성도 함께 증가한다. 그러므로, 허용할 수 있는 세정 시간은 짧아진다. 온도는 원하는 세정력, 부식 방지 및 조작 특성을 고려하여 적당하게 조정하면 된다. 통상, 10∼80℃의 범위, 바람직하게는 20∼60℃의 범위에서 채택한다.
사용 후의 잔류물 세정액은 펌프 등으로 순환하여, 가능한 한 반복해서 사용할 수 있다. 또한, 유효 성분만을 재생하여 재사용할 수도 있다
다음에, 본 발명을 실시예에 의하여 더 상세하게 설명하지만, 본 발명이 이들에 한정되는 것은 아니다.
(실시예 1∼17 및 비교예 1∼2)
8인치 실리콘 웨이퍼상에 TiN 박막을 형성한 뒤, 그 위에 스파터링(sputtering) 장치를 사용하여 Al-Si-Cu의 피막을 형성시켰다. 그 위에 플라즈마를 사용하여 산화막을 더 퇴적시켰다. 그 후, 시판의 i-선 포지티브형 레지스트를 약 1미크론 두께로 도포하고, 프리베이킹(prebaking)하였다. 그 다음, i-선을 조사하고, 노광 후 베이킹 하고, 현상, 린스(rinsing)한 다음 포스트베이킹하였다. 이 샘플을 RIE에 의해 에칭하고, 사용된 레지스트는 플라즈마 반응기를 사용하여 에싱하였다. 상기 에싱은 산소 가스 0.04 mmHg, 온도 50℃의 조건하에서, 300초간 행하였다.
상기와 같이 처리된 실리콘 웨이퍼로부터 시험편을 다이아몬드 커터로 잘랐다. 표1 및 표2에 나타낸 조성의 세정액을 제조한 다음, 상기 시험편을 23℃로 유지한 잔류물 세정액에 침지시켰다. 각 잔류물 세정액에 대해서, 침지 개시 후부터 1분 간격으로 40분이 경과할 때까지총 40개의 시험편을 사용하여 시험하였다. 상기 침지 시간이 경과한 후, 초순수로 시험편을 세정한 다음, 각 세정면을 주사형 전자현미경을 이용하여 4만배의 배율로 관찰하여, 측벽 퇴적막과 포토레지스트의 불완전 회화물이 제거된 시간을 알아내었다. 시간이 짧을수록, 세정 성능이 더 높다.
상기 각 시험편에서의 금속 배선의 부식에 대해서도, 주사형 전자현미경을 이용하여 4만배의 배율로 관찰하였다. 조금이라도 부식이 관찰된 시험편의 시험 시간을 대략 부식방지 시간으로 하여, 표1∼3에 나타내었다. 부식방지 시간이 길수록, 부식방지성이 더 뛰어나다고 말할 수 있다.
상기 잔류물 세정액을 사용함으로써, 잔류물이 제거되고부터 금속 배선의 부식이 인지될 때까지의 침지 시간을 23℃에서의 세정 시간의 허용폭으로서 평가하였다. 이 허용폭은 장치상의 조작 마진(margin)을 의미한다. 이 값이 크다는 것은 세정 후부터 린스까지의 시간의 편차의 허용도가 크거나, 또는 수율이 작음을 의미한다.
상기 용액을 뚜껑이 있는 유리병에 넣고, 격렬하게 교반한 다음, 1시간 동안 방치하여, 거품이 포함된 용액을 ×로 하고, 거품이 양호하게 사라진 용액을 ○로 하여 표1∼표3에 나타내었다
<표 1>
실 시 예 세정제 성능 발포
명칭()내의 수치는 분자량을 나타냄 첨가량[중량%] 잔류물 제거성 부식성 세정시간의허용폭
1 플루오르화암모늄폴리비닐피롤리돈 (40,000)초순수 0.2 0.2 99.6 5분에 ○ 15분에 부식 5∼15분
2 플루오르화암모늄폴리비닐피롤리돈 (40,000)초순수 0.4 0.2 99.4 3분에 ○ 10분에 부식 3∼10분
3 플루오르화암모늄폴리비닐피롤리돈 (40,000)초순수 0.1 0.2 99.7 8분에 ○ 19분에 부식 8∼19분
4 플루오르화암모늄폴리비닐피롤리돈 (40,000)초순수 0.3 0.4 99.3 7분에 ○ 18분에 부식 7∼18분
5 플루오르화암모늄폴리비닐피롤리돈 (40,000)초순수 0.1 0.1 99.8 5분에 ○ 12분에 부식 5∼12분
6 플루오르화암모늄폴리비닐피롤리돈 (360,000)초순수 0.2 0.2 99.6 8분에 ○ 16분에 부식 8∼16분
7 플루오르화암모늄폴리비닐피롤리돈 (8,000)초순수 0.2 0.2 99.6 5분에 ○ 10분에 부식 5∼10분
8 플루오르화암모늄폴리에틸렌이민 (10,000)초순수 0.1 0.2 99.7 4분에 ○ 13분에 부식 4∼13분
9 플루오르화암모늄폴리아크릴산 (250,000)초순수 0.2 0.1 99.7 8분에 ○ 15분에 부식 8∼15분
10 플루오르화테트라메틸암모늄폴리비닐피롤리돈 (40,000)초순수 0.1 0.8 99.1 3분에 ○ 5분에 부식 3∼5분
<표2>
실 시 예 세정제 성능 발포
명칭()내의 수치는 분자량을 나타냄 첨가량[중량%] 잔류물 제거성 부식성 세정시간의허용폭
11 하이드록실아민폴리비닐피롤리돈 (40,000)초순수 0.2 0.2 99.6 3분에 ○ 5분에 부식 3∼5분
12 1,3-프로판디아민폴리비닐피롤리돈 (40,000)초순수 0.2 0.2 99.6 4분에 ○ 6분에 부식 4∼6분
13 플루오르화암모늄폴리에틸렌글리콜 (40,000)초순수 0.2 0.2 99.6 5분에 ○ 7분에 부식 5∼7분
14 플루오르화암모늄폴리비닐피롤리돈 (40,000)디메틸설폭사이드초순수 0.2 0.2 9.6 90.0 30분에 ○ 35분에 부식 30∼35분
15 플루오르화암모늄폴리비닐피롤리돈 (40,000)N,N-디메틸포름아미드초순수 0.2 0.2 9.6 90.0 25분에 ○ 30분에 부식 25∼30분
16 플루오르화암모늄폴리비닐피롤리돈 (40,000)디프로필렌글리콜모노메틸에테르초순수 0.4 0.2 30.0 69.4 17분에 ○ 33분에 부식 17∼33분
17 플루오르화암모늄폴리비닐피롤리돈 (40,000)디메틸설폭사이드초순수 0.4 0.2 25.0 74.4 20분에 ○ 30분에 부식 20∼30분
<표 3>
비 교 예 세정제 성능 발포
명칭()내의 수치는 분자량을 나타냄 첨가량[중량%] 잔류물 제거성 부식성 세정시간의허용폭
1 플루오르화암모늄N,N-디메틸포름아미드 (40,000)초순수 1.0 70.0 29.0 18분에 ○ 15분에 부식 -
2 플루오르화암모늄양쪽성 계면활성제* (40,000)초순수 0.06 0.04 99.9 5분에 ○ 16분에 부식 5∼16분 ×
* 마쯔모또 유시 세이야쿠 사제 Zondes TG-580
(실시예 18∼38 및 비교예 3∼11)
8인치 실리콘 웨이퍼상에 TiN 박막을 형성한 뒤, 스파터 장치를 사용하여 Al-Si-Cu의 피막을 형성시켰다. 그 위에 TiN 박막을 더 퇴적시켰다. 그 후, 시판의 i-선 포지티브형 레지스트를 약 1마이크론 두께로 도포하고, 프리베이킹(prebaking)하였다. 그 다음, i-선을 조사하고, 베이킹을 하고, 현상, 린스(rinsing)한 다음 포스트베이킹하였다. 이 레지스트를 마스크로서 RIE에 의해 에칭하고, 사용된 레지스트는 플라즈마 반응기를 사용하여 에싱하여, 샘플 A로 하였다.
또, 8인치 실리콘 웨이퍼상에 스파터 장치를 사용하여 Al-Si-Cu의 피막을 형성시킨 뒤, TiN 박막을 형성시켰다. 그 위에 SOG 및 산화막을 퇴적하였다. 그 후, 시판의 i-선 포지티브형 레지스트를 약 1마이크론의 두께로 도포하고, 프리베이킹하였다.
그 다음에, i-선을 조사한 뒤, 베이킹하고 현상하여 홀형(hole-like)의 레지스트 패턴을 형성하였다. 그 후, 린스와 포스트베이킹을 행하였다. 이 레지스트를 마스크로서 RIE에 의해 에칭하여, 샘플 B로 하였다. 또한, 사용된 레지스트는 플라즈마 반응기를 사용하여 에싱하여 샘플 C로 하였다. 상기 샘플 A 및 샘플 C를 제조하기 위해서, 에싱은 산소 가스 0.04mmHg, 온도 50℃의 조건하에서 150초간 행하였다.
이와 같이 처리된 실리콘 웨이퍼로부터 다이아몬드 커터로 시험편을 잘랐다. 표4∼표9에 나타낸 조성의 잔류물 세정액을 제조한 다음, 상기 시험편을 23℃로 유지한 잔류물 세정액에 침지시켰다. 각 잔류물 세정액에 대해서, 침지 개시 후부터 1분 간격으로 40분이 경과할 때까지 총 40개의 시험편을 사용하여 시험하였다.
상기 침지 시간이 경과한 후, 초순수로 시험편을 세정한 다음, 각 세정면을 주사형 전자현미경을 이용하여 4만배의 배율로 관찰하여, 측벽 퇴적막과 포토레지스트의 불완전 회화물이 제거된 시간을 알아내었다. 시간이 짧을수록, 세정 성능이 더 높다.
상기 각 시험편에서의 금속 배선의 부식에 대해서도, 주사형 전자현미경을 이용하여 4만배의 배율로 관찰하였다. 조금이라도 부식이 관찰된 시험편의 시험 시간을 대략 부식방지 시간으로 하여, 표4∼9에 나타내었다. 부식방지 시간이 길수록, 부식방지성이 더 뛰어나다고 말할 수 있다.
상기 용액을 뚜껑이 있는 유리병에 넣고, 격렬하게 교반한 다음, 1시간 동안 방치하였다. 거품이 포함된 용액을 ×로 하고, 거품이 양호하게 사라진 용액을 ○로 하여 표4∼표9에 나타내었다
<표 4>
실 시 예 세정제 샘플 A 발포
명칭()내의 수치는 분자량을 나타냄 첨가량[중량%] 잔류물 제거성 부식성 세정시간의허용폭
18 플루오르화암모늄디에틸렌글리콜모노에틸에테르폴리비닐피롤리돈 (40,000)초순수 0.4 65 0.2 34.4 10분에 ○ 21분에 부식 10∼21분
19 플루오르화암모늄디프로필렌글리콜모노메틸에테르디메틸설폭사이드폴리비닐피롤리돈 (40,000)초순수 0.2 50 30 0.2 19.6 12분에 ○ 26분에 부식 12∼26분
20 플루오르화암모늄디프로필렌글리콜모노메틸에테르이소프로필알콜폴리비닐피롤리돈 (40,000)초순수 0.2 50 30 0.2 19.6 12분에 ○ 25분에 부식 12∼25분
21 플루오르화암모늄디프로필렌글리콜모노메틸에테르폴리에틸렌이민 (10,000)초순수 0.4 65 0.2 34.4 10분에 ○ 20분에 부식 10∼20분
22 1,3-프로판디아민디프로필렌글리콜모노메틸에테르디메틸설폭사이드폴리에틸렌이민 (10,000)초순수 0.4 40 20 0.2 39.4 9분에 ○ 19분에 부식 9∼19분
23 플루오르화암모늄디프로필렌글리콜모노메틸에테르폴리아크릴산 (250,000)초순수 0.6 45 0.2 54.2 7분에 ○ 17분에 부식 7∼17분
24 1,3-프로판디아민디프로필렌글리콜모노메틸에테르N,N-디메틸포름아미드폴리에틸렌이민 (10,000)초순수 0.4 30 20 0.4 49.2 8분에 ○ 19분에 부식 8∼19분
<표 5>
실 시 예 세정제 샘플 B 발포
명칭()내의 수치는 분자량을 나타냄 첨가량[중량%] 잔류물 제거성 부식성 세정시간의허용폭
25 플루오르화암모늄디에틸렌글리콜모노에틸에테르폴리비닐피롤리돈 (40,000)초순수 0.4 65 0.2 34.4 17분에 ○ 31분에 부식 17∼31분
26 플루오르화암모늄디프로필렌글리콜모노메틸에테르디메틸설폭사이드폴리비닐피롤리돈 (40,000)초순수 0.2 50 30 0.2 19.6 15분에 ○ 34분에 부식 15∼34분
27 플루오르화암모늄디프로필렌글리콜모노메틸에테르이소프로필알콜폴리비닐피롤리돈 (40,000)초순수 0.2 50 30 0.2 19.6 15분에 ○ 33분에 부식 15∼33분
28 플루오르화암모늄디프로필렌글리콜모노메틸에테르폴리에틸렌이민 (10,000)초순수 0.4 65 0.2 34.4 17분에 ○ 31분에 부식 17∼31분
29 1,3-프로판디아민디프로필렌글리콜모노메틸에테르디메틸설폭사이드폴리에틸렌이민 (10,000)초순수 0.4 40 20 0.2 39.4 17분에 ○ 31분에 부식 17∼31분
30 플루오르화암모늄디프로필렌글리콜모노메틸에테르폴리아크릴산 (250,000)초순수 0.6 45 0.2 54.2 19분에 ○ 29분에 부식 19∼29분
31 1,3-프로판디아민디프로필렌글리콜모노메틸에테르N,N-디메틸포름아미드폴리에틸렌이민 (10,000)초순수 0.4 30 20 0.4 49.2 18분에 ○ 32분에 부식 18∼32분
<표 6>
실 시 예 세정제 샘플 C 발포
명칭()내의 수치는 분자량을 나타냄 첨가량[중량%] 잔류물 제거성 부식성 세정시간의허용폭
32 플루오르화암모늄디에틸렌글리콜모노에틸에테르폴리비닐피롤리돈 (40,000)초순수 0.4 65 0.2 34.4 11분에 ○ 27분에 부식 11∼27분
33 플루오르화암모늄디프로필렌글리콜모노메틸에테르디메틸설폭사이드폴리비닐피롤리돈 (40,000)초순수 0.2 50 30 0.2 19.6 13분에 ○ 30분에 부식 13∼30분
34 플루오르화암모늄디프로필렌글리콜모노메틸에테르이소프로필알콜폴리비닐피롤리돈 (40,000)초순수 0.2 50 30 0.2 19.6 14분에 ○ 30분에 부식 14∼30분
35 플루오르화암모늄디프로필렌글리콜모노메틸에테르폴리에틸렌이민 (10,000)초순수 0.4 65 0.2 34.4 12분에 ○ 26분에 부식 12∼26분
36 1,3-프로판디아민디프로필렌글리콜모노메틸에테르디메틸설폭사이드폴리에틸렌이민 (10,000)초순수 0.4 40 20 0.2 39.4 11분에 ○ 23분에 부식 11∼23분
37 플루오르화암모늄디프로필렌글리콜모노메틸에테르폴리아크릴산 (250,000)초순수 0.6 45 0.2 54.2 8분에 ○ 20분에 부식 8∼20분
38 1,3-프로판디아민디프로필렌글리콜모노메틸에테르N,N-디메틸포름아미드폴리에틸렌이민 (10,000)초순수 0.4 30 20 0.4 49.2 10분에 ○ 22분에 부식 10∼22분
<표 7>
비 교 예 세정제 샘플 A 발포
명칭()내의 수치는 분자량을 나타냄 첨가량[중량%] 잔류물 제거성 부식성 세정시간의허용폭
3 플루오르화암모늄디메틸설폭사이드초순수 1.0 49 50 7분에 ○ 5분에 부식 -
4 플루오르화암모늄N-메틸-2-피롤리돈피로카테콜초순수 1.0 44 5 50 8분에 ○ 7분에 부식 -
5 플루오르화암모늄에틸렌글리콜디메틸설폭사이드플루오르화수소초순수 1.0 34.45 34.45 0.1 30 9분에 ○ 7분에 부식 -
<표 8>
비 교 예 세정제 샘플 B 발포
명칭()내의 수치는 분자량을 나타냄 첨가량[중량%] 잔류물 제거성 부식성 세정시간의허용폭
6 플루오르화암모늄디메틸설폭사이드초순수 1.0 49 50 18분에 ○ 10분에 부식 -
7 플루오르화암모늄N-메틸-2-피롤리돈피로카테콜초순수 1.0 44 5 50 18분에 ○ 16분에 부식 -
8 플루오르화암모늄에틸렌글리콜디메틸설폭사이드플루오르화수소초순수 1.0 34.45 34.45 0.1 30 16분에 ○ 14분에 부식 -
<표 9>
비 교 예 세정제 샘플 C 발포
명칭()내의 수치는 분자량을 나타냄 첨가량[중량%] 잔류물 제거성 부식성 세정시간의허용폭
9 플루오르화암모늄디메틸설폭사이드초순수 1.0 49 50 8분에 ○ 6분에 부식 -
10 플루오르화암모늄N-메틸-2-피롤리돈피로카테콜초순수 1.0 44 5 50 9분에 ○ 8분에 부식 -
11 플루오르화암모늄에틸렌글리콜디메틸설폭사이드플루오르화수소초순수 1.0 34.45 34.45 0.1 30 10분에 ○ 9분에 부식 -
본 발명의 잔류물 세정액은, 전자회로 패턴 제조 시에, 기판 위의 포토레지스트 및 에싱, 에칭, 이온 주입 및 CMP 등의 처리에 의해 형성된 잔류물을 양호하게 세정할 수 있다. 특히, 본 발명의 잔류물 세정액은 다양한 종류의 잔류물을 제거할 수 있는 능력이 높다. 또한, 본 발명의 잔류물 세정액은 기판 웨이퍼상의 절연막, 저유전 층간 절연막, 배선에 대한 부식성도 매우 낮고, 부식방지 성분으로서 계면활성제를 사용하지 않기 때문에, 거품이 적게 발생한다.
따라서, 기판 웨이퍼를 세정할 때에, 각종 잔류물을 양호하게 제거하고, 하지의 부식도 억제하므로, 사용 시간에 대한 여유도도 뛰어나다. 특히, 상기 잔류물 세정액은 무기성이 높은 잔류물을 제거할 수 있는 능력이 높다. 또한, 본 발명의 잔류물 세정액은 기판 웨이퍼상의 절연막, 저유전 층간 절연막, 배선에 대한 부식성도 매우 낮고, 부식방지제 성분으로서 계면활성제를 사용하지 않기 때문에, 거품이 적게 발생한다.

Claims (7)

  1. 아민 및 플루오르화염으로부터 선택한 적어도 1종의 용해제, 및 폴리비닐피롤리돈, 폴리에틸렌이민, 폴리에틸렌글리콜, 폴리프로필렌글리콜, 폴리비닐알코올, 폴리에틸렌옥사이드, 폴리비닐에테르, 폴리아크릴산, 폴리아크릴아미드, 메틸셀룰로오스, 에틸셀룰로오스, 하이드록시에틸셀룰로오스, 폴리인산, 아밀로스, 다당류, 및 젤라틴으로 되는 군으로부터 선택한 적어도 1종으로 되는 수용성 고분자의 수계 용액으로 되며, 상기 용해제의 농도가 0.05∼1중량%이고, 상기 수용성 고분자의 농도가 0.05∼1중량%인, 전자회로 제조시에 발생하는 잔류물을 제거하기 위한 잔류물 제거용 세정액.
  2. 제1항에 있어서,
    용해제가 플루오르화염인 잔류물 제거용 세정액.
  3. 제2항에 있어서,
    플루오르화염이 하기식(1)
    (식 중, 4개의 R은 동일해도 달라도 좋고, 수소 원자, 알킬기 또는 아릴기임)
    으로 표시되는 플루오르화 암모늄 화합물인 잔류물 제거용 세정제.
  4. 제1항에 있어서,
    수용성 고분자가 폴리비닐피롤리돈, 폴리에틸렌이민 및 폴리아크릴산으로 되는 군으로부터 선택한 적어도 1종인 잔류물 제거용 세정액.
  5. 제1항에 있어서,
    수계 용액의 용매가 초순수(ultrapure water) 또는 초순수와 유기용매의 혼합용액인 잔류물 제거용 세정액.
  6. 삭제
  7. 제5항에 있어서,
    유기용매가 글리콜에테르류이거나, 또는 디메틸설폭사이드, N,N-디메틸포름아미드 및 N-메틸-2-피롤리돈으로 이루어지는 군으로부터 선택한 적어도 1종과 글리콜에테르류의 혼합용매인 잔류물 제거용 세정액.
KR10-2002-7005571A 2000-09-01 2001-08-31 잔류물 제거용 세정액 KR100522845B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2000266023A JP3891768B2 (ja) 1999-12-28 2000-09-01 残さ洗浄液
JPJP-P-2000-00266023 2000-09-01

Publications (2)

Publication Number Publication Date
KR20020041834A KR20020041834A (ko) 2002-06-03
KR100522845B1 true KR100522845B1 (ko) 2005-10-20

Family

ID=18753194

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2002-7005571A KR100522845B1 (ko) 2000-09-01 2001-08-31 잔류물 제거용 세정액

Country Status (5)

Country Link
US (1) US6949495B2 (ko)
KR (1) KR100522845B1 (ko)
CN (1) CN1193410C (ko)
TW (1) TW594444B (ko)
WO (1) WO2002019406A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110046805A (ko) * 2009-10-29 2011-05-06 동우 화인켐 주식회사 웨이퍼 다이싱용 세정제 조성물

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4443864B2 (ja) * 2002-07-12 2010-03-31 株式会社ルネサステクノロジ レジストまたはエッチング残さ物除去用洗浄液および半導体装置の製造方法
JP4212861B2 (ja) * 2002-09-30 2009-01-21 株式会社フジミインコーポレーテッド 研磨用組成物及びそれを用いたシリコンウエハの研磨方法、並びにリンス用組成物及びそれを用いたシリコンウエハのリンス方法
JP2004277576A (ja) * 2003-03-17 2004-10-07 Daikin Ind Ltd エッチング用又は洗浄用の溶液の製造法
JP2005159294A (ja) * 2003-09-18 2005-06-16 Nec Kagoshima Ltd 基板処理方法及びそれに用いる薬液
EP1680806A4 (en) * 2003-10-28 2008-07-30 Sachem Inc CLEANING SOLUTIONS AND MEDICAMENTS AND METHOD FOR THEIR USE
DE102004057623A1 (de) * 2004-11-29 2006-06-01 Henkel Kgaa Metallreiniger mit Polyethylenimin
BRPI0518420A2 (pt) 2004-12-10 2008-11-25 Mallinckrodt Baker Inc composiÇÕes de limpeza de microeletrânicos nço-aquosas, nço-corrosivas, contendo inibidores de corrosço polimÉricos
CA2603393A1 (en) * 2005-04-04 2006-10-12 Mallinckrodt Baker, Inc. Compositions for cleaning ion implanted photoresist in front end of line applications
US7449441B2 (en) * 2005-05-18 2008-11-11 Toilex, Llc Liquid composition for reducing toilet odor
DE102006000882A1 (de) * 2006-01-04 2007-07-05 Henkel Kgaa Reinigung gesägter Siliciumscheiben
US20080076688A1 (en) * 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US20080076076A1 (en) * 2006-09-22 2008-03-27 Texas Instruments Incorporated Rework methodology that preserves gate performance
US20090029274A1 (en) * 2007-07-25 2009-01-29 3M Innovative Properties Company Method for removing contamination with fluorinated compositions
US8226775B2 (en) * 2007-12-14 2012-07-24 Lam Research Corporation Methods for particle removal by single-phase and two-phase media
US7981221B2 (en) * 2008-02-21 2011-07-19 Micron Technology, Inc. Rheological fluids for particle removal
TWI591158B (zh) * 2008-03-07 2017-07-11 恩特葛瑞斯股份有限公司 非選擇性氧化物蝕刻濕清潔組合物及使用方法
US8585825B2 (en) * 2008-10-30 2013-11-19 Lam Research Corporation Acoustic assisted single wafer wet clean for semiconductor wafer process
JP5306755B2 (ja) * 2008-09-16 2013-10-02 AzエレクトロニックマテリアルズIp株式会社 基板処理液およびそれを用いたレジスト基板処理方法
US8444768B2 (en) * 2009-03-27 2013-05-21 Eastman Chemical Company Compositions and methods for removing organic substances
US8309502B2 (en) 2009-03-27 2012-11-13 Eastman Chemical Company Compositions and methods for removing organic substances
US8614053B2 (en) 2009-03-27 2013-12-24 Eastman Chemical Company Processess and compositions for removing substances from substrates
KR101560433B1 (ko) * 2009-05-21 2015-10-14 스텔라 케미파 코포레이션 미세 가공 처리제 및 미세 가공 처리 방법
WO2011081071A1 (ja) * 2009-12-28 2011-07-07 花王株式会社 被洗浄物の洗浄方法、および該洗浄方法に用いる洗浄装置
JP5817139B2 (ja) * 2011-02-18 2015-11-18 富士通株式会社 化合物半導体装置の製造方法及び洗浄剤
JP5903228B2 (ja) * 2011-08-30 2016-04-13 東友ファインケム株式会社Dongwoo Fine−Chem Co., Ltd. 洗浄剤組成物及びそれを用いた液晶表示装置用アレイ基板の製造方法
US9029268B2 (en) 2012-11-21 2015-05-12 Dynaloy, Llc Process for etching metals
WO2015034690A1 (en) * 2013-09-04 2015-03-12 Tokyo Electron Limited Uv-assisted stripping of hardened photoresist to create chemical templates for directed self-assembly
US9793137B2 (en) 2013-10-20 2017-10-17 Tokyo Electron Limited Use of grapho-epitaxial directed self-assembly applications to precisely cut logic lines
JP2016127080A (ja) * 2014-12-26 2016-07-11 株式会社Screenホールディングス 基板処理装置および基板処理方法
US9947597B2 (en) 2016-03-31 2018-04-17 Tokyo Electron Limited Defectivity metrology during DSA patterning
CN106085647B (zh) * 2016-06-07 2018-08-17 中山市浩科化工科技有限公司 一种电子芯片专用清洗剂
CN107390482B (zh) * 2016-11-22 2019-07-09 长濑化成株式会社 抗蚀剂剥离液和抗蚀剂的剥离方法
JP6249260B1 (ja) 2016-11-22 2017-12-20 ナガセケムテックス株式会社 レジスト剥離液及びレジストの剥離方法
CN109971565B (zh) * 2017-12-27 2021-10-22 安集微电子(上海)有限公司 一种含氟清洗液
US11479744B2 (en) 2018-03-02 2022-10-25 Mitsubishi Gas Chemical Company, Inc. Composition having suppressed alumina damage and production method for semiconductor substrate using same
JP2022138934A (ja) * 2021-03-11 2022-09-26 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツング 基板表面処理液、これを用いる洗浄された基板の製造方法およびデバイスの製造方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4252656A (en) * 1978-03-21 1981-02-24 Colgate-Palmolive Company Foam conditioner for fabrics
US5188675A (en) * 1990-09-14 1993-02-23 Dormon Brailsford Michael I Paint system for removing paint
US5691288A (en) * 1996-03-29 1997-11-25 Bayer Corporation Finisher-preserver-cleaner composition for lithographic printing plates
JPH1167632A (ja) * 1997-08-18 1999-03-09 Mitsubishi Gas Chem Co Inc 半導体装置用洗浄剤
US6211130B1 (en) * 1997-08-21 2001-04-03 Henkel Kommanditgesellschaft Auf Aktien Use of quaternary acetonitrile compounds as activators for detergents
JP2000208467A (ja) * 1999-01-14 2000-07-28 Mitsubishi Gas Chem Co Inc 半導体基板洗浄液およびそれを用いた半導体基板の洗浄方法
JP3410403B2 (ja) * 1999-09-10 2003-05-26 東京応化工業株式会社 ホトレジスト用剥離液およびこれを用いたホトレジスト剥離方法
JP2001215736A (ja) * 2000-02-04 2001-08-10 Jsr Corp フォトレジスト用剥離液組成物、剥離方法及び回路基板

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110046805A (ko) * 2009-10-29 2011-05-06 동우 화인켐 주식회사 웨이퍼 다이싱용 세정제 조성물
KR101645036B1 (ko) 2009-10-29 2016-08-03 동우 화인켐 주식회사 웨이퍼 다이싱용 세정제 조성물

Also Published As

Publication number Publication date
US6949495B2 (en) 2005-09-27
US20030004075A1 (en) 2003-01-02
CN1394357A (zh) 2003-01-29
TW594444B (en) 2004-06-21
WO2002019406A1 (fr) 2002-03-07
KR20020041834A (ko) 2002-06-03
CN1193410C (zh) 2005-03-16

Similar Documents

Publication Publication Date Title
KR100522845B1 (ko) 잔류물 제거용 세정액
JP3891768B2 (ja) 残さ洗浄液
EP0485161B1 (en) Stripping compositions and method of stripping resists from substrates
KR100540525B1 (ko) 세정용 조성물
EP2975108B1 (en) Copper corrosion inhibition system
CN1904016B (zh) 除残留物的含有阳离子盐的组合物及其使用方法
EP1619557B1 (en) Composition for removing photoresist and/or etching residue from a substrate and use thereof
JP4147320B2 (ja) プラズマエッチング残留物を除去するための非腐食性洗浄組成物
US20050003977A1 (en) Composition for cleaning
US20080076688A1 (en) Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US20060063687A1 (en) Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
KR20180137018A (ko) 처리액, 기판 세정 방법 및 레지스트의 제거 방법
EP1610185A2 (en) Composition and method using same for removing residue from a substrate
KR20020012141A (ko) 스트리핑 조성물
US6242400B1 (en) Method of stripping resists from substrates using hydroxylamine and alkanolamine
JP2007109744A (ja) 基板洗浄液
US6197733B1 (en) Photoresist ashing residue cleaning agent
JP4667147B2 (ja) 基板洗浄液
JP2007200944A (ja) 基板洗浄液
JP2008027984A (ja) 基板洗浄液
US20020064963A1 (en) Cleaning agent and cleaning method
EP2687589A2 (en) Copper passivating post-chemical mechanical polishing cleaning composition and method of use
JP2007311729A (ja) 基板洗浄液
KR101799592B1 (ko) 평판 디스플레이 기판용 세정제 조성물 및 이를 이용한 세정방법
JP2004212858A (ja) 基板洗浄液

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110920

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee