CN1904016B - 除残留物的含有阳离子盐的组合物及其使用方法 - Google Patents

除残留物的含有阳离子盐的组合物及其使用方法 Download PDF

Info

Publication number
CN1904016B
CN1904016B CN200610107693XA CN200610107693A CN1904016B CN 1904016 B CN1904016 B CN 1904016B CN 200610107693X A CN200610107693X A CN 200610107693XA CN 200610107693 A CN200610107693 A CN 200610107693A CN 1904016 B CN1904016 B CN 1904016B
Authority
CN
China
Prior art keywords
composition
ether
glycol
acid
ammonium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN200610107693XA
Other languages
English (en)
Other versions
CN1904016A (zh
Inventor
M·I·埃格比
M·W·勒根扎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of CN1904016A publication Critical patent/CN1904016A/zh
Application granted granted Critical
Publication of CN1904016B publication Critical patent/CN1904016B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/38Cationic compounds
    • C11D1/50Derivatives of urea, thiourea, cyanamide, guanidine or urethanes
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3263Amides or imides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/24Organic compounds containing halogen
    • C11D3/245Organic compounds containing halogen containing fluorine
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3902Organic or inorganic per-compounds combined with specific additives
    • C11D3/3905Bleach activators or bleach catalysts
    • C11D3/3907Organic compounds
    • C11D3/3917Nitrogen-containing compounds
    • C11D3/3927Quarternary ammonium compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3272Urea, guanidine or derivatives thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Cleaning By Liquid Or Steam (AREA)

Abstract

本发明涉及一种含水的清洗用组合物,其用于从基底上,例如,半导体基底上除去不需要的有机和无机残留物和污染物。该清洗用组合物包括按重量计约0.01%至约40%的选自胍盐、乙脒盐、甲脒盐和其混合物的盐;水;和任选的可溶性有机溶剂。根据本发明的组合物不含有氧化剂和磨粒,并且可以从基底,尤其是从具有含硅BARC和/或光刻胶残留物的基底上除去残留物。

Description

除残留物的含有阳离子盐的组合物及其使用方法
相关申请的参照
根据35U.S.C.§119(e),本申请要求享有较早的于2005年6月23日提交的美国临时专利申请序列号为60/693,205的优先权,在此引入其全部公开内容作为参考。
技术领域
本发明提供了清洗用组合物,它能用于多种应用中包括例如,除去半导体基底上的不需要的光刻胶膜、蚀刻之后、BARC和灰化之后的残留物。特别地,本发明提供了清洗用组合物,其含有选自胍盐、乙脒盐(acetamidinium)、甲脒盐(formamidinium)及其混合物的盐作为清洗剂。
将结合它在清洗上的应用,包括集成电路的制造,描述本发明的背景。然而可以理解,本发明拥有更宽的应用。
背景技术
在集成电路的制造中,有时必须要在薄膜中蚀刻开口或其它的几何形状,该薄膜沉积或生长在硅、砷化镓、玻璃或正在加工的集成电路晶片上的其他基底上。用本方法蚀刻该薄膜时需要将该薄膜暴露在化学蚀刻剂中除去薄膜部分。用于除去薄膜部分的特定蚀刻剂取决于薄膜的性质。例如对于氧化膜,蚀刻剂可能是氢氟酸。对于多晶硅薄膜,一般是氢氟酸或硝酸和乙酸的混合物。
为了保证除去的仅仅是所希望的薄膜部分,使用了光蚀刻法,通过这种方法,将在电脑中起草的光掩模图案转移到薄膜的表面上。该掩模用来确定将被选择性除去的薄膜的区域。用光刻胶(photoresist)材料形成这种图案,该材料是旋涂在薄膜上的正在加工的集成电路晶片上的感光材料并且在通过光掩模投影的高强度辐射中曝光。取决于光刻胶材料的组成,一般用显影剂溶解曝光或未曝光的光刻胶材料,留下能在选定区域里进行蚀刻的图案,而防止蚀刻其它区域。例如阳性类型的光刻胶(resist)已经被广泛地作为掩模物质在基底上画出图案,当进行蚀刻时它会变成导通孔、沟道、接触孔等。
半导体装置的小型化趋势导致了使用精密多级的体系来克服与上述小型化有关的如下的困难。在光蚀刻法中使用高吸收性的抗反射涂层是减少从高反射基底的光背反射所造成的问题的比较简单的方法。背反射率的两个不利的作用是薄膜干涉和反射凹槽。薄膜干涉导致光刻胶膜中因总光强度的变化所引起的临界行距尺寸的变化如光刻胶厚度的变化。行距的变化和振幅比(S)成正比,因此为了更好地控制行距必须将其最小化。振幅比被定义成S=4(RaRb)1/2eαD,其中Ra是在光刻胶/空气或光刻胶/顶涂层界面间的反射率,Rb是在光刻胶/基底界面间的反射率,α是光刻胶的吸光系数,并且D是膜厚度。
底部抗反射层(“BARC”)的功能在于吸收用来曝光光刻胶的辐射,由此减少Rb从而减少振幅比。反射凹槽变深是因为光刻胶在含有地形特征的基底上形成图案,它通过光刻胶薄膜使光散射,造成行距的变化,并且在极端情况中,会形成完全损失光刻胶的区域。同样地,着色的顶端抗反射涂层会通过减少Ra而减少振幅比,其中该涂层对于折射率和吸收特征,例如吸收波长和强度具有最佳值。
日益地,干蚀刻工艺例如等离子蚀刻、活性离子蚀刻或离子铣削被用来腐蚀基底上的光刻胶未保护的区域以形成导通孔、沟道、接触孔等。作为等离子蚀刻工艺的结果,光刻胶、蚀刻气和蚀刻材料的副产物作为残留物沉积在基底上的蚀刻开口的侧壁或四周。
上述干蚀刻工艺一般会使光刻胶掩模非常难除去。例如,在复杂的半导体装置如高级DRAMS和具有多层互连导线的末端线路的逻辑设备中,活性离子刻蚀(RIE)被用来制造穿过层间电介体材料的导通孔来提供一级硅、硅化物或金属导线与下一级导线的联系。这些导通孔一般使Al、AlCu、Cu、Ti、TiN、Ta、TaN、硅或硅化物如钨、钛或钴的硅化物曝光。RIE方法在所涉及的含有复杂混合物的基底留下残留物,这种混合物可以包括例如,再溅射的氧化物材料、由蚀刻气产生的聚合物材料和用来画出导通孔的光刻胶的有机材料。
另外,刻蚀步骤结束之后,必须从晶片的保护区中除去光刻胶(包括BARC或其他防反射涂层)和蚀刻残留物使最后的整理操作能够进行。可以通过使用适当的等离子灰化气体在等离子“灰化”步骤中完成。一般在高温,如高于200℃下进行。灰化是将大部分的有机残留物转化成挥发性的种类,但是之后在基底上留下的主要是无机残留物。这种残留物一般不仅残留在基底的表面上,而且可能出现在导通孔的内壁上。因此,经常用一般被称为“液体剥离组合物”的清洁用组合物处理灰处理后的基底以除去基底上高粘附性的残留物。寻找一种合适的清洁用组合物来除去该残留物而不产生负面作用,例如,已经证明侵蚀、溶解或钝化金属电路是有问题的。不能完全除去或中和残留物会导致电路导线的中断并且使电阻不令人满意地增大。
现有技术中的剥离组合物已经包括,例如:(a)基于有机磺酸的剥离溶液,它含有作为主要的剥离组份的烷基苯磺酸;以及(b)基于有机胺的剥离溶液,其含有作为主要的剥离组份的胺如单乙醇胺。然而,现有技术中的上述用于除去蚀刻残留物的剥离组合物具有明显的缺点。例如,使用它们往往会腐蚀暴露在导通孔底部上的铜丝。
因此,在本领域中需要一种用于包括剥离光刻胶和等离子灰残留物的最后清洗操作的清洗用组合物,其能够选择性地除去上述残留物而不会蚀刻底层的和暴露的基底。底层的和暴露的基底包括,例如,金属、高介电常数的材料(这里被称为“high-k”)、硅、硅化物和/或层间电介质材料包括低介电常数材料(这里被称为“low-k”),如沉积氧化物、HSQ膜、MSQ膜、Fox膜、黑金刚石膜和正硅酸四乙酯(“TEOS”)膜。
发明内容
在此公开的组合物能够选择性的除去残留物例如,来自基底的光刻胶、填隙物、BARC和/或其它聚合材料,和/或无机材料和加工的残留物而不会腐蚀任何不希望的范围的也可能暴露于该组合物的金属、low-k电介质材料、和/或high-k电介质材料。在特定的实施方案中,在此公开的组合物能够有效地从基底上除去BARC残留物同时对暴露的底层的电介质材料造成最小的腐蚀。
一方面,本发明提供了从基底上除去残留物的组合物,该组合物包括:选自胍盐、乙脒盐、甲脒盐和其混合物的盐;水;和任选的水溶性有机溶剂,条件是该组合物不含有氧化剂和磨粒。
另一方面,本发明提供了从含有BARC的基底上除去残留物的组合物,该组合物包括:按重量计约0.01%至约40%的选自胍盐、乙脒盐、甲脒盐、和其混合物的盐;水;和任选的水溶性有机溶剂,条件是该组合物不含有氧化剂和磨粒。在特定的实施方案中,该组合物还包括至少一种选自下列的物质:季铵化合物、氟离子源、胺、羟胺或其酸性盐;有机酸、磺酸或其盐或腐蚀抑制剂。
在另一方面,本发明提供了从含有电介体材料的基底上除去包括BARC的残留物的方法,该方法包括:将基底与组合物接触,该组合物包括:按重量计约0.01%至约40%的选自胍盐、乙脒盐、甲脒盐、和其混合物的盐;水;和任选的水溶性有机溶剂,条件是该组合物不含有氧化剂和磨粒。
本发明提供了一种组合物,它的组分的含量足以有效地除去基底例如半导体基底上的残留物。在涉及半导体基底的应用中,上述残留物包括,例如,光刻胶(固化的或其他的)、填隙物、底部防反射涂层(BARC)及其他聚合材料(例如,含C-F的聚合物、低分子量聚合物和高分子量聚合物)和/或加工的残留物例如由蚀刻和灰化工艺产生的残留物、无机化合物例如金属氧化物、化学机械平整(CMP)淤浆中的陶瓷颗粒及其他无机蚀刻残留物、包括金属的化合物例如,有机金属残留物和有机金属化合物。在一个实施方案中,根据本发明的组合物对于从半导体基底上除去含硅的BARC残留物特别地有效。
残留物一般存在在基底上,该基底可以包括金属、硅、硅酸盐和/或层间电介质材料例如,沉积的硅氧化物和衍生的硅氧化物如HSQ、MSQ、FOX、TEOS和旋压玻璃、化学蒸汽沉积的电介体材料、low-k材料和/或high-k材料例如硅酸铪、氧化铪、钛酸锶钡(BST)、TiO2、TaO5,其中残留物和金属、硅、硅化物、层间电介体材料、low-k材料和/或high-k材料都和清洁用组合物接触。根据本发明的组合物适用于上述物质,并且因此可被用于选择性地除去例如那些如上所述的残留物,而不会明显地腐蚀金属、硅、二氧化硅、层间电介质材料、low-k材料和/或high-k材料。在特定的实施方案中,基底可以包括金属,例如,但不限于,铜、铜合金、钛、氮化钛、钽、氮化钽、钨、和/或钛/钨合金。
根据本发明的组合物不含有氧化剂、磨粒或任何对组合物的剥离和清洗能力起不利作用的或损害底层基底的一个或多个表面的其他组分。氧化剂的例子子包括,但不限于,过氧化氢(H2O2)、单过硫酸盐、碘酸盐、过邻苯二甲酸镁、过乙酸及其他过酸、过硫酸盐、溴酸盐、高碘酸盐、硝酸盐、硝酸、铁盐、铈盐、Mn(III)、Mn(IV)和Mn(VI)盐、银盐、Cu盐、铬盐、钴盐、卤素次氯酸盐及其混合物。磨粒的例子包括金刚石微粒和金属氧化物、硼化物、碳化物、氧化铝、二氧化铈和硅石及其混合物。在特定的实施方案中,该组合物用作除去残留物如包含在来自CMP处理的CMP溶液中的磨粒。此处公开的组合物优选不含有上述微粒。
本发明的组合物是水基的,因而,包含水。在本发明中,水的功能是多方面的,例如,作为溶剂溶解组合物中的一种或多种固体组份、作为组份的载体、作为除去残留物的辅助剂、作为组合物的粘度调节剂和作为稀释剂。
可以确信,在大多数应用中,可以含有,例如,按组合物的重量计约1%至约95%的水。根据本发明的其它优选组合物含有按重量计约1至约75%的水。根据本发明的其它更优选的组合物含有按重量计约1至约50%的水。
本发明中的水分可以作为其它要素的组分附带地存在,例如,含有氟化物离子源或季铵化合物的水溶液,或者它可以单独地加入。非限制性的水的例子包括去离子(D1)水、超高纯水、蒸馏水、二次蒸馏水或低金属含量的蒸馏水。优选的,组合物中的水包括去离子水。
根据本发明的组合物包括选自胍盐、乙脒盐、甲脒盐,和其混合物的盐。该盐起清洗剂的作用,主要是溶解或帮助溶解存在于基底上的有机残留物。这些盐包括由下列式(I)至式(III)表示的下列之一的阳离子,即分别是胍阳离子、甲脒阳离子或乙脒阳离子,其中m是1或2:
Figure S061A7693X20060811D000051
形成上述盐的阴离子的例子包括,但不限于卤离子例如Cl-、Br-、F-、I-;硫离子;亚硫酸根;硫酸根、碳酸根、亚硝酸根、醋酸根和其他本领域公知的阴离子。提供上述阴离子的酸源包括,但不限于,盐酸、氢溴酸、氢氟酸、氢硫酸、亚硫酸或硫酸。
优选的根据本发明组合物中使用的盐包括,但不限于,盐酸胍、硫酸胍、盐酸氨基胍、乙酸胍、碳酸胍、硝酸胍、甲脒亚胺(formanimide)、亚硫酸甲脒、醋酸甲脒、碳酸氨基胍、盐酸碳酸氨基胍、氯化甲脒及其混合物。
可以确信,在大多数应用中,盐的量按组合物的重量计为约0.01%至约40%。优选地,盐按组合物的重量计为约0.01%至约30%,并且更优选为约0.01%至约25%。
根据本发明的组合物任选地包括至少一种有机溶剂。该有机溶剂优选能和水混溶。在本发明的各种实施方案中,一般基底上的金属线路确定是否使用能和水混溶的有机溶剂。例如,当铝线路出现在基底上时,水和卤素离子的结合一般趋向于腐蚀铝。在这些实施方案中,使用能和水混溶的有机溶剂即使不能消除也能显著地减少对铝的腐蚀。
能和水混溶的有机溶剂的例子包括,但不限于,二甲基乙酰胺(DMAC)、N-甲基吡咯烷酮(NMP)、二甲亚砜(DMSO)、二甲基甲酰胺、N-甲基甲酰胺、甲酰胺、二甲基-2-哌啶酮(DMPD)、四氢糠基醇、甘油、乙二醇及其他酰胺、醇或硫氧化物,或多官能化合物,例如羟基酰胺或氨基醇。能和水混溶的有机溶剂的其他例子包括二醇和多元醇例如(C2-C20)烷烃二醇和(C3-C20)烷烃三醇、环醇和被取代的醇。这些能和水混溶的有机溶剂的具体例子包括丙二醇、四氢糠基醇、双丙酮醇和1,4-环己烷二甲醇。在特定的实施方案中,能和水混溶的有机溶剂可以是DMSO、NMP和/或DMAC。以上列举的能和水混溶的有机溶剂可以单独使用或和两种或多种的溶剂联合使用。
在本发明的特定优选方案中,能和水混溶的有机溶剂可以包含二醇醚。二醇醚的例子包括乙二醇一甲醚、乙二醇一乙醚、乙二醇一丁醚、乙二醇二甲醚、乙二醇二乙醚、二甘醇一甲醚、二甘醇一乙醚、二甘醇一丙醚、二甘醇一异丙醚、二甘醇一丁醚、二甘醇一异丁基醚、二甘醇一苯甲醚、二甘醇二甲醚、二甘醇二乙醚、三甘醇单甲醚、三甘醇二甲醚、多乙二醇一甲醚、二甘醇甲基乙醚、三甘醇乙二醇一甲醚醋酸酯、乙二醇单乙基醚醋酸酯、丙二醇甲醚醋酸酯、丙二醇一甲醚、丙二醇二甲醚、丙二醇一丁醚、丙二醇、一丙醚、二丙二醇一甲醚、二丙二醇一丙醚、二丙二醇一异丙醚、二亚丙基一丁基醚、二丙二醇二异丙醚、三丙二醇一甲醚、1-甲氧基-2-丁醇、2-甲氧基-1-丁醇、2-甲氧基-2-甲基丁醇、1,1-二甲氧基乙烷和2-(2-丁氧基乙氧基)乙醇。
可以确信,在大多数应用中,能和水混溶的有机溶剂的量按组合物的重量计为约0%至约60%。优选地,能和水混溶的有机溶剂按组合物的重量计为约0至约55%,并且更优选为约0至约50%。
根据本发明的组合物可以任选地包括季铵化合物。如果使用,该季铵化合物的功能在于辅助除去有机和无机残留物。
根据本发明的组合物中所使用的季铵化合物的例子是具有式[N-R1R2R3R4]+OH-的季铵化合物,其中R1、R2、R3和R4各自独立地是具有1至20个碳原子的烷基基团。术语“烷基”指具有1至20个碳原子,或1至8个碳原子,或1至4个碳原子的直链或支链的未取代的烃基团。合适的烷基基团的例子包括甲基、乙基、丙基、异丙基、丁基和叔丁基。词语“低级烷基”指具有1至4个碳原子的烷基。合适的季铵化合物的例子包括氢氧化四甲基铵(TMAH)、氢氧化四乙基铵、氢氧化四丁基铵(TBAH)、氢氧化四丙基铵、氢氧化三甲基乙基铵、氢氧化(2-羟乙基)三甲基铵、氢氧化(2-羟乙基)三乙基铵、氢氧化(2-羟乙基)三丙基铵、氢氧化(1-羟丙基)三甲基铵、氢氧化乙基三甲基铵、氢氧化二乙基二甲基铵和氢氧化苄基三甲基铵。
可以确信,在大多数应用中,季铵化合物的量按组合物的重量计为约0%至约50%。优选地,季铵化合物按组合物的重量计为约0%至约40%,并且更优选为约0至约30%。
根据本发明的组合物可以任选地包含至少一种氟化物离子源。氟化物离子的功能主要在于从基底上辅助性除去无机残留物。根据本发明的提供氟化物离子源的典型化合物是氢氟酸及其盐、氟化铵、氟化季铵盐例如,氟化四甲铵和氟化四丁铵、氟硼酸盐、氟硼酸、四氟硼酸四丁铵和六氟化铝。此外,也可以使用脂肪族伯、仲或叔胺的氟化盐,例如,式为R5R6R7R8NF的胺,其中R5、R6、R7和R8各自独立地是氢、链烷醇基团、烷氧基基团、烷基基团或其混合物。上述化合物的例子包括氟化铵、氟化四甲基铵、氟化四乙基铵、氟化四丁基胺和其混合物。氟化物离子源的更进一步的例子包括氟硼酸、氢氟酸、氟硼酸盐、氟硼酸、四氟硼酸四丁铵、六氟化铝和氟化胆碱。在更进一步的实施方案中,可以使用的氟化物离子源是脂肪族伯、仲或叔胺的氟化盐。
在一个优选实施方案中,氟化物离子源是烷基氟化物例如,氟化四甲基铵、氟化四乙基铵、氟化四丁基铵及其混合物。
在选择氟化物离子源时,应当考虑该源是否会趋于释放对被清洗的表面产生不利作用的离子。例如,清洗半导体元件时,在清洁用组合物中存在钠或钙离子对元件表面产生不利的作用。
可以确信,在大多数应用中,清洁用组合物中作为氟化物离子源的化合物的量按重量计为约0至约10%。更优选地,该化合物按组合物的重量计为约0至约5%。然而,应当理解一般根据被清洗的基底的具体情况确定氟化物离子的用量。例如,在特定的清洗应用中,当被清洗的基底中含有对氟化物腐蚀具有高抵抗力的电介质材料时,氟化物离子的用量会相对地高。相反地,在其它应用中,例如,当被清洗的基底中包含对氟化物腐蚀具有低抵抗力的电介质材料时,氟化物离子的量会相对地低。
根据本发明的组合物可以任选地包含至少一种胺化合物。如果使用,胺化合物的功能在于辅助除去特定的有机残留物,例如,有机聚合物残留物如,光刻胶。
适用于本发明的胺化合物包括,例如,链烷醇胺、烷基胺、环状非芳族胺、由式NR9R10R11代表的化合物其中R9、R10和R11各自独立地选自H、脂肪基团、醚基团、烷基单氨基基团、烷基二氨基基团、烷基三氨基基团和在环上任选地含有至少一种选自N、O和S的其他杂原子的N-杂环基基团和其它胺化合物。
在本发明的优选实施方案中,胺化合物是链烷醇胺。优选的链烷醇胺包括低级链烷醇胺其是具有1至5个碳原子的伯、仲和叔链烷醇胺。上述链烷醇胺的例子包括N-甲基乙醇胺(NMEA)、单乙醇胺(MEA)、二乙醇胺、一、二和三异丙醇胺、2-(2-氨基乙基氨基)乙醇、2-(2-氨基乙氧基)乙醇、三乙醇胺等。其他优选的胺包括环状非芳族胺如吗啉、环己胺和哌啶低级烷基胺如丁胺、低级亚烷基二胺如乙二胺等。
本发明的其他优选实施方案中,胺化合物是由式NR9R10R11代表的化合物,其中每个R9、R10和R11各自独立地选自H、脂肪基团、醚基团、烷基单氨基基团、烷基二氨基基团、烷基三氨基基团和在环上任选地含有至少一种选自N、O和S的其它杂原子的N杂环基团和其它胺化合物。上述胺的例子包括,例如,氨基烷基吗啉如氨基丙基吗啉和氨基烷基哌嗪如氨基乙基哌嗪。参照上面的胺化合物的式子,合适的脂肪基团包括直链或支链烷基基团、亚烷基基团、炔、芳基、芳基-烷基、烷基-芳基和被取代的芳基基团。醚基团包括一般具有1至12个碳原子的丙烯酸醚。一些醚基团的例子是甲氧基、乙氧基、丙氧基、丁氧基、异丙氧基、异丁氧基、仲丁氧基和叔丁氧基。氨基基团包括伯、仲和叔胺以及高级烷基氨基官能团如二-和三-胺。
可以确信在多数应用中,组合物中胺化合物的量按组合物的重量计为约0%至约20%。优选地,胺化合物的重量百分数为约0%至约10%,并且更优选按组合物的重量计为约0至5%。
如在此所用的,术语“烷基”指具有1至20个碳原子,或1至10个碳原子的直链或支链未取代的烃基。如在此所用的,术语“链烯基”和“炔基”指一般具有2至8个碳原子的直链或支链不饱和的烃基团。如在此所用的,术语“芳基”指在环部分含有6至12个碳原子的单环或双环芳烃基,如苯基、萘基、联苯基和二苯基基团,其中每个可以是被取代的。一些一般在环中含有5或6个原子的单环杂环基的例子包括吗啉代、哌嗪、异噻唑、咪唑啉、吡唑啉、吡唑烷、嘧啶、吡嗪。如在此所用的,术语“芳烷基”或“烷基芳基”指直接与烷基连接的芳基,如苯甲基或苯乙基。如在此所用的、术语“取代的芳基”或“取代的烷基芳基”指被例如一至四个下述取代基取代的芳基基团或烷基芳基基团:如烷基;取代的烷基、卤素、三氟甲氧基、三氟甲基、羟基、烷氧基、叠氮基、环烷氧基、杂环氧基、烷酰基、烷酰氧基、氨基、烷基氨基、芳烷基氨基、羟烷基、氨基烷基、叠氮烷基、链烯基、炔基、丙二烯基、环烷基氨基、杂环氨基、二烷基氨基、硫醇、烷基硫醇、环烷基硫醇、杂环烷基硫醇、脲基、硝基、氰基、羧基、羧基烷基、氨基甲酰基、烷氧基羰基、烷基硫碳、芳基硫碳、烷基磺酰基、氨磺酰基、芳氧基等。这些取代基可以更进一步的被卤素、羟基、烷基、烷氧基、芳基、取代的芳基、取代的烷基或芳烷基所取代。如在此所用的,术语“取代的苯甲基”指被例如,任何上述的对于取代的芳基所列举的基团所取代的苯甲基基团。除非在特殊例子中另有限定,个别地或作为更大的基团的一部分,上述术语的定义适用于整个说明书。
根据本发明的组合物可以任选地包含至少一种羟胺化合物或其酸性盐。如果使用,该羟胺的功能在于辅助抑制对铜的腐蚀。根据本发明所使用的羟胺的例子包括二乙基羟胺及其乳酸盐和柠檬酸盐。
可以确信在大多数应用中,组合物中羟胺化合物的量按组合物的重量计为约0%至约5%。优选地,如果使用,羟胺化合物为组合物重量百分数的约0.1%至约5%。
根据本发明的组合物可任选地包含至少一种有机酸。如果使用,该有机酸起腐蚀抑制剂的作用。有机酸的例子包括柠檬酸、邻氨基苯甲酸、没食子酸、苯甲酸、丙二酸、马来酸、富马酸、D,L-苹果酸、异酞酸、邻苯二甲酸和乳酸。
可以确信在大多数应用中,组合物中有机酸的量按组合物的重量计为约0%至约10%。优选地,羟胺化合物为组合物重量百分数的约0%至约2%。
根据本发明的组合物可任选地包含至少一种磺酸。如果使用,该磺酸起清洗辅助剂和腐蚀抑制剂的作用。适于在本发明中使用的磺酸的例子包括对-甲苯磺酸、1,5-萘基二磺酸、4-乙基苯磺酸、苯胺磺酸、苯胺-2-磺酸、十二烷基苯磺酸、异丙基苯磺酸、甲基乙基苯磺酸、二甲苯磺酸的异构体和上述磺酸相应的盐。磺酸盐的例子包括对-甲苯磺酸乙醇铵和对-甲苯磺酸三乙醇铵。磺酸或其相应的盐可以以单独的酸或盐,或磺酸的混合物或相应的盐存在于组合物中。
可以确信在大多数应用中,组合物中磺酸的量按组合物的重量计为约0%至约20%。优选地,磺酸按重量计约0%至约10%并且更优选为组合物的约0%至约5%。
根据本发明的组合物可任选地包含至少一种腐蚀抑制剂。优选当用该组合物清洗金属基底时使用腐蚀抑制剂。腐蚀抑制剂的例子包括芳族羟基化合物、炔醇、含有羧基基团的有机化合物及其酐和三唑化合物。
芳族羟基化合物的例子包括苯酚、甲酚、二甲苯酚、邻苯二酚、间苯二酚、对苯二酚、焦性没食子酸、1,2,4-苯三醇、水杨醇、对-羟基苯甲醇、邻-羟基苯甲醇、对-羟基苯乙醇、对-氨基苯酚、间-氨基苯酚、二氨基苯酚、氨基间苯二酚、对-羟基苯甲酸、邻-羟基苯甲酸2,4-二羟基苯甲酸、2-5-二羟基苯甲酸、3,4-二羟基苯甲酸和3,5-二羟基苯甲酸。
炔醇的例子包括2-丁炔-1,4-二醇、3,5-二甲基-1-己炔-3-醇、2甲基-3-丁炔-2-醇、3-甲基-1-戊炔-3-醇、3,6-二甲基-4-辛炔-3,6-二醇、2,4-7,9-四甲基-5-癸炔-4,7-二醇和2,5-二甲基-3-己炔2,5-二醇。
含有羧基基团的有机化合物和其酸酐的例子包括甲酸、乙酸、丙酸、丁酸、异丁酸、草酸、丙二酸、丁二酸、戊二酸、马来酸、富马酸、苯甲酸、邻苯二甲酸、1,2,3-苯三羧酸、羟基乙酸、乳酸、马来酸柠檬酸、乙酸酐和水杨酸。
三唑化合物的例子包括苯并三唑、邻-甲苯基三唑、间-甲苯基三唑、对-甲苯基三唑、羧基苯并三唑、1-羟基苯并三唑、硝基苯并三唑和二羟基丙基苯并三唑。
优选的抑制剂是邻苯二酚、没食子酸、苯并三唑、焦性没食子酸、4-甲基邻苯二酚富马酸和二乙基羟胺(DEHA);清洗含铜的基底时优选使用除苯并三唑之外的抑制剂,因为苯并三唑具有与铜结合的趋向。
可以确信在大多数应用中,组合物中腐蚀抑制剂的量按组合物的重量计为约0.01至约5%。优选地,其按重量计为约0.01至约4%,并且最优选按组合物重量计约0.01至约3%。
根据本发明优选的腐蚀抑制剂包括有机酸盐、苯并三唑(BZT)、间苯二酚、其他的酚、酸或三唑马来酸酐、邻苯二甲酸酐、邻苯二酚、焦性没食子酸、没食子酸酯、羧基苯并三唑、果糖、硫代硫酸铵、甘氨酸、四甲基胍、亚氨基二乙酸、二甲基乙酰基乙酰胺、三羟基苯、二羟基苯、水杨基羟氨(salicyclohydroxamic)及其混合物。
该组合物可以同时包括一个或多个下列的添加剂:表面活性剂、螯合剂、化学调节剂、染料、抗微生物剂及其他添加剂。可以将添加剂加入到在此描述的组合物中,条件其是不会对组合物的剥离和清洗能力或对底层金属、硅、二氧化硅、层间电介质材料、low-k和/或high-k材料的完整性产生不利的作用。例如,如果将该组合物用于处理含铜的基底,该组合物不含有能够增加该组合物对铜的蚀刻速率的其他添加剂。一些代表性的添加剂的例子包括炔醇及其衍生物、炔二醇(非离子烷氧基化的和/或可自乳化的炔二醇表面活性剂)及其衍生物、醇、季铵和二胺、酰胺(包括质子惰性的溶剂如二甲基甲酰胺和二甲基乙酰胺)、烷基链烷醇胺(如二乙醇乙胺)和螯合剂如β-二酮、β-酮亚胺、羧酸、mallic酸和基于酒石酸的酯和二酯及其衍生物、以及叔胺、二胺和三胺。
在本发明的一个实施方案中,本发明提供了用于从含有BARC的基底上除去残留物的组合物,该组合物包括:按重量计约0.01%至约40%的选自胍盐、乙脒盐、甲脒盐和其混合物的盐;水;和任选的水溶性有机溶剂。在特定的实施方案中,该组合物还包括至少一种选自下列的物质:季铵化合物、氟化物离子源、胺、羟胺或其酸性盐;有机酸、磺酸或其盐或腐蚀抑制剂。此处公开的组合物的pH值为4至12.5、或5至12.5或6.5-12.5。
本发明的清洗用组合物一般是将组分在室温下在容器中一起混和直到所有的固体溶于水基介质中而制备得到。
此处公开的组合物适用于含low-k膜的基底如HSQ(FOx)、MSQ、SiLK等,包括那些含氟化物的low-k膜。该组合物在剥离下述物质上有效,包括正反光刻胶的光刻胶和等离子体蚀刻残留物如有机残余物、有机金属残留物、无机残留物、金属氧化物、或在低温时对含铜和/或钛基底具有极低腐蚀的光刻胶络合物。此外,该组合物适用于多种金属、硅、二氧化硅、层间电介质材料、low-k和/或high-k材料。
在生产过程中,光刻胶层是涂覆在基底上的。使用光刻方法,将图案确定在光刻胶层上。于是形成图案的光刻胶层受到等离子体的蚀刻并通过这种方法将图案转移至基底。蚀刻残留物在蚀刻阶段产生。用于本发明的一些基底被灰化了而另一些并没有被灰化。当基底被灰化了时,要清洗的主要残留物是蚀刻剂残留物。如果基底没有被灰化,则要清洗或剥离的主要残留物是蚀刻残留物和光刻胶。
可以通过将含有作为薄膜或残留物存在的金属、有机或金属-有机聚合物、无机盐、氧化物、氢氧化物或络合物或其组合的基底与所述的组合物接触来实施此处描述的方法。实际的条件,如温度、时间等取决于要除去的物质的性质和厚度。通常,将基底在20℃至85℃、或20℃至60℃或20℃到40℃下接触或浸入含有组合物的容器中。将基底暴露在组合物中的时间长度一般是,例如,0.1至60分钟、或1至30分钟、或1至15分钟。和组合物接触后,可以将基底漂洗然后干燥。干燥一般在惰性气氛中进行。在特定的实施方案中,可以在将基底与此处描述的组合物接触之前、期间、和/或之后使用去离子水漂洗或用含有去离子水的其他添加剂漂洗。但是,该组合物可以用于任何本领域公知的使用清洗液除去光刻胶、灰或蚀刻残留物和/或残留物的方法中。
本领域技术人员应当理解可以改变本发明的组合物以达到最佳的清洗而不会损害基底从而能够保持生产过程中清洗的高生产量。例如,本领域技术人员应当理解,例如,改变一些或所有组分的含量取决于将要清洗的基底的组成、将要除去的残留物的性质和所用的具体工艺参数。
虽然本发明主要结合清洗半导体基底进行描述,但是本发明的清洁用组合物可以用于清洗含有有机和无机残留物的任何基底。
提供下列实施例的目的在于进一步描述本发明但不是对其做出限定。
具体实施方式
在下面的实施例中,所有的量以重量百分比给出并且总和为100重量百分比。此处公开的组合物是通过将组分在室温下在容器中一起混和直到所有的固体已经溶解制备得到。此处公开的特定组合物的实施例列在表I中。
下面为表I中所用的简称:
    APM              氨基丙基吗啉t-PGME           三丙二醇甲醚DPM(或d-PGME)    二丙二醇甲醚THFA             四氢糠基醇TMAH             氢氧化四甲基铵PGME             丙二醇甲醚DI水             去离子水DEHA             二乙基羟基胺MEA              乙醇胺NMEA             N-甲基乙醇胺DMSO             二甲亚砜NMP              N-甲基吡咯烷PG               丙二醇TMAF             氟化四甲基铵BZT              苯并三唑TME              四甲氧基乙烷
表I;示例性组合物
      实施例A                实施例B                   实施例C
DI水          40       DI水          34          DI水          24
TMAF(20%)    1.5      TMAF(20%)    1.5         TMAF(20%)    1.5
苯胺磺酸      0.5      苯胺磺酸      0.5         苯胺磺酸      0.5
DEHA          4        DEHA          0           DEHA          0
柠檬酸(29%)  2        柠檬酸(29%)  4           柠檬酸(29%)  4
TMAH(25%)    42       TMAH(25%)    47          TMAH(25%)    57
碳酸胍        10       碳酸胍        13          碳酸胍        13
实施例D                     实施例E                    实施例F
DI水              37       DI水                37      DI水            25
TMAF(20%)        1.5      TMAF(20%)          1.5     TMAF(20%)      1.5
苯胺磺酸          0.5      苯胺磺酸            0.5     苯胺磺酸        0.5
DEHA              4        DEHA                4       DEHA            4
柠檬酸(29%)      2        柠檬酸(29%)        2       柠檬酸(29%)    2
TMAH(25%)        30       TMAH(25%)          30      TMAH(25%)      34
碳酸胍            10       碳酸胍              10      碳酸胍          13
PGMA              15       THFA                15      THFA            20
实施例G                        实施例H                 实施例I
DI水              25       DI水                33      DI水            36
TMAF.4H2O         3        TMAF(20%)          0       cobratec948     3
苯胺磺酸          2.2      苯胺磺酸            2       TMAH(25%)      26
DEHA              5        柠檬酸(29%)        2       辛胺            0.6
柠檬酸(29%)      3        TMAH(25%)          40      HCl(37%)       9.8
TMAH(25%)        29       碳酸胍              13      碳酸氨基胍/HCl  11
硫酸胍            3        t-PGME              10      d-PGME          13.6
PGMA              29.8
       实施例J                  实施例K                实施例L
DI水              30       DI水                36      d-PGME          49
cobratec948       3        Cobratec948         3       DI水            34.5
TMAH(25%)        22       TMAH(25%)          26      氟化铵(40%)    1.8
辛胺              0.5      辛胺                0.6     PG              8
HCl(37%))        12.5     碳酸胍/H2SO4(78%)  17      硫酸胍          4.2
醋酸甲脒/HCl      9        d-PGME              17.4    BZT             2.5
d-PGME            23
实施例M                    实施例N                  实施例O
d-PGME          49         d-PGME          54       d-PGME          53
DI水            41         DI水            36       DI水            36
氟化铵(40%)    2          氟化铵(40%)    2        氟化铵(40%)    3
硫酸胍          5          硫酸胍          5        硫酸胍          5
BZT             3          BZT             3        BZT             3
实施例P                    实施例Q                  实施例R
壬胺            1          癸胺            1        DI水            33
TMAF(20%)      0.75       TMAF(20%)      0.75     TMAF(20%)      1.5
甘油            15         甘油            15       苯胺-2-磺酸     0.5
BZT             2          BZT             2        TMAH(25%)      35
TMAH(25%)      20         TMAH(25%)      20       BZT             1.8
碳酸胍          10         碳酸胍          10       PG              10
d-PGME          20         d-PGME          20       醋酸甲脒        10
DI水            31.25      DI水            31.25    d-PGME          8.2
实施例S                     实施例T                 实施例U
DI水            33         DI水            33       DI水            33
TMAF(20%)      1.5        TMAF(20%)      1.5      TMAF(20%)      2.5
苯胺-2-磺酸     0.5        苯胺-2-磺酸     0.5      苯胺-2-磺酸     0.5
TMAH(25%)      25         TMAH(25%)      35       TMAH(25%)      35
BZT             1.8        BZT             1.8      BZT             1.8
PG              5          PG              10       PG              5
碳酸胍          10         碳酸胍          10       碳酸胍          10
TME             23.2       TME             8.2      TME             12.2
实施例V                实施例W                 实施例X
DI水            24     DI水          24        DI水          33
TMAH(25%)      48     TMAH(25%)    48        TMAF(20%)    1.5
碳酸胍          18     碳酸胍        18        苯胺-2-磺酸   0.5
DMSO            10     NMP           10        TMAH(25%)    35
                                               BZT           1.8
                                               PG            10
                                               氯化甲脒      10
                                               d-PGME        8.2
实施例Y                   实施例Z                 实施例A1
NMEA           18      MEA           18        APM           18
TMAH(25%)     35      TMAH(25%)    35        TMAH(25%)    35
碳酸胍         18      碳酸胍        18        碳酸胍        18
DI水           29      DI水          29        DI水          29
实施例A2               实施例A3                实施例A4
APM            7.40    APM           4.80      APM           4.80
DPM            18.50   DPM           18.10     DPM           18.10
辛胺           0.01    辛胺          0.10      辛胺          0.10
TMAH(25%)     30.70   TMAH(25%)    35.00     TMAH(25%)    25.00
碳酸胍         11.10   碳酸胍        13.00     碳酸胍        11.00
DI水           32.29   DI水          29.00     DI水          41.00
基底的组成
本发明实施例中所用的每一个基底都包括三层。第一层(即底层)是包含BLACK DIAMONDIITM的ILD材料。接下来的一层是含硅的BARC(193nm)以及顶层是光刻胶(193nm)。该基底随后接受等离子蚀刻。
加工条件
在400mL烧杯中用305mL的清洁用组合物和1/2”的圆聚四氟乙烯搅拌棒并设定为600rpm下进行清洗测试。必要时将清洁用组合物在加热板上加热到下述的所需温度。将尺寸约是1/2”×1/2”的晶片片断浸入下列情况中的组合物中。
10分钟@5℃
20分钟@25℃
10分钟@35℃
20分钟@5℃
将该片断随后在DI水溢出浴中漂洗3分钟然后用过滤氮气干燥。随后用SEM显微镜分析其清洁度。
表II:清洁数据
Figure S061A7693X20060811D000171
Figure S061A7693X20060811D000181
√=成功    √-=部分成功    ×=不成功
表II说明了根据本发明的组合物除去BARC残留物和光刻胶残留物的效果。
蚀刻速率测量的步骤
对覆Cu、Co和W晶片试样的金属层的厚度进行测定,这是通过使用产自Creative Design Engineering,Inc的ResMapTM型273电阻率仪测定该层的电阻率而实现。将该试样随后在需要的温度下浸在组合物中不超过1个小时。定时将该试样从组合物中取出,用去离子水漂洗然后干燥并再次测量金属层的厚度。制定了一张厚度作为浸泡时间的函数变化的图表,并且由埃/分钟表示的蚀刻速率由该曲线的斜率确定。
表III:清洁和腐蚀数据
Figure S061A7693X20060811D000191
NT=未测试
表III说明了根据本发明的特定组合物选择性地除去残留物而不明显腐蚀金属基底的效果。
上述实施例和对优选实施方案的描述在于举例说明而不是限定如权利要求所定义的本发明。容易理解,许多的变化和上述特征的组合能在不背离如权利要求所述的本发明下应用。不能认为上述变化是对本发明精神和范围的偏离,上述所有变化都应包括在下面的权利要求的范围之内。

Claims (11)

1.一种用于除去基底的残留物的组合物,该组合物由以下物质组成:
选自盐酸胍、硫酸胍、盐酸氨基胍、乙酸胍、碳酸胍、硝酸胍、甲脒亚胺、亚硫酸甲脒、醋酸甲脒、碳酸氨基胍、盐酸碳酸氨基胍、氯化甲脒及其混合物的盐;
水;和
能和水混溶的有机溶剂,其选自二甲基乙酰胺、N-甲基吡咯烷酮、二甲亚砜、二甲基甲酰胺、N-甲基甲酰胺、甲酰按、二甲基-2-哌啶酮、四氢糠基醇、二醇醚、甘油及其混合物;
任选的腐蚀抑制剂;
任选的羟胺或其酸性盐;
任选的氟化物离子源;
任选的季铵化合物;和
任选的胺;
条件是该组合物不含有氧化剂和磨粒。
2.根据权利要求2所述的组合物,其中存在腐蚀抑制剂,并且其包括至少一种选自下面的物质:有机酸、有机酸盐、邻苯二酚、间苯二酚、苯酚、马来酸酐、邻苯二甲酸酐、邻苯二酚、焦性没食子酸、没食子酸或其酯、苯并三唑、羧基苯并三唑、果糖、硫代硫酸铵、甘氨酸、四甲基胍、亚氨基二乙酸、二甲基乙酰乙酰胺、三羟基苯、二羟基苯、水杨基羟氨(salicyclohydroxamic)、硫甘油及其混合物。
3.根据权利要求1所述的组合物,其中存在羟胺,并且其包括二乙基羟胺。
4.根据权利要求1所述的组合物,其中二醇醚选自乙二醇一甲醚、乙二醇一乙醚、乙二醇一丁醚、乙二醇二甲醚、乙二醇二乙醚、二甘醇一甲醚、二甘醇一乙醚、二甘醇一丙醚、二甘醇一异丙醚、二甘醇一丁醚、二甘醇一异丁基醚、二甘醇一苯甲醚、二甘醇二甲醚、二甘醇二乙醚、三甘醇单甲醚、三甘醇二甲醚、多乙二醇一甲醚、二甘醇甲基乙醚、三甘醇乙二醇一甲醚醋酸酯、乙二醇一乙醚醋酸酯、丙二醇一甲醚、丙二醇二甲醚、丙二醇一丁醚、丙二醇一丙基醚、二丙二醇一甲醚、二丙二醇一丙基醚、二丙二醇一异丙醚、二亚丙基单丁基醚、二丙二醇二异丙醚、三丙二醇一甲醚、1-甲氧基-2-丁醇、2-甲氧基-1-丁醇、2-甲氧基-2-甲基丁醇、1,1-二甲氧基乙烷和2-(2-丁氧基乙氧基)乙醇。
5.根据权利要求1所述的组合物,其中存在氟化物离子源,并且其包括具有通式R5R6R7R8NF的化合物,其中R5、R6、R7和R8独立地是氢、醇基团、烷氧基基团、烷基及其混合物。
6.根据权利要求5所述的组合物,其中氟化物离子源选自氟化四甲基铵、氟化四乙基铵、氟化四丁基铵、氟化胆碱及其混合物。
7.根据权利要求1所述的组合物,其中含氟化物离子源包括氟硼酸。
8.根据权利要求1所述的组合物,其中存在季铵化合物,并且其选自氢氧化四甲基铵、氢氧化四乙基铵、氢氧化四丙基铵、氢氧化四丁基铵、氢氧化三甲基乙基铵、氢氧化(2-羟乙基)三甲基铵、氢氧化(2-羟乙基)三乙基铵、氢氧化(2-羟乙基)三丙基铵、氢氧化(1-羟丙基)三甲基铵及其混合物。
9.根据权利要求1所述的组合物,其中存在胺。
10.根据权利要求1所述的组合物,其还包括磺酸或其盐。
11.一种从包含电介质材料的基底上除去包括BARC的残留物的方法,其包括:将基底与如权利要求1所述的组合物接触。
CN200610107693XA 2005-06-23 2006-06-23 除残留物的含有阳离子盐的组合物及其使用方法 Expired - Fee Related CN1904016B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US69320505P 2005-06-23 2005-06-23
US60/693205 2005-06-23
US11/452290 2006-06-14
US11/452,290 US7700533B2 (en) 2005-06-23 2006-06-14 Composition for removal of residue comprising cationic salts and methods using same

Publications (2)

Publication Number Publication Date
CN1904016A CN1904016A (zh) 2007-01-31
CN1904016B true CN1904016B (zh) 2010-10-27

Family

ID=36954311

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200610107693XA Expired - Fee Related CN1904016B (zh) 2005-06-23 2006-06-23 除残留物的含有阳离子盐的组合物及其使用方法

Country Status (8)

Country Link
US (1) US7700533B2 (zh)
EP (1) EP1736534A1 (zh)
JP (1) JP2007016232A (zh)
KR (1) KR100844051B1 (zh)
CN (1) CN1904016B (zh)
IL (1) IL176489A0 (zh)
SG (1) SG128635A1 (zh)
TW (1) TWI338199B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11845917B2 (en) 2018-12-21 2023-12-19 Entegris, Inc. Compositions and methods for post-CMP cleaning of cobalt substrates

Families Citing this family (106)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
MY143399A (en) * 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
US8030263B2 (en) * 2004-07-01 2011-10-04 Air Products And Chemicals, Inc. Composition for stripping and cleaning and use thereof
JP4988165B2 (ja) * 2005-03-11 2012-08-01 関東化学株式会社 フォトレジスト剥離液組成物及びフォトレジストの剥離方法
TWI282363B (en) * 2005-05-19 2007-06-11 Epoch Material Co Ltd Aqueous cleaning composition for semiconductor copper processing
DE102005041533B3 (de) * 2005-08-31 2007-02-08 Atotech Deutschland Gmbh Lösung und Verfahren zum Entfernen von ionischen Verunreinigungen von einem Werkstück
WO2007045269A1 (en) * 2005-10-21 2007-04-26 Freescale Semiconductor, Inc. Method for cleaning a semiconductor structure and chemistry thereof
US7632796B2 (en) * 2005-10-28 2009-12-15 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
US8263539B2 (en) 2005-10-28 2012-09-11 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and methods for its use
US9329486B2 (en) 2005-10-28 2016-05-03 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
CA2527144C (en) * 2005-11-15 2014-04-29 Queen's University At Kingston Reversibly switchable surfactants and methods of use thereof
KR101152139B1 (ko) * 2005-12-06 2012-06-15 삼성전자주식회사 표시 장치용 세정제 및 이를 사용하는 박막 트랜지스터표시판의 제조 방법
US7674755B2 (en) * 2005-12-22 2010-03-09 Air Products And Chemicals, Inc. Formulation for removal of photoresist, etch residue and BARC
DE102006006022A1 (de) * 2006-02-08 2007-08-09 Clariant International Limited Verfahren zur Reinigung von Metallteilen
US7947637B2 (en) * 2006-06-30 2011-05-24 Fujifilm Electronic Materials, U.S.A., Inc. Cleaning formulation for removing residues on surfaces
US20080139436A1 (en) * 2006-09-18 2008-06-12 Chris Reid Two step cleaning process to remove resist, etch residue, and copper oxide from substrates having copper and low-K dielectric material
US20080096785A1 (en) * 2006-10-19 2008-04-24 Air Products And Chemicals, Inc. Stripper Containing an Acetal or Ketal for Removing Post-Etched Photo-Resist, Etch Polymer and Residue
US9196270B1 (en) 2006-12-07 2015-11-24 Western Digital (Fremont), Llc Method for providing a magnetoresistive element having small critical dimensions
WO2008090418A1 (en) * 2007-01-22 2008-07-31 Freescale Semiconductor, Inc. Liquid cleaning composition and method for cleaning semiconductor devices
EP1965418A1 (en) * 2007-03-02 2008-09-03 Air Products and Chemicals, Inc. Formulation for removal of photoresist, etch residue and barc
JP2008218867A (ja) * 2007-03-07 2008-09-18 Elpida Memory Inc 半導体装置の製造方法
CN101280158A (zh) * 2007-04-06 2008-10-08 安集微电子(上海)有限公司 多晶硅化学机械抛光液
CN101286016A (zh) * 2007-04-13 2008-10-15 安集微电子(上海)有限公司 低蚀刻性光刻胶清洗剂
TWI437093B (zh) * 2007-08-03 2014-05-11 Epoch Material Co Ltd 半導體銅製程用水相清洗組合物
US8551682B2 (en) 2007-08-15 2013-10-08 Dynaloy, Llc Metal conservation with stripper solutions containing resorcinol
WO2009044647A1 (ja) * 2007-10-04 2009-04-09 Mitsubishi Gas Chemical Company, Inc. シリコンエッチング液およびエッチング方法
KR100927448B1 (ko) * 2007-10-16 2009-11-19 금호석유화학 주식회사 포토레지스트 현상액
US8357646B2 (en) 2008-03-07 2013-01-22 Air Products And Chemicals, Inc. Stripper for dry film removal
US7687447B2 (en) 2008-03-13 2010-03-30 Air Products And Chemicals, Inc. Semi-aqueous stripping and cleaning composition containing aminobenzenesulfonic acid
US20090229629A1 (en) * 2008-03-14 2009-09-17 Air Products And Chemicals, Inc. Stripper For Copper/Low k BEOL Clean
US8316527B2 (en) * 2008-04-01 2012-11-27 Western Digital (Fremont), Llc Method for providing at least one magnetoresistive device
TWI450052B (zh) 2008-06-24 2014-08-21 Dynaloy Llc 用於後段製程操作有效之剝離溶液
US8349195B1 (en) 2008-06-27 2013-01-08 Western Digital (Fremont), Llc Method and system for providing a magnetoresistive structure using undercut free mask
CA2740027A1 (en) * 2008-10-09 2010-04-15 Avantor Performance Materials, Inc. Aqueous acidic formulations for copper oxide etch residue removal and prevention of copper electrodeposition
US8361237B2 (en) * 2008-12-17 2013-01-29 Air Products And Chemicals, Inc. Wet clean compositions for CoWP and porous dielectrics
US9601349B2 (en) * 2009-02-17 2017-03-21 Macronix International Co., Ltd. Etching method
US8614053B2 (en) 2009-03-27 2013-12-24 Eastman Chemical Company Processess and compositions for removing substances from substrates
US8444768B2 (en) 2009-03-27 2013-05-21 Eastman Chemical Company Compositions and methods for removing organic substances
US8309502B2 (en) * 2009-03-27 2012-11-13 Eastman Chemical Company Compositions and methods for removing organic substances
CN101955852A (zh) * 2009-07-13 2011-01-26 安集微电子(上海)有限公司 一种等离子刻蚀残留物清洗液
US8110535B2 (en) * 2009-08-05 2012-02-07 Air Products And Chemicals, Inc. Semi-aqueous stripping and cleaning formulation for metal substrate and methods for using same
WO2011019189A2 (ko) * 2009-08-11 2011-02-17 동우 화인켐 주식회사 레지스트 박리액 조성물 및 이를 이용한 레지스트의 박리방법
WO2011027772A1 (ja) * 2009-09-02 2011-03-10 和光純薬工業株式会社 半導体表面用処理剤組成物及びそれを用いた半導体表面の処理方法
CA2683660C (en) 2009-10-28 2017-07-04 Queen's University At Kingston Switchable hydrophilicity solvents and methods of use thereof
CN102051281B (zh) * 2009-10-30 2013-10-02 安集微电子(上海)有限公司 一种含氟组合液
EP2526156A1 (en) * 2010-01-21 2012-11-28 Sun Chemical Corporation Low-voc solvent systems
SG10201501027XA (en) 2010-02-10 2015-04-29 Univ Kingston Water with Switchable Ionic Strength
TWI539493B (zh) 2010-03-08 2016-06-21 黛納羅伊有限責任公司 用於摻雜具有分子單層之矽基材之方法及組合物
JP2012021151A (ja) * 2010-06-16 2012-02-02 Sanyo Chem Ind Ltd 銅配線半導体用洗浄剤
US20120073607A1 (en) * 2010-09-27 2012-03-29 Eastman Chemical Company Polymeric or monomeric compositions comprising at least one mono-amide and/or at least one diamide for removing substances from substrates and methods for using the same
CN102478763A (zh) * 2010-11-30 2012-05-30 中芯国际集成电路制造(上海)有限公司 光刻方法
CN102569075B (zh) * 2010-12-15 2015-09-09 无锡华润上华半导体有限公司 Ldmos器件及其制造方法
BR112013014972B1 (pt) 2010-12-15 2020-12-29 Queen's University At Kingston método para remover um soluto da solução aquosa ou para concentrar a solução aquosa diluida através da modulação da força iônica de uma solução aquosa
US8449681B2 (en) * 2010-12-16 2013-05-28 Intermolecular, Inc. Composition and method for removing photoresist and bottom anti-reflective coating for a semiconductor substrate
KR101983202B1 (ko) * 2011-06-01 2019-05-28 아반토 퍼포먼스 머티리얼즈, 엘엘씨 구리, 텅스텐, 및 다공성의 유전 상수 κ가 낮은 유전체들에 대한 양립성이 향상된 반수성 중합체 제거 조성물
US8518832B1 (en) 2011-06-27 2013-08-27 Western Digital (Fremont), Llc Process for masking and removal of residue from complex shapes
JP2013032473A (ja) * 2011-07-29 2013-02-14 Mitsuhiro Kawada 水系洗浄剤
EP2557147B1 (en) * 2011-08-09 2015-04-01 Basf Se Aqueous alkaline compositions and method for treating the surface of silicon substrates
US20130045908A1 (en) * 2011-08-15 2013-02-21 Hua Cui Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
US8530356B2 (en) 2011-10-07 2013-09-10 Applied Materials, Inc. Method of BARC removal in semiconductor device manufacturing
JP5839226B2 (ja) * 2011-11-08 2016-01-06 ナガセケムテックス株式会社 レジスト残渣除去組成物
WO2013074907A1 (en) 2011-11-17 2013-05-23 Air Products And Chemicals, Inc. Processes, products, and compositions having tetraalkylguanidine salt of aromatic carboxylic acid
DE102011088885A1 (de) * 2011-12-16 2013-06-20 Wacker Chemie Ag Siliconlöser
US8703397B1 (en) 2012-03-29 2014-04-22 Western Digital (Fremont), Llc Method for providing side shields for a magnetic recording transducer
CN102703256B (zh) * 2012-06-15 2013-10-16 东莞优诺电子焊接材料有限公司 多功能水基清洗剂
CN103668210A (zh) * 2012-09-11 2014-03-26 中芯国际集成电路制造(上海)有限公司 选择性晶体硅刻蚀液、晶圆硅片的刻蚀方法及其应用
US9536730B2 (en) 2012-10-23 2017-01-03 Air Products And Chemicals, Inc. Cleaning formulations
US9158202B2 (en) 2012-11-21 2015-10-13 Dynaloy, Llc Process and composition for removing substances from substrates
US9245751B2 (en) 2013-03-12 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Anti-reflective layer and method
US9256128B2 (en) * 2013-03-12 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing semiconductor device
US9543147B2 (en) 2013-03-12 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist and method of manufacture
US9502231B2 (en) 2013-03-12 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist layer and method
US9460934B2 (en) 2013-03-15 2016-10-04 Globalfoundries Inc. Wet strip process for an antireflective coating layer
KR101420571B1 (ko) * 2013-07-05 2014-07-16 주식회사 동진쎄미켐 드라이필름 레지스트 박리제 조성물 및 이를 이용한 드라이필름 레지스트의 제거방법
CN103450866B (zh) * 2013-09-06 2015-11-25 中国海洋石油总公司 一种高温二氧化碳缓蚀剂
US9150759B2 (en) * 2013-09-27 2015-10-06 Rohm And Haas Electronic Materials Cmp Holdings, Inc Chemical mechanical polishing composition for polishing silicon wafers and related methods
US9834746B2 (en) 2013-10-21 2017-12-05 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulations for removing residues on surfaces
TWI642777B (zh) * 2013-11-08 2018-12-01 日商富士軟片和光純藥股份有限公司 半導體基板用洗淨劑及半導體基板表面之處理方法
KR102573354B1 (ko) 2013-12-06 2023-08-30 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 표면 잔류물 제거용 세정 제형
CA2938974C (en) 2014-02-07 2023-08-22 Gojo Industries, Inc. Compositions and methods with efficacy against spores and other organisms
US9578879B1 (en) 2014-02-07 2017-02-28 Gojo Industries, Inc. Compositions and methods having improved efficacy against spores and other organisms
US9001467B1 (en) 2014-03-05 2015-04-07 Western Digital (Fremont), Llc Method for fabricating side shields in a magnetic writer
WO2015188833A1 (en) * 2014-06-10 2015-12-17 Danmarks Tekniske Universitet A solid windshield washer composition and the use thereof
US9580672B2 (en) * 2014-09-26 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning composition and method for semiconductor device fabrication
JP6486652B2 (ja) * 2014-10-31 2019-03-20 東京応化工業株式会社 リソグラフィー用洗浄液、及び基板の洗浄方法
KR102347656B1 (ko) * 2014-12-11 2022-01-07 동우 화인켐 주식회사 포토레지스트 애싱 후 잔류물 제거를 위한 세정제 조성물
EP3245668B1 (en) * 2015-01-13 2021-06-30 CMC Materials, Inc. Cleaning composition and method for cleaning semiconductor wafers after cmp
SG11201707787SA (en) * 2015-03-31 2017-10-30 Versum Mat Us Llc Cleaning formulations
TWI819694B (zh) * 2015-07-14 2023-10-21 美商富士軟片電子材料美國股份有限公司 清潔組成物及其使用方法
CN106919011B (zh) * 2015-12-25 2021-12-17 安集微电子科技(上海)股份有限公司 一种富含水的羟胺剥离清洗液
KR102230999B1 (ko) 2016-02-10 2021-03-22 후루카와 덴키 고교 가부시키가이샤 표면 처리 동박 및 이것을 이용하여 제조되는 동 클래드 적층판
CN107526255A (zh) * 2016-06-15 2017-12-29 东友精细化工有限公司 抗蚀剂剥离液组合物
WO2018075409A2 (en) * 2016-10-17 2018-04-26 Cabot Microelectronics Corporation Cmp compositions selective for oxide and nitride with improved dishing and pattern selectivity
CN108255027B (zh) * 2016-12-28 2024-04-12 安集微电子(上海)有限公司 一种光刻胶清洗液
US20180371292A1 (en) * 2017-06-21 2018-12-27 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Buffered cmp polishing solution
US10961487B2 (en) * 2017-11-30 2021-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device cleaning solution, method of use, and method of manufacture
JP7244519B2 (ja) * 2017-12-08 2023-03-22 ヘンケル・アクチェンゲゼルシャフト・ウント・コムパニー・コマンディットゲゼルシャフト・アウフ・アクチェン フォトレジスト剥離組成物
CN109976108A (zh) * 2017-12-27 2019-07-05 安集微电子(上海)有限公司 一种用于半导体的清洗液
CN111512239B (zh) * 2018-01-25 2024-05-03 默克专利股份有限公司 光致抗蚀剂去除剂组合物
SG11202004421WA (en) * 2018-01-25 2020-06-29 Merck Patent Gmbh Photoresist remover compositions
CN111902379B (zh) 2018-03-28 2023-02-17 富士胶片电子材料美国有限公司 清洗组合物
KR102405559B1 (ko) * 2018-03-30 2022-06-07 후지필름 가부시키가이샤 처리액
EP3824059A4 (en) * 2018-07-20 2022-04-27 Entegris, Inc. CORROSION INHIBITOR CLEANING COMPOSITION
TWI821455B (zh) * 2018-12-10 2023-11-11 美商恩特葛瑞斯股份有限公司 化學機械研磨後清潔組合物
JP6858209B2 (ja) * 2019-02-20 2021-04-14 東京応化工業株式会社 リソグラフィー用洗浄液、及び基板の洗浄方法
TWI749964B (zh) * 2020-12-24 2021-12-11 達興材料股份有限公司 鹼性清洗組合物、清洗方法和半導體製造方法
CN114654869B (zh) * 2022-02-28 2023-12-01 上海众泰辊业有限公司 一种腐蚀与雕刻结合的烫金版工艺

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3673099A (en) 1970-10-19 1972-06-27 Bell Telephone Labor Inc Process and composition for stripping cured resins from substrates
US4268406A (en) * 1980-02-19 1981-05-19 The Procter & Gamble Company Liquid detergent composition
JPH01108746A (ja) * 1987-10-21 1989-04-26 Toshiba Corp 半導体装置の製造方法
US5468423A (en) 1992-02-07 1995-11-21 The Clorox Company Reduced residue hard surface cleaner
US5466389A (en) 1994-04-20 1995-11-14 J. T. Baker Inc. PH adjusted nonionic surfactant-containing alkaline cleaner composition for cleaning microelectronics substrates
US5741432A (en) 1995-01-17 1998-04-21 The Dexter Corporation Stabilized nitric acid compositions
US5854309A (en) * 1996-09-30 1998-12-29 Blount; David H. Flame retardant compositions utilizing amino condensation compounds
DE19801049A1 (de) 1998-01-14 1999-07-15 Clariant Gmbh Verwendung von Formamidinium-Salzen als Bleichaktivatoren
KR101005304B1 (ko) 2002-03-25 2011-01-05 롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스 인코포레이티드 탄탈 배리어 제거 용액
US20030235775A1 (en) 2002-06-13 2003-12-25 Munirathna Padmanaban Photoresist composition for deep ultraviolet lithography comprising a mixture of photoactive compounds
JP2004031443A (ja) 2002-06-21 2004-01-29 Hitachi Chem Co Ltd 研磨液及び研磨方法
JP2004101849A (ja) * 2002-09-09 2004-04-02 Mitsubishi Gas Chem Co Inc 洗浄剤組成物
EP1562225A4 (en) 2002-11-08 2007-04-18 Wako Pure Chem Ind Ltd CLEANING COMPOSITION AND METHOD FOR CLEANING WITH THE COMPOSITION
EP1648991B1 (en) 2003-06-27 2007-10-17 Interuniversitair Microelektronica Centrum ( Imec) Semiconductor cleaning solution
US7030201B2 (en) 2003-11-26 2006-04-18 Az Electronic Materials Usa Corp. Bottom antireflective coatings
US20060003910A1 (en) * 2004-06-15 2006-01-05 Hsu Jiun Y Composition and method comprising same for removing residue from a substrate
US8030263B2 (en) * 2004-07-01 2011-10-04 Air Products And Chemicals, Inc. Composition for stripping and cleaning and use thereof
US7682458B2 (en) * 2005-02-03 2010-03-23 Air Products And Chemicals, Inc. Aqueous based residue removers comprising fluoride

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11845917B2 (en) 2018-12-21 2023-12-19 Entegris, Inc. Compositions and methods for post-CMP cleaning of cobalt substrates

Also Published As

Publication number Publication date
KR100844051B1 (ko) 2008-07-07
US7700533B2 (en) 2010-04-20
TW200700938A (en) 2007-01-01
IL176489A0 (en) 2006-10-05
TWI338199B (en) 2011-03-01
CN1904016A (zh) 2007-01-31
KR20060134868A (ko) 2006-12-28
EP1736534A1 (en) 2006-12-27
SG128635A1 (en) 2007-06-30
US20060293208A1 (en) 2006-12-28
JP2007016232A (ja) 2007-01-25

Similar Documents

Publication Publication Date Title
CN1904016B (zh) 除残留物的含有阳离子盐的组合物及其使用方法
EP1813667B1 (en) Cleaning formulations
EP1619557B1 (en) Composition for removing photoresist and/or etching residue from a substrate and use thereof
TWI626305B (zh) 清潔配方
KR100786610B1 (ko) 플루오라이드를 포함하는 수계 잔류물 제거제
TW575783B (en) Sulfoxide pyrolid(in)one alkanolamine cleaner composition
KR100503702B1 (ko) 포토레지스트용 박리액 및 이를 사용한 포토레지스트박리방법
JP4725905B2 (ja) フォトレジスト剥離剤組成物及びフォトレジスト剥離方法
JP2005528660A (ja) 半導体プロセス残留物除去組成物および方法
EP1610185A2 (en) Composition and method using same for removing residue from a substrate
KR20030035827A (ko) 포토레지스트용 박리액 및 이를 사용한 포토레지스트의박리방법
JP2006146272A (ja) 非腐食性のストリッピングおよびクリーニング組成物
CN1938647A (zh) 用于蚀刻后去除基片上沉积的光致抗蚀剂和/或牺牲性抗反射物质的组合物和方法
EP3599633B1 (en) Post etch residue cleaning compositions and methods of using the same
JP2002540220A (ja) 非腐食性洗浄組成物およびプラズマエッチング残留物を除去するための方法
EP3986997A1 (en) Cleaning composition for semiconductor substrates
JP2006152303A (ja) 残留物を除去するための組成物及び方法
JP2007027382A (ja) 基板洗浄液
JP2007311729A (ja) 基板洗浄液
CN113430065B (zh) 抗反射涂层清洗及刻蚀后残留物去除组合物、制备方法及用途
KR102321217B1 (ko) 에칭 후 잔여물 세정 조성물 및 이의 사용 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20170621

Address after: Arizona, USA

Patentee after: Versum Materials US, LLC

Address before: American Pennsylvania

Patentee before: Air Products and Chemicals, Inc.

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20101027

Termination date: 20210623