KR100786610B1 - 플루오라이드를 포함하는 수계 잔류물 제거제 - Google Patents

플루오라이드를 포함하는 수계 잔류물 제거제 Download PDF

Info

Publication number
KR100786610B1
KR100786610B1 KR1020060009456A KR20060009456A KR100786610B1 KR 100786610 B1 KR100786610 B1 KR 100786610B1 KR 1020060009456 A KR1020060009456 A KR 1020060009456A KR 20060009456 A KR20060009456 A KR 20060009456A KR 100786610 B1 KR100786610 B1 KR 100786610B1
Authority
KR
South Korea
Prior art keywords
acid
composition
fluoride
buffer solution
substrate
Prior art date
Application number
KR1020060009456A
Other languages
English (en)
Other versions
KR20060089142A (ko
Inventor
로비토 로베르토 존
아이핑 우
Original Assignee
에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/050,562 external-priority patent/US7682458B2/en
Application filed by 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 filed Critical 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Publication of KR20060089142A publication Critical patent/KR20060089142A/ko
Application granted granted Critical
Publication of KR100786610B1 publication Critical patent/KR100786610B1/ko

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23BTURNING; BORING
    • B23B51/00Tools for drilling machines
    • B23B51/02Twist drills
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/042Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/24Organic compounds containing halogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/28Heterocyclic compounds containing nitrogen in the ring
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23BTURNING; BORING
    • B23B2251/00Details of tools for drilling machines
    • B23B2251/04Angles, e.g. cutting angles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23BTURNING; BORING
    • B23B51/00Tools for drilling machines
    • B23B51/011Micro drills
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/0011Working of insulating substrates or insulating layers
    • H05K3/0044Mechanical working of the substrate, e.g. drilling or punching
    • H05K3/0047Drilling of holes

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • General Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

본 발명은 잔류물, 예컨대 애싱된 포토레지스트 및/또는 처리 잔류물을 선별적으로 제거하기 위한 조성물 및 이 조성물을 포함하는 방법에 관한 것이다. 하나의 구체예에서, 잔류물을 제거하기 위한 조성물이 제공되고, 이때 조성물은 약 2 내지 약 9의 pH 범위를 갖고, 유기산 및 이 유기산의 짝염기를 10:1 내지 1:10의 범위인 산:염기의 몰비로 포함하는 완충 용액, 플루오라이드, 및 물을 포함하며, 단 조성물에는 첨가된 유기 용매가 실질적으로 없다. 또다른 구체예에서, 조성물은 부식 억제제 및/또는 계면활성제를 더 포함할 수 있다.

Description

플루오라이드를 포함하는 수계 잔류물 제거제{AQUEOUS BASED RESIDUE REMOVERS COMPRISING FLUORIDE}
삭제
삭제
배경기술
미소전자 구조물의 제조에는 다수의 단계가 포함된다. 집적 회로 제작의 제조 계획에서, 반도체의 상이한 표면들의 선별적 에칭(etching)이 종종 요구된다. 역사적으로, 물질을 선별적으로 제거하기 위하여, 광범위하게 다양한 유형의 에칭 방법이 다양한 정도로 성공적으로 사용되어 왔다. 더욱이, 미소전자 구조물 내에서 상이한 층들의 선별적 에칭은 집적 회로 제조 공정에서 중요한 단계로 여겨진다.
반도체 및 반도체 미소회로의 제조에 있어서, 기판 재료를 중합성 유기 물질로 피복하는 것이 종종 요구된다. 기판 재료의 일부 예로서 알루미늄, 티타늄, 구리, 금속 원소인 알루미늄, 티타늄, 또는 구리 등을 임의로 갖는 이산화규소로 피복된 규소 웨이퍼를 들 수 있다. 통상적으로, 중합성 유기 물질은 포토레지스트 재 료이다. 이 재료는 빛에 노출된 후 현상시에 에칭 마스크(etch mask)를 형성하는 재료이다. 잇따른 처리 단계에서, 포토레지스트 중 적어도 일부는 기판 표면으로부터 제거된다. 기판으로부터 포토레지스트를 제거하는 하나의 일반적인 방법은 습식 화학적 방법이다. 기판으로부터 포토레지스트를 제거하도록 제형화된 습식 화학 조성물은 임의의 금속 회로의 표면을 부식, 용해, 및/또는 둔화시키지 않고; 무기 기판을 화학적으로 변형시키지 않고/않거나; 기판 자체를 공격하지 않고 이를 제거해야 한다. 포토레지스트를 제거하는 또다른 방법은 건식 애싱 방법으로서, 이때 포토레지스트는 산소 또는 수소와 같은 포밍 가스(forming gas)를 사용하는 플라즈마 애싱(ashing)에 의해 제거된다. 잔류물 또는 부산물은 포토레지스트 자체이거나, 포토레지스트, 하부의 기판 및/또는 에칭 기체의 조합물일 것이다. 이들 잔류물 또는 부산물은 종종 측벽 중합체(sidewall polymer), 베일(veil) 또는 펜스(fence)로서 언급된다.
많은 경우에 있어서, 플라즈마 애싱 방법은 잔류물 또는 부산물을 남기게 된다. 반응성 이온 에칭(RIE)은 바이아(via), 금속 배선 및 트렌치 형성시 패턴 전사(pattern transfer)를 위해 점차 선택적으로 사용되고 있는 방법이다. 예를 들어, RIE는 라인 인터커넥트 배선의 후단의 다중층을 필요로 하는 복합 반도체 장치, 예컨대, 진보된 DRAMS 및 마이크로프로세서에서, 바이어스, 금속 배선 및 트렌치 구조물의 제조를 위하여 사용된다. 중간층 유전체를 통해 바이어스가 사용되어, 규소, 규화물 또는 금속 배선의 한 레벨과 및 배선의 다음 레벨 사이에 접촉부를 제공한다. 금속 배선 구조물의 형성에서는 트렌치 구조물이 사용된다. 바이어스, 금 속 배선 및 트렌치 구조물은 통상적으로 금속 및 합금, 예컨대 Al, Al 및 Cu 합금, Cu, Ti, TiN, Ta, TaN, W, TiW, 규소 또는 규화물, 예컨대 텅스텐의 규화물, 티타늄의 규화물, 또는 코발트의 규화물을 노출시킨다. RIE 방법은 재-스퍼터링된 산화물 재료, 포토레지스트 유래의 유기 재료, 및/또는 바이어스, 금속 배선 및/또는 트렌치 구조물을 리토그래피로 구획하기 위해 사용되는 반사방지 피복 재료를 포함할 수 있는 복합 혼합물 또는 잔류물을 통상적으로 남기게 된다.
따라서, 잔류물, 예컨대 잔류하는 포토레지스트 및/또는 처리 잔류물, 예컨대 플라즈마 및/또는 RIE를 사용하는 선별적 에칭으로부터 발생하는 잔류물을 제거할 수 있는 선별적 세정 조성물 및 세정 방법을 제공하는 것이 바람직하다. 더욱이, 잔류물, 예컨대 포토레지스트 및 에칭 잔류물을 제거할 수 있는 선별적 세정 조성물 및 세정 방법으로서, 금속, 고 유전 상수 재료("고-k"로서 언급됨), 규소, 규화물 및/또는 저 유전 상수 재료("저-k"로서 언급됨)를 포함하는 중간 유전 재료, 예컨대 세정 조성물에 또한 노출될 수 있는 증착된 산화물에 비해 잔류물에 대해 높은 선별성을 보여주는 선별적 세정 조성물 및 세정 방법을 제공하는 것이 바람직하다. 민감성 저-k 필름, 예컨대 HSQ, MSQ, FOx, 블랙 다이아몬드 및 TEOS(테트라에틸실리케이트)와 친화성이고, 이들과 함께 사용될 수 있는 조성물을 제공하는 것이 바람직하다.
본원에서 개시되어 있는 조성물은 이 조성물에 또한 노출될 수 있는, 금속, 저-k 유전 재료, 및/또는 고-k 유전 재료를 바람직하지 않은 정도까지 공격하지 않 고 기판으로부터 처리 잔류물과 같은 잔류물을 선별적으로 제거할 수 있다. 하나의 구체예에서, 잔류물을 제거하기 위한 조성물이 제공되고, 이때 이 조성물은 약 2 내지 약 9의 pH 범위를 갖고, 유기산 및 이 유기산의 짝염기를 10:1 내지 1:10의 범위인 산:염기의 몰비로 포함하는 완충 용액, 불소, 및 물을 포함하며, 단 조성물에는 첨가된 유기 용매가 실질적으로 없다. 또다른 구체예에서, 조성물은 부식 억제제 및/또는 계면활성제를 더 포함할 수 있다.
또한, 본원에서는 물품을 전술한 조성물과 접촉시키는 것을 포함하여, 애싱된 포토레지스트 및/또는 처리 잔류물을 포함하는 잔류물을 패턴화된 기판으로부터 제거하는 방법이 개시되어 있다.
본원에서는 잔류물, 예컨대 애싱된 포토레지스트 및/또는 처리 잔류물을 선별적으로 제거하기 위한 조성물 및 이 조성물을 포함하는 방법이 개시되어 있다. 미소전자 장치에 유용한 기판과 같은 물품과 관련된 세정 방법에 있어서, 제거되는 통상적인 오염물은, 예를 들어, 유기 화합물, 예컨대 노출되고 애싱된 포토레지스트 재료, 애싱된 포토레지스트 잔류물, UV- 또는 X-선-경화 포토레지스트, C-F-함유 중합체, 저분자량 및 고분자량 중합체, 및 기타 유기 에칭 잔류물; 무기 화합물, 예컨대 금속 산화물, 화학기계적 평탄화(CMP) 슬러리 유래의 세라믹 입자; 금속 함유 화합물, 예컨대 유기금속 잔류물 및 금속 유기 화합물; 이온성 및 중성의, 가벼운 무기(금속) 종 및 무거운 무기(금속)종, 수분, 및 평탄화 및 에칭 공정과 같은 처리에 의해 발생한 입자를 포함하는 불용성 재료를 포함한다. 하나의 특정 실시양태에서, 제거된 잔류물은 처리 잔류물, 예컨대 반응성 이온 에칭에 의해 발생한 처리 잔류물이다.
더욱이, 애싱된 포토레지스트 및/또는 처리 잔류물은 금속, 규소, 실리케이트 및/또는 중간 유전 재료, 예컨대 증착된 산화규소 및 유도체화된 산화규소, 예컨대 HSQ, MSQ, FOX, TEOS 및 Spin-On Glass, 및/또는 고-k 재료, 예컨대 하프늄 실리케이트, 하프늄 옥시드, 바륨 스트론튬 티타늄(BST), Ta2O5 및 TiO2를 또한 포함하는 물품 내에 통상적으로 존재하게 되고, 이때 포토레지스트 및/또는 잔류물 및 금속, 규소, 규화물, 중간 유전 재료 및/또는 고-k 재료 모두는 세정 조성물과 접촉하게 된다. 또한, 본원에서 개시되어 있는 조성물은 산화규소와 같은 특정 유전 재료에 대해 최소의 에칭 속도를 나타낼 것이다. 본원에서 개시되어 있는 조성물 및 방법은 금속, 규소, 이산화규소, 중간 유전 재료, 및/또는 저-k 재료를 유의하게 공격하지 않으면서 잔류물을 선별적으로 제거시킨다. 하나의 실시양태에서, 본원에서 개시되어 있는 조성물은 민감성 저 k-필름을 함유하는 구조물에 적절할 것이다. 특정 실시양태에서, 기판은 금속, 예컨대 비제한적인 예로서 구리, 구리 합금, 티타늄, 질화티타늄, 탄탈, 질화탄탈, 텅스텐, 및 티타늄/텅스텐을 함유할 수 있다.
본원에서 개시되어 있는 조성물은 완충 용액, 플루오라이드, 및 물을 포함한다. 특정 실시양태에서, 조성물에는 첨가된 유기 용매가 실질적으로 없거나 2 중량% 이하, 또는 1 중량% 이하의 첨가된 유기 용매를 함유한다. 특정 실시양태에서, 조성물은 약 2 내지 약 9의 범위인 pH로 조절되고, 부식 억제제 및 애싱된 포토레 지스트 및/또는 처리 잔류물의 제거를 위한 조성물에서 통상적으로 사용되는 기타 첨가제를 포함한다. 하나의 특정 실시양태에서, 조성물은 2 내지 9의 pH 범위를 갖는 조성물을 수득하는 데 필요한 양의 완충 용액; 80 중량% 이상의 물; 0.001 중량% 내지 10 중량%의 플루오라이드; 및 15 중량% 이하의 임의의 부식 억제제로 이루어진다.
전술한 바와 같이, 본원에서 기재되어 있는 조성물은 완충 용액을 포함한다. 본원에서 사용되는 바와 같이, "완충 용액"이라는 용어는 조성물에 소량의 산 또는 염기를 첨가함에 의해 pH 변화를 방지하는 용액을 의미한다. 본원에서 개시되어 있는 조성물에 첨가시, 완충 용액은 pH가 조절된 완충 조성물을 제공하여, 민감성 금속, 예컨대 텅스텐, 구리, 티타늄 등의 부식을 최소화한다. 완충 용액은 조성물에 대한 소정의 pH 범위를 수득하기 위해 필요한 양으로 첨가된다. 본원에서 개시되어 있는 조성물에 대한 완충 용액의 첨가는 물에 의한 희석 또는 염기나 산에 의한 오염으로 인한 pH 변화를 방지한다.
조성물 내에서 이러한 완충 효과를 제공하기 위한, 완충 용액 중 산:이의 짝염기의 몰비는 10:1 내지 1:10의 범위이거나, 실질적으로 1:1(즉, 동일 몰 농도)이다. 완충 용액의 몰비는 조성물의 소정의 pH 범위를 달성하도록 필요한 만큼 조절된다. 완충제는 통상적으로 약산으로 여겨지고, 산 또는 염기에 대한 가장 넓은 완충 범위는 약산 기의 pKa의 ± 약 1 pH 단위이다. 소정의 pH 범위를 위해 적절한 pKa로 산 및 이 산의 짝염기(또는 특정 실시양태에서는 양성자화된 염기)의 산:염기 의 몰비가 10:1 내지 1:10 또는 실질적으로 1:1이 되도록 함에 의해 완충을 위한 pH의 조절을 달성할 수 있다.
완충 용액은 유기산 및 이의 짝염기를 함유한다. 대표적 유기산은 아세트산, 인산, 및 벤조산을 포함한다. 특정 실시양태에서, 완충 용액 중 유기산은 조성물 내에서 부식 억제제 및/또는 킬레이트제로서도 존재할 수 있다. 대표적 짝염기는 암모늄 염 및 아민 염을 포함한다. 짝염기의 추가의 예는 히드록실아민, 유기 아민, 예컨대 1차, 2차 또는 3차 지방족 아민, 비고리형 아민, 방향족 아민 및 복소환 아민, 수성 암모니아, 및 저급 알킬 4차 암모늄 히드록시드를 포함한다. 히드록실아민의 구체예는 히드록실아민(NH2OH), N-메틸히드록실아민, N,N-디메틸히드록실아민 및 N,N-디에틸히드록실아민을 포함한다. 1차 지방족 아민의 구체예는 모노에탄올아민, 에틸렌디아민 및 2-(2-아미노에틸아미노)에탄올을 포함한다. 2차 지방족 아민의 구체예는 디에탄올아민, N-메틸아미노에탄올, 디프로필아민 및 2-에틸아미노에탄올을 포함한다. 3차 지방족 아민의 구체예는 디메틸아미노에탄올 및 에틸디에탄올아민을 포함한다. 비고리형 아민의 구체예는 시클로헥실아민 및 디시클로헥실아민을 포함한다. 방향족 아민의 구체예는 벤질아민, 디벤질아민 및 N-메틸벤질아민을 포함한다. 복소환 아민의 구체예는 피롤, 피롤리딘, 피롤리돈, 피리딘, 모르폴린, 피라진, 피페리딘, N-히드록시에틸피페리딘, 옥사졸 및 타아졸을 포함한다. 저급 알킬 4차 암모늄 염의 구체예는 테트라메틸암모늄 히드록시드(TMAH), 테트라에틸암모늄 히드록시드, 테트라프로필암모늄 히드록시드, 트리메틸에틸암모늄 히드록시드, (2-히드록시에틸)트리메틸암모늄 히드록시드, (2-히드록시에틸)트리에 틸암모늄 히드록시드, (2-히드록시에틸)트리프로필암모늄 히드록시드 및 (1-히드록시프로필)트리에틸암모늄 히드록시드를 포함한다. 이들 염기 중, 수성 암모니아, 모노에탄올아민, N-메틸아미노에탄올, 테트라메틸암모늄 히드록시드 및 (2-히드록시에틸)트리메틸암모늄 히드록시드가 이용가능성 및 안전성 측면에서 바람직하다. 이들 짝염기는 단독으로 또는 서로 조합하여 사용될 수 있다.
대표적 완충 용액은 아세트산/아세테이트 염, 벤조산/벤조에이트 염, 및 페놀산/페놀레이트 염을 포함할 수 있다. 하나의 실시양태에서, 완충 용액은 암모늄 아세테이트 및 아세트산의 수용액이다. 이 특정 실시양태에서, 조성물에 첨가되는 암모늄 아세테이트의 양은 약 1 중량% 내지 약 10 중량% 이거나 약 2 중량% 내지 약 8 중량%이고; 조성물에 첨가되는 아세트산의 양은 약 0.1 중량% 내지 약 10 중량% 이거나 약 0.1 중량% 내지 약 5 중량%이다. 또다른 실시양태에서, 완충 용액은 벤조산 및 암모늄 벤조에이트이다.
특정 실시양태에서, 약 2 내지 약 9 범위, 또는 약 3 내지 약 7 범위, 또는 약 5 내지 약 6 범위의 pH는 가장 민감성인 금속이 최소의 부식으로 부동화되게 한다. 특정 실시양태에서, 고도의 무기 에칭 잔류물의 제거 및 산화물 스키밍을 위해 사용되는 조성물은 약간 산성인 pH(즉 5 내지 6의 범위)를 요구할 것이다. 또다른 실시양태에서, 조성물의 pH는 약 2 내지 약 7의 범위가 되도록 조절되어 에칭 잔류물을 세정하고 금속을 부동화시킨다.
플루오라이드는 본원에서 기재되어 있는 조성물 중에 존재한다. 플루오라이드-함유 화합물은 화학식 R1R2R3R4NF을 포함하고, 여기서 R1, R2, R3 및 R4는 독립적으로 수소, 히드록시알킬 기, 알콕시 기, 알킬 기 또는 이의 혼합물이다. 이러한 화합물의 예는 암모늄 플루오라이드, 테트라메틸 암모늄 플루오라이드, 테트라에틸 암모늄 플루오라이드, 테트라부틸 암모늄 플루오라이드, 콜린 플루오라이드, 및 이의 혼합물을 포함한다. 플루오라이드의 추가의 예는 플루오로붕산, 히드로플루오르산, 및 콜린 플루오라이드를 포함한다. 플루오라이드는 0.001 중량% 내지 10 중량% 또는 0.1 중량% 내지 5 중량%의 양으로 존재하는 것이 바람직하다. 특정 실시양태에서, 플루오라이드는 플루오라이드 염, 예컨대 암모늄 플루오라이드의 형태로 조성물에 첨가된다. 이 실시양태에서, 암모늄 플루오라이드는 40% 수용액으로서 상업적으로 입수가능하다.
전술한 바와 같이, 물도 본원에서 개시된 조성물 중에 존재할 수 있다. 이는 기타 원소의 성분, 예를 들어 수성 암모늄 플루오라이드 용액 또는 수성 완충 용액으로서 부수적으로 존재할 수 있거나, 이는 개별적으로 첨가될 수 있다. 물의 일부 비제한적인 예는 탈이온수, 초순수, 증류수, 2중 증류수, 또는 낮은 금속 함량을 갖는 탈이온수를 포함한다. 바람직하게는, 물은 약 80 중량% 이상 또는 약 85 중량% 이상, 또는 약 90 중량% 이상의 양으로 존재할 수 있다.
본 명세서의 조성물은 또한 약 15 중량% 이하, 또는 약 0.2 중량% 내지 약 10 중량%의 부식 억제제를 임의로 함유할 수 있다. 유사한 출원에 대하여 종래 기술에서 공지되어 있는 임의의 부식 억제제, 예컨대 본원에서 참고로 인용한 미국 특허 제 5,417,877호에서 개시되어 있는 부식 억제제가 사용될 수 있다. 부식 억제제는, 예를 들어 유기산, 유기산 염, 페놀, 티아졸, 히드록실아민 또는 이의 산 염 일 수 있다. 특정 부식 억제제의 예는 시트르산, 안트라닐산, 갈산, 벤조산, 이소프탈산, 말레산, 푸마르산, D,L-말산, 말론산, 프탈산, 말레산 무수물, 프탈산 무수물, 벤조트라아졸(BZT), 레조시놀, 카르복시벤조트리아졸, 디에틸 히드록실아민 및 젖산 및 이의 시트르산 염 등을 포함한다. 사용될 수 있는 부식 억제제의 추가의 예는 카테콜, 피로갈롤, 및 갈산의 에스테르를 포함한다. 사용될 수 있는 특정 히드록실아민은 디에틸히드록실아민 및 젖산 및 이의 시트르산 염을 포함한다. 적절한 부식 억제제의 기타 예는 과당, 암모늄 티오설페이트, 글리신, 젖산, 테트라메틸구아니딘, 이미노디아세트산, 및 디메틸아세토아세타미드를 포함한다. 특정 실시양태에서, 부식 억제제는 약 4 내지 약 7의 pH 범위를 갖는 약산을 포함할 수 있다. 약산의 예는 트리히드록시벤젠, 디히드록시벤젠 및/또는 살리실히드록삼산을 포함한다. 부식 억제제가 유기산인 실시양태에 있어서, 유기산은 완충 용액에서 사용된 것과 동일할 수 있다.
조성물은 또한 계면활성제, 킬레이트제, 화학적 개질제, 안료, 살생제, 및 기타 첨가제 중 하나 이상의 것을 포함할 수 있다. 첨가제(들)는 조성물의 pH 범위에 악영향을 미치지 않는 정도로 첨가될 수 있다. 대표적인 첨가제의 일부 예는 아세틸렌계 알콜 및 이의 유도체, 아세틸렌계 디올(비이온성 알콕실화 계면활성제 및/또는 자가-유화성 아세틸렌계 디올 계면활성제) 및 이의 유도체, 알콜, 4차 아민 및 디-아민, 아미드(비양성자성 용매, 예컨대 디메틸 포름아미드 및 디메틸 아세타미드를 포함), 알킬 알칸올아민(예컨대 디에탄올에틸아민) 및 킬레이트제, 예컨대 베타-디케톤, 베타-케토이민, 카르복실산, 말산 및 타르타르산 기재 에스테르 및 디에스테르 및 이의 유도체, 및 3차 아민, 디아민 및 트리아민을 포함한다. 특정 실시양태에서, 완충 용액 중 조성물에 첨가될 수 있는 카르복실산은 또한 조성물 내에서 킬레이트제로서도 작용할 수 있다. 특정 아세틸렌계 디올은 USA, 펜실베니아주, 알렌타운의 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드로부터 입수가능한 Surfynol 465 계면활성제를 포함한다. Surfynol 465는 2,4,7,9-테트라메틸-5-데신-4,7-디올이고, 이는 10개의 에틸렌 옥시드 단위로 에톡실화된다. 미국 특허 6,717,019의 컬럼 9, 라인 46이 참고된다.
본원에서 기재되어 있는 조성물에 의해 제거되는 물질은 종래 기술에서 측벽 중합체, 베일, 펜스 에칭 잔류물, 애싱 잔류물 등으로 공지되어 있는, 애싱된 포토레지스트 및 처리 잔류물을 포함한다. 특정 실시양태에서, 포토레지스트는 본원에서 기재되어 있는 조성물과 접촉되기 이전에 노출, 현상, 에칭 및 애싱된다. 본원에서 기재되어 있는 조성물은 저-k 필름, 예컨대 HSQ(FOx), MSQ, SiLK 등과 친화성이다. 이 제형물은 또한 포지티브 포토레지스트 및 네거티브 포토레지스트를 포함하는 애싱된 포토레지스트, 및 플라즈마 에칭 잔류물, 예컨대 유기 잔류물, 유기금속 잔류물, 무기 잔류물, 금속 산화물, 또는 포토레지스트 복합물을, 텅스텐, 구리, 티타늄 함유 기판을 매우 조금 부식시키면서 저온에서 스트리핑하는 데 있어서 유효하다. 더욱이, 이 조성물은 또한 다양한 고 유전 상수 재료와 친화적이다.
제조 공정시에, 포토레지스트 층은 기판에 피복된다. 광리토그래피 공정을 사용하여, 패턴을 포토레지스트 층에 구획시킨다. 이에 따라 패턴화된 포토레지스트 층에 플라즈마 에칭이 시행되어, 이에 의해 패턴이 기판으로 전사된다. 에칭 잔 류물은 에칭 단계에서 발생한다. 패턴화된 기판은 이어서 애싱되어 잔류물을 형성한다. 기판이 애싱되었을때, 세정될 주된 잔류물은 에칭 잔류물이다.
본원에서 개시되어 있는 방법은 필름 또는 잔류물로서 존재하는 유기 중합체 또는 금속-유기 중합체, 무기 염, 산화물, 수산화물, 또는 이의 복합물 또는 조합물을 갖는 기판을 기재되어 있는 조성물과 접촉시킴에 의해 수행된다. 실제 조건, 예를 들어 온도, 시간 등은 제거될 재료의 특성 및 두께에 의존하게 된다. 일반적으로, 기판은 20℃ 내지 80℃, 또는 20℃ 내지 60℃, 또는 20℃ 내지 40℃의 범위인 온도에서 조성물을 함유하는 용기와 접촉되거나 용기에 침지된다. 기판을 조성물에 노출시키는 통상적인 시간은, 예를 들어 0.1 분 내지 60 분, 또는 1 분 내지 30 분, 또는 1 분 내지 15 분의 범위일 수 있다. 조성물에 접촉된 이후, 기판은 세정된 후 건조될 것이다. 건조는 통상적으로 불활성 대기 하에서 수행된다. 특정 실시양태에서, 탈이온수 세정액 또는 탈이온수를 기타 첨가제와 함께 함유하는 세정액은 기판을 본원에서 기재되어 있는 조성물과 접촉시키기 이전에, 접촉되는 동안, 및/또는 접촉된 이후에 사용될 수 있다.
하기의 실시예는 본원에서 개시되어 있는 조성물 및 방법을 더 예시하기 위한 것이다. 각종 대표 조성물 및 비교 조성물의 예 및 각 조성물의 pH 수준이 표 I에서 제시되어 있다. 표 I에서, 모든 양은 중량%로 제시되어 있고 100 중량%까지 첨가된다. 본원에서 개시되어 있는 조성물은 모든 고체가 용해될 때까지 용기 중의 성분을 실온에서 함께 혼합시킴에 의해 제조되었다. 하기의 실시예에서, pH 측정은 상온에서 5% 수용액을 사용하여 실시하였다. 기판은 조성물에 노출되기 이전에 현 상, 에칭 및 에싱된 포지티브 레지스트로 피복되었다. 달리 설명되지 않는 한, 웨이퍼는 구리의 패턴화 층을 갖는다. 하기의 표에 있어서, "N.T."은 시험되지 않았음을 의미한다.
노출 온도 및 시간과 함께, 세정의 데이타에 대한 요약은 표 II에서 제시되어 있다. 이 방법에서, 하나 이상의 시험 웨이퍼는 400 ㎖의 각 대표 조성물을 함유하는 600 ㎖의 비이커에 배치된다. 600 ㎖의 비이커는 분당 400번의 회전수로 회전하는 1" 교반 막대(stir bar)를 더 포함한다. 내부에 포함된 웨이퍼(들)를 갖는 대표 조성물은 그 후 표 II에서 제시된 시간 및 온도에서 가열된다. 대표 조성물에 노출된 이후, 웨이퍼(들)는 탈이온수에 의해 세정되고, 질소 기체에 의해 건조된다. 이 웨어퍼를 절단되어 에지(edge)를 제공하고, 그 후 주사 전자 현미경(SEM)을 사용하여 웨이퍼 상의 여러 예정된 위치에서 시험하며, 이 결과를 시각적으로 분석하여 "+++"는 우수함을 의미하고; "++"는 양호함을 의미하고; "+"는 보통을 의미하며; "-"는 불량함을 의미하는 것으로 정한다. 표 II에서 제시된 이러한 결과 중 일부는, 구리의 패턴화 층을 보여주는 우선 절단(prior cleave)의 어려움으로 인하여 이용 불가능(N/A)하였다.
에칭 속도("ER")에 대한 요약은 표 III에서 제시되어 있다. 하기의 모든 에칭 속도에 있어서, 노출된 후 5, 10, 2, 40, 및 60 분이 경과했을 때 이를 측정하였다. 각 시간 간격에서 두께를 측정하였고 각 대표 조성물의 결과에 대하여 "최소 자승 적합" 모델을 사용하여 도식하였다. 각 조성물의 "최소 자승 적합" 모델에 의해 계산된 기울기는 Å/분의 단위로 제시되는 결과적 에칭 속도이다. 금속의 에칭 속도를 측정하는 데 있어서, 웨이퍼는 그 상부에 증착된 공지된 두께의 블랭커(blanker) 층을 갖는다. 웨이퍼의 처음 두께는 CDE ResMap 273 Four Point Probe를 사용하여 측정하였다. 처음 두께를 측정한 이후, 시험 웨이퍼를 대표 조성물 내에 침지시켰다. 5분 후, 시험 웨이퍼를 시험 용액으로부터 제거하였고, 3분간 탈이온수로 세정하였으며 질소 하에 완전히 건조시켰다. 각 웨이퍼의 두께를 측정하였고, 필요하다면, 시험 웨이퍼에 대해 이러한 절차를 반복하였다.
산화물의 에칭 속도는 이산화규소 층을 갖는 기판으로부터 수득하였다. 산화물 에칭 속도는 Nanospec AFT 181을 사용하여 측정하였다. 200 ㎖의 시험 용액의 양을, 필요하다면 교반하고 가열하면서 250 ㎖의 비이커에 배치하였다. 시험될 각 웨이퍼에 3개의 원을 그렸다. 각 웨이퍼에 대해 표시된 영역은 측정이 이루어질 영역이다. 각 웨이퍼에 대하여 초기 측정을 하였다. 초기 측정 이후, 웨이퍼를 5분간 시험 용액 내에 침지시켰다. 웨이퍼가 용액을 함유하는 비이커에 배치되는 경우에만, 더미(dummy) 웨이퍼를 비이커에 배치하였다. 5분 후, 시험 웨이퍼를 3분간 탈이온수로 세정하였고, 질소 하에 건조시켰다. 각 웨이퍼의 표시된 영역에 대해 측정하였고, 필요하다면 이러한 절차를 반복하였다.
CORALTM 에칭 속도는 규소 웨이퍼에 증착된 CORALTM 유기 실리케이트 필름을 갖는 규소 웨이퍼를 사용하여 수행하였다. CORALTM 에칭 속도는 산화물 에칭 속도를 수득하는 데 사용된, 전술한 Nanospec AFT에서와 동일한 방식으로 작동하는 타원계 상에서 수득하였다.
[표 I]
Figure 112007024519608-pat00001
[표 II]
Figure 112007024519608-pat00002
[표 III]
Figure 112007024519608-pat00003
실시예 1의 제형물이 부식 억제제로서 시트르산을 포함하고 비이온성 아세틸렌계 디올 계면활성제로서 Surfyol 465를 포함하도록 개질하였으며; 이 제형물은 하기 표 IV에서 실시예 13으로서 기재되어 있다. 실시예 13에 대한 에칭 속도를 각종 금속 및 유전 기판에 대한 에칭 속도와 비교하였고, 표 V에서 보여지는 바와 같이 실시예 13은 대부분의 시험된 기판에 필적하는 에칭 속도를 보여주었고 티타늄에 대한 에칭 속도의 감소를 개선하였다. 표 V의 데이타를 생성하는 기술은 상기 표 III의 데이타에 대해 기재되어 있는 것과 동일하다.
[표 IV]
Figure 112007024519608-pat00004
[표 V]
Figure 112007024519608-pat00005
*TEOS, 도핑되고, 비고밀화(undensify)됨
본 발명에 따른 조성물은 잔류물, 예컨대 애싱된 포토레지스트 및/또는 처리 잔류물을 선별적으로 제거시킨다.

Claims (15)

  1. 유기산 및 이 유기산의 짝염기를 10:1 내지 1:10의 범위인 산:염기의 몰비로 포함하는 완충 용액;
    플루오라이드, 및
    을 포함하고, 2 내지 9의 pH 범위를 갖고, 단 조성물에는 첨가된 유기 용매가 없는 것인, 포토레지스트 및 에칭 잔류물의 제거를 위한 조성물.
  2. 제1항에 있어서, 부식 억제제를 더 포함하는 것인 포토레지스트 및 에칭 잔류물의 제거를 위한 조성물.
  3. 제2항에 있어서, 부식 억제제는 시트르산, 안트라닐산, 갈산, 벤조산, 말론산, 말레산, 푸마르산, D,L-말산, 이소프탈산, 프탈산, 젖산, 말레산 무수물, 프탈산 무수물, 카테콜, 피로갈롤, 갈산의 에스테르, 벤조트리아졸, 카르복시벤조트리아졸, 과당, 암모늄 티오설페이트, 글리신, 테트라메틸구아니딘, 이미노디아세트산, 디메틸아세토아세타미드, 티오글리세롤, 트리히드록시벤젠, 디히드록시벤젠, 살리실히드록삼산, 및 이의 혼합물 중에서 선택된 하나 이상의 것인 포토레지스트 및 에칭 잔류물의 제거를 위한 조성물.
  4. 제1항에 있어서, 플루오라이드는 화학식 R1R2R3R4NF의 조성을 갖고, 여기서 R1, R2, R3 및 R4는 독립적으로 수소, 히드록시알킬 기, 알콕시 기, 알킬 기 및 이의 혼합물인 것인 포토레지스트 및 에칭 잔류물의 제거를 위한 조성물.
  5. 제4항에 있어서, 플루오라이드는 암모늄 플루오라이드, 테트라메틸 암모늄 플루오라이드, 테트라에틸 암모늄 플루오라이드, 테트라부틸 암모늄 플루오라이드, 콜린 플루오라이드, 및 이의 혼합물 중에서 선택된 것인 포토레지스트 및 에칭 잔류물의 제거를 위한 조성물.
  6. 제1항에 있어서, 플루오라이드는 플루오로붕산인 것인 포토레지스트 및 에칭 잔류물의 제거를 위한 조성물.
  7. 제1항에 있어서, 완충 용액 중 유기산은 아세트산을 포함하고, 완충 용액 중 짝염기는 암모늄 아세테이트를 포함하는 것인 포토레지스트 및 에칭 잔류물의 제거를 위한 조성물.
  8. 제1항에 있어서, 완충 용액 중 유기산은 인산을 포함하고, 완충 용액 중 짝염기는 인산의 암모늄 염을 포함하는 것인 포토레지스트 및 에칭 잔류물의 제거를 위한 조성물.
  9. 제1항에 있어서, 몰비는 1:1인 것인 포토레지스트 및 에칭 잔류물의 제거를 위한 조성물.
  10. 제1항에 따른 조성물을 20℃ 내지 80℃의 온도에서 기판으로부터 잔류물을 제거하기에 충분한 시간 동안 기판에 피복하는 것을 포함하는, 기판으로부터 잔류물을 제거하는 방법.
  11. 제10항에 있어서, 온도는 20℃ 내지 60℃인 것인 기판으로부터 잔류물을 제거하는 방법.
  12. 포토레지스트를 적어도 일부의 기판에 피복하고;
    패턴을 포토레지스트 상에 리토그래피로 구획시키고;
    이 패턴을 적어도 일부의 기판에 전사시키고;
    이 패턴을 기판으로 에칭하여 패턴화된 기판을 형성하고;
    이 패턴화된 기판을, 포토레지스트를 애싱(ashing)하고 잔류물을 제공하기에 충분한 온도로 가열하며;
    이 패턴화된 기판을, 유기산 및 이 유기산의 짝염기를 10:1 내지 1:10의 범위인 산:염기의 몰비로 포함하는 완충 용액, 플루오라이드, 및 물을 포함하고, 2 내지 9의 pH 범위를 갖고, 첨가된 유기 용매가 없는 조성물과 접촉시킴에 의해 잔류물을 제거하는 것을 포함하는,
    패턴의 구획 방법.
  13. 유기산 및 이 유기산의 짝염기를 10:1 내지 1:10의 범위인 산:염기의 몰비로 포함하는 완충 용액;
    플루오라이드,
    물, 및
    부식억제제
    를 포함하고, 2 내지 9의 pH 범위를 갖고, 단 조성물에는 첨가된 유기 용매가 없는 것인, 포토레지스트 및 에칭 잔류물의 제거를 위한 조성물.
  14. 유기산 및 이 유기산의 짝염기를 10:1 내지 1:10의 범위인 산:염기의 몰비로 포함하는 완충 용액;
    플루오라이드,
    물,
    부식억제제, 및
    계면활성제
    를 포함하고, 2 내지 9의 pH 범위를 갖고, 단 조성물에는 첨가된 유기 용매가 없는 것인, 포토레지스트 및 에칭 잔류물의 제거를 위한 조성물.
  15. 아세트산 및 암모늄 아세테이트를 10:1 내지 1:10의 범위인 산:염기의 몰비로 포함하는 완충 용액;
    암모늄 플루오라이드,
    물,
    시트르산을 포함하는 부식 억제제, 및
    에톡실화된 아세틸렌계 디올 계면활성제
    를 포함하고, 2 내지 9의 pH 범위를 갖고, 단 조성물에는 첨가된 유기 용매가 없는 것인, 포토레지스트 및 에칭 잔류물의 제거를 위한 조성물.
KR1020060009456A 2005-02-03 2006-01-31 플루오라이드를 포함하는 수계 잔류물 제거제 KR100786610B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US11/050,562 US7682458B2 (en) 2005-02-03 2005-02-03 Aqueous based residue removers comprising fluoride
US11/050,562 2005-02-03
US11/313,495 2005-12-19
US11/313,495 US7888302B2 (en) 2005-02-03 2005-12-19 Aqueous based residue removers comprising fluoride

Publications (2)

Publication Number Publication Date
KR20060089142A KR20060089142A (ko) 2006-08-08
KR100786610B1 true KR100786610B1 (ko) 2007-12-21

Family

ID=36498780

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060009456A KR100786610B1 (ko) 2005-02-03 2006-01-31 플루오라이드를 포함하는 수계 잔류물 제거제

Country Status (5)

Country Link
US (1) US7888302B2 (ko)
EP (1) EP1688798B1 (ko)
JP (1) JP2006307158A (ko)
KR (1) KR100786610B1 (ko)
TW (1) TWI377246B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101151087B1 (ko) 2007-03-21 2012-06-01 콜게이트-파아므올리브캄파니 기판 위의 침착을 측정하는 방법

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100655647B1 (ko) * 2005-07-04 2006-12-08 삼성전자주식회사 반도체 기판용 세정액 조성물, 이의 제조 방법, 이를이용한 반도체 기판의 세정 방법 및 반도체 장치의 제조방법
US8211844B2 (en) * 2005-10-21 2012-07-03 Freescale Semiconductor, Inc. Method for cleaning a semiconductor structure and chemistry thereof
US7534753B2 (en) 2006-01-12 2009-05-19 Air Products And Chemicals, Inc. pH buffered aqueous cleaning composition and method for removing photoresist residue
US20070191243A1 (en) * 2006-02-13 2007-08-16 General Chemical Performance Products, Llc Removal of silica based etch residue using aqueous chemistry
US7943562B2 (en) * 2006-06-19 2011-05-17 Samsung Electronics Co., Ltd. Semiconductor substrate cleaning methods, and methods of manufacture using same
US20080234162A1 (en) 2007-03-21 2008-09-25 General Chemical Performance Products Llc Semiconductor etch residue remover and cleansing compositions
KR100927448B1 (ko) * 2007-10-16 2009-11-19 금호석유화학 주식회사 포토레지스트 현상액
JP2010050377A (ja) * 2008-08-25 2010-03-04 Fujifilm Corp 半導体基板表面用洗浄剤及びそれを用いた半導体デバイスの洗浄方法
TWI481706B (zh) * 2009-07-29 2015-04-21 Dongwoo Fine Chem Co Ltd 清潔組成物及使用該組成物清潔面板的方法
US8324114B2 (en) 2010-05-26 2012-12-04 Lam Research Corporation Method and apparatus for silicon oxide residue removal
EP3099839A4 (en) 2014-01-29 2017-10-11 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
CN107155367B (zh) * 2014-06-30 2021-12-21 恩特格里斯公司 利用钨及钴兼容性移除蚀刻后残余物的含水及半含水清洁剂
WO2016008088A1 (en) 2014-07-15 2016-01-21 Microsoft Technology Licensing, Llc Data retrieval across multiple models
CN105518670B (zh) 2014-07-15 2021-09-07 微软技术许可有限责任公司 用于模型查询的数据模型索引
EP3170100A4 (en) 2014-07-15 2017-12-06 Microsoft Technology Licensing, LLC Data model change management
WO2016008087A1 (en) 2014-07-15 2016-01-21 Microsoft Technology Licensing, Llc Managing multiple data models over data storage system
WO2017047057A1 (en) * 2015-09-15 2017-03-23 Ricoh Company, Ltd. Coating liquid for forming n-type oxide semiconductor film, method for producing n-type oxide semiconductor film, and method for producing field-effect transistor
US11535818B2 (en) * 2017-12-01 2022-12-27 Houghton Technical Corp. Method and compositions for cleaning aluminum cans
US20200339523A1 (en) * 2017-12-08 2020-10-29 Basf Se Composition and process for selectively etching a layer comprising an aluminium compound in the presence of layers of low-k materials, copper and/or cobalt
US11377624B2 (en) 2017-12-08 2022-07-05 Basf Se Cleaning composition for post-etch or post ash residue removal from a semiconductor substrate and corresponding manufacturing process
JP7183290B2 (ja) * 2018-10-02 2022-12-05 日本ペイント・サーフケミカルズ株式会社 表面処理剤
CN112698554A (zh) * 2021-02-01 2021-04-23 福建省佑达环保材料有限公司 一种半导体制程用光阻清洗剂
TWI812342B (zh) * 2021-11-22 2023-08-11 南韓商Lg化學股份有限公司 移除光阻之剝離劑組成物以及使用其之剝離光阻方法
EP4257659A3 (en) * 2022-04-04 2024-02-28 Samsung Electronics Co., Ltd. Etching composition, method of etching metal-containing film by using the same, and method of manufacturing semiconductor device by using the same

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6828289B2 (en) 1999-01-27 2004-12-07 Air Products And Chemicals, Inc. Low surface tension, low viscosity, aqueous, acidic compositions containing fluoride and organic, polar solvents for removal of photoresist and organic and inorganic etch residues at room temperature

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US680078A (en) * 1901-04-09 1901-08-06 Defiance Machine Works Sawing-machine.
US4171242A (en) * 1976-12-17 1979-10-16 International Business Machines Corporation Neutral pH silicon etchant for etching silicon in the presence of phosphosilicate glass
US4824763A (en) * 1987-07-30 1989-04-25 Ekc Technology, Inc. Triamine positive photoresist stripping composition and prebaking process
US5981454A (en) * 1993-06-21 1999-11-09 Ekc Technology, Inc. Post clean treatment composition comprising an organic acid and hydroxylamine
JP3160344B2 (ja) * 1991-01-25 2001-04-25 アシュランド インコーポレーテッド 有機ストリッピング組成物
JP2806171B2 (ja) 1992-08-31 1998-09-30 日本電気株式会社 データ演算装置
US5320709A (en) * 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
NZ277438A (en) * 1993-12-10 1998-11-25 Armor All Prod Corp Vehicle wheel cleaner, acid fluoride salts in aqueous composition
JP2743823B2 (ja) * 1994-03-25 1998-04-22 日本電気株式会社 半導体基板のウエット処理方法
JP3074634B2 (ja) * 1994-03-28 2000-08-07 三菱瓦斯化学株式会社 フォトレジスト用剥離液及び配線パターンの形成方法
US5571447A (en) * 1995-03-20 1996-11-05 Ashland Inc. Stripping and cleaning composition
JP3236220B2 (ja) * 1995-11-13 2001-12-10 東京応化工業株式会社 レジスト用剥離液組成物
ATE312895T1 (de) * 1996-07-25 2005-12-15 Dupont Air Prod Nanomaterials Zusammensetzung und verfahren zum chemisch- mechanischen polieren
JPH1055993A (ja) * 1996-08-09 1998-02-24 Hitachi Ltd 半導体素子製造用洗浄液及びそれを用いた半導体素子の製造方法
US5698503A (en) * 1996-11-08 1997-12-16 Ashland Inc. Stripping and cleaning composition
US5968848A (en) * 1996-12-27 1999-10-19 Tokyo Ohka Kogyo Co., Ltd. Process for treating a lithographic substrate and a rinse solution for the treatment
JP2001508239A (ja) 1997-01-09 2001-06-19 アドバンスド ケミカル システムズ インターナショナル,インコーポレイテッド 水性フッ化アンモニウムおよびアミンを用いた、半導体ウエハ洗浄組成物および方法
EP0872870A3 (en) * 1997-04-14 1999-05-06 Hitachi Chemical Co., Ltd. Fluorescent pattern, process for preparing the same, organic alkali developing solution for forming the same, emulsion developing solution for forming the same and back plate for plasma display using the same
JPH1167632A (ja) 1997-08-18 1999-03-09 Mitsubishi Gas Chem Co Inc 半導体装置用洗浄剤
US6165956A (en) * 1997-10-21 2000-12-26 Lam Research Corporation Methods and apparatus for cleaning semiconductor substrates after polishing of copper film
US6864395B2 (en) * 1999-05-04 2005-03-08 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
AU6530000A (en) 1999-08-19 2001-03-19 Ashland Inc. Stripping and cleaning compositions
US6413923B2 (en) * 1999-11-15 2002-07-02 Arch Specialty Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues
KR100363092B1 (ko) * 2000-06-27 2002-12-05 삼성전자 주식회사 강유전체막의 손상층을 제거하기 위한 세정액 및 이를이용한 세정방법
US20030022800A1 (en) * 2001-06-14 2003-01-30 Peters Darryl W. Aqueous buffered fluoride-containing etch residue removers and cleaners
US6627546B2 (en) * 2001-06-29 2003-09-30 Ashland Inc. Process for removing contaminant from a surface and composition useful therefor
JP3403187B2 (ja) * 2001-08-03 2003-05-06 東京応化工業株式会社 ホトレジスト用剥離液
US6717019B2 (en) * 2002-01-30 2004-04-06 Air Products And Chemicals, Inc. Glycidyl ether-capped acetylenic diol ethoxylate surfactants
US6773873B2 (en) * 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US6677286B1 (en) * 2002-07-10 2004-01-13 Air Products And Chemicals, Inc. Compositions for removing etching residue and use thereof
US6849200B2 (en) * 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US6641986B1 (en) 2002-08-12 2003-11-04 Air Products And Chemicals, Inc. Acetylenic diol surfactant solutions and methods of using same
JP2006503972A (ja) 2002-10-22 2006-02-02 イーケーシー テクノロジー,インコーポレイティド 半導体デバイスを洗浄するための水性リン酸組成物
CN1875325B (zh) * 2003-10-29 2011-01-26 马林克罗特贝克公司 含有金属卤化物腐蚀抑制剂的碱性后等离子体蚀刻/灰化残余物去除剂和光致抗蚀剂剥离组合物
US7253111B2 (en) * 2004-04-21 2007-08-07 Rohm And Haas Electronic Materials Cmp Holding, Inc. Barrier polishing solution
US7427362B2 (en) * 2005-01-26 2008-09-23 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Corrosion-resistant barrier polishing solution

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6828289B2 (en) 1999-01-27 2004-12-07 Air Products And Chemicals, Inc. Low surface tension, low viscosity, aqueous, acidic compositions containing fluoride and organic, polar solvents for removal of photoresist and organic and inorganic etch residues at room temperature

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101151087B1 (ko) 2007-03-21 2012-06-01 콜게이트-파아므올리브캄파니 기판 위의 침착을 측정하는 방법

Also Published As

Publication number Publication date
US7888302B2 (en) 2011-02-15
EP1688798B1 (en) 2017-02-22
TWI377246B (en) 2012-11-21
KR20060089142A (ko) 2006-08-08
TW200630482A (en) 2006-09-01
US20060172906A1 (en) 2006-08-03
JP2006307158A (ja) 2006-11-09
EP1688798A2 (en) 2006-08-09
EP1688798A3 (en) 2009-09-02

Similar Documents

Publication Publication Date Title
KR100786610B1 (ko) 플루오라이드를 포함하는 수계 잔류물 제거제
US9536730B2 (en) Cleaning formulations
US8772214B2 (en) Aqueous cleaning composition for removing residues and method using same
US8440599B2 (en) Composition for stripping and cleaning and use thereof
US7674755B2 (en) Formulation for removal of photoresist, etch residue and BARC
JP4473277B2 (ja) フォトレジスト残渣を除去するためのpHバッファー水性クリーニング組成物およびその方法
KR100700998B1 (ko) 기판으로부터 잔사를 제거하기 위한 조성물 및 그의 사용방법
KR100786606B1 (ko) 기판으로부터 포토레지스트 및/또는 에칭 잔류물을제거하기 위한 조성물 및 이의 용도
EP1944355B1 (en) Cleaning composition for semiconductor substrates
EP1813667B1 (en) Cleaning formulations
US7682458B2 (en) Aqueous based residue removers comprising fluoride
US11091727B2 (en) Post etch residue cleaning compositions and methods of using the same
US20220243150A1 (en) Cleaning Composition For Semiconductor Substrates
KR20220035164A (ko) 에칭 잔류물 제거용 조성물, 이의 사용 방법 및 용도

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121129

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20131129

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20141128

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150930

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20161125

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20171117

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20181115

Year of fee payment: 12