TWI626305B - 清潔配方 - Google Patents

清潔配方 Download PDF

Info

Publication number
TWI626305B
TWI626305B TW105130675A TW105130675A TWI626305B TW I626305 B TWI626305 B TW I626305B TW 105130675 A TW105130675 A TW 105130675A TW 105130675 A TW105130675 A TW 105130675A TW I626305 B TWI626305 B TW I626305B
Authority
TW
Taiwan
Prior art keywords
ether
weight
group
glycol
composition
Prior art date
Application number
TW105130675A
Other languages
English (en)
Other versions
TW201712110A (zh
Inventor
稻岡誠二
Original Assignee
慧盛材料美國責任有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 慧盛材料美國責任有限公司 filed Critical 慧盛材料美國責任有限公司
Publication of TW201712110A publication Critical patent/TW201712110A/zh
Application granted granted Critical
Publication of TWI626305B publication Critical patent/TWI626305B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3245Aminoacids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5009Organic solvents containing phosphorus, sulfur or silicon, e.g. dimethylsulfoxide
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5013Organic solvents containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5022Organic solvents containing oxygen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02307Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a liquid
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Emergency Medicine (AREA)
  • Health & Medical Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)

Abstract

一種有用於自半導體基材移除殘留物的組合物,其依有效清潔量包含:約55至80重量%的水;約0.3至約5.0重量%的EDTA;約10.0至約30.0重量%的胺化合物,其中該胺化合物係選自由二級胺、三級胺及其混合物所組成的群組;約0.1至約5.0重量%的多官能性有機酸;約0.01至約8.0重量%的氟離子來源;約0至約60重量%的水可混溶性有機溶劑;及約0至約15重量%的腐蝕抑制劑。

Description

清潔配方 相關申請案之交互參照
本專利案請求09/23/2015申請的美國臨時專利申請案序號第62/222,259號的權益,在此以引用的方式將其併入本文。
本發明提供能用於各種不同應用的清潔組合物,該等應用包括,舉例來說,移除半導體基材上不想要的阻劑膜、蝕刻後及灰化後殘留物。特別是,本發明提供特別有用於將有機組分的用量減至最少的線背端(back-end-of-the-line)作業的清潔組合物。
本發明的背景將關聯其於涉及積體電路製造的清潔應用方面之用途加以描述。然而,理應瞭解本發明的用途具有如後文所述的更廣泛適用性。
在製造積體電路時,有時候必須於沉積或長在位於處理中的積體電路晶圓上之矽、砷化鎵、玻璃或其他基材 表面上的薄膜中蝕刻開口或其他幾何形狀。目前用於蝕刻此膜的方法必需使該膜暴露於化學蝕刻劑以移除該膜的數部位。用以移除該膜的數部位之特定蝕刻劑取決於該膜的本質。在氧化物膜的案例中,舉例來說,該蝕刻劑可為氫氟酸。在多晶矽膜的案例中,其通常為氫氟酸,或硝酸和醋酸的混合物。
為了確保僅移除預期的膜部位,所以使用光微影蝕刻法,透過該方法將電腦繪製光罩中的圖案轉移至該膜表面。該光罩負責確認該膜待選擇性移除的區域。此圖案係利用光阻劑材料形成,該材料係以薄膜形式噴灑於該處理中的積體電路晶圓上並且於通過該光罩投影的高強度輻射底下曝光之光敏性材料。該露出的或未露出的光阻劑材料,取決於其組成,通常利用顯影劑來溶解,留下讓蝕刻在選定區域中發生的圖案,同時預防其他區域的蝕刻。已經有人將,舉例來說,正型阻劑廣泛地當作將圖案輪廓描於基材上的遮罩材料使用,當蝕刻發生時,該基材將轉為通孔、溝槽、接觸孔等等。
逐漸地,利用乾式蝕刻程序例如,舉例來說,電漿蝕刻、反應性離子蝕刻或離子減薄(ion milling)來攻擊該基材沒受到光阻劑保護的區域而生成該等通孔、溝槽、接觸孔等等。由於該電漿蝕刻程序的結果,光阻劑、蝕刻氣體及被蝕刻材料副產物當殘留物沉積於該基材的蝕刻開口四周或側壁上。
這樣的乾式蝕刻程序通常也使該阻劑遮罩極難 以移除。舉例來說,在複雜半導體裝置例如先進DRAM和具有多層互連件配線的後段製程的邏輯裝置中,運用反應性離子蝕刻法(RIE)製造通過層間介電質的通孔以提供一層矽、矽化物或金屬配線與下一層配線之間的接觸。這些通孔通常露出Al、AlCu、Cu、Ti、TiN、Ta、TaN、矽或矽化物例如,舉例來說,鎢、鈦或鈷的矽化物。該RIE程序於包含複雜混合物的牽涉基材上留下殘留物,該複雜混合物可能包括,舉例來說,再濺鍍的氧化物材料、衍生自該蝕刻氣體的聚合性材料及來自用以畫出該等通孔輪廓的阻劑的有機材料。
此外,伴隨著該蝕刻步驟終止,必須從晶圓的被保護區域移除該光阻劑和阻劑殘留物以便使最終的潤飾操作能進行。這能於電漿"灰化"步驟中藉由使用適合的電漿灰化氣體完成。這通常於高溫,舉例來說,高於200℃下發生。灰化將大部分有機殘留物轉化成揮發性物種,但是主要無機殘留物卻於之後留於該基材上。此殘留物通常不僅留在該基材表面上,而且也留在可能出現的通孔內壁上。結果,經常利用常被稱作"液態剝除組合物"的清潔組合物處理經灰化的基材以從該基材移除高度附著的殘留物。找尋用於移除此殘留物而無負面影響,例如,侵蝕、溶解或稀釋,的適合清潔組合物時,也證明此金屬電路系統有問題。無法完全移除該殘留物或消除該殘留物的効力會造成電路系統配線的不連續及不宜的電阻提高。
隨著裝置技術進步及該技術進展必需的更小特徵尺寸,供裝置用的金屬經常更換。舉例來說,銅(Cu)變成了 用作導體及多種層例如硬罩或擴散阻障層的標準材料,所以該結構中得加入新金屬。其中之一此類金屬是鈷(Co)。應用鈷的挑戰出現在鈷的腐蝕特性類似於銅,但是鈷沒銅那麼貴重而且傾向比銅更易被腐蝕。
因此,此技藝需要無毒性而且使後段製程清潔操作對環境友善而不會遇到上文已確認的缺點的清潔組合物,該等後段製程清潔操作包括剝除光阻劑及電漿灰化殘留物例如,舉例來說,電漿製程所產生者。此技藝特別需要能用於半導體製造的清潔組合物,該清潔組合物對銅和其他金屬例如鈷具有良好防腐能力,具有與習用以高有機含量為基礎的清潔組合物相當的清潔效率,能移除蝕刻殘留物而不會改變該基材上金屬結構的關鍵尺寸。
於一態樣中,本揭示內容提供一種有用於自半導體基材移除殘留物之組合物,其依有效清潔量包含:約55至80重量%的水;約0.3至約5.0重量%的EDTA;約10.0至約30.0重量%的胺化合物,其中該胺化合物係選自由二級胺、三級胺及其混合物所組成的群組;約0.1至約5.0重量%的多官能性有機酸;約0.01至約8.0重量%的氟離子來源;約0至約60重量%的水可混溶性有機溶劑;及約0至約15重量%的腐蝕抑制劑。
於一態樣中,本揭示內容提供一種自任意包含鋁的基材移除殘留物之方法,該方法包含以下步驟:使該基材 與一組合物接觸,該組合物包含:約55至80重量%的水;約0.3至約5.0重量%的EDTA;約10.0至約30.0重量%的胺化合物,其中該胺化合物係選自由二級胺、三級胺及其混合物所組成的群組;約0.1至約5.0重量%的多官能性有機酸;約0.01至約8.0重量%的氟離子來源;約0至約60重量%的水可混溶性有機溶劑;及約0至約15重量%的腐蝕抑制劑:以水沖洗該基材;及任意地乾燥該基材,其中該方法不包括在以水沖洗該基材的步驟之前的中間IPA沖洗步驟。
隨後的詳細描述僅提供較佳示範具體實施例,而且並非意圖限制本發明的範疇、適用性或組態。而是,該等較佳示範具體實施例在隨後的詳細描述將提供熟悉此技藝者關於實施本發明的較佳示範具體實施例的授權說明。元件的功能和配置可以完成不同變更而不會悖離附加申請專利範圍提出的發明精神及範疇。
當用於本文及申請專利範圍時,該等措辭"包含"及“包括”係包括頭尾或無限制的而且不排除其他未引用的元素、組成組分或方法步驟。因此,這些措辭包含限制更多的措辭"基本上由...組成”及"由...組成"。除非另行指明,否則文中所提供的所有值包括達於並且包括指定的端點,而且該等組合物的組成成分或組分係以各成分於該組合物中的重量百分比表示。
本發明提供一種組合物,其組分存在的量能從基 材料例如,舉例來說,半導體基材,有效地移除殘留物。在涉及半導體基材的應用中,這樣的殘留物包括,舉例來說,光阻劑殘留物、灰化殘留物及蝕刻殘留物例如,舉例來說,反應性離子蝕刻造成的殘留物。再者,半導體基材也包括金屬、矽、矽酸鹽及/或層間介電材料例如經沉積的氧化矽,其也會與該清潔組合物接觸。典型的金屬包括銅、銅合金、鈷及其他金屬例如,舉例來說,鎢、鈦、鉭及鋁。本發明的清潔組合物能與這樣的材料相容,因為其顯示低的金屬及/或介電質蝕刻速率。特別是,能提供4Å/min或更小、3Å/min或更小或2Å/min或更小的銅及鈷蝕刻速率的組合物可能較佳。
本發明的清潔組合物包含,基本上由以下組成,或由以下組成:約55至80重量%的水;約0.3至約5.0重量%的EDTA;約10.0至約30.0重量%的胺化合物,其中該胺化合物係選自由二級胺、三級胺及其混合物所組成的群組;約0.1至約5.0重量%的多官能性有機酸;約0.01至約8.0重量%的氟離子來源;約0至約60重量%的水可混溶性有機溶劑;及約0至約15重量%的腐蝕抑制劑。各組分的角色將在下文中更詳細地加以說明。
與舉例來說該組分水溶液的重量不同,本文詳述的組分的所有重量百分比皆係以該組分的活性量為基準。
本發明的清潔組合物屬於水性,因此,以組合物的重量百分比來看包含水當成最大量組分。在本發明中,水 依照種種方式發生效用例如,舉例來說,以溶解該組合物的一或更多固體組分,充當該等組分的載劑,充當促使無機鹽類和錯合物移除的助劑,充當該組合物的黏度改質劑,及充當稀釋劑。較佳地,用於該清潔組合物中的水係去離子(D1)水。
咸相信,對大部分應用而言,該清潔組合物能包含,舉例來說,約55至約80重量%的水。本發明的其他具體實施例可能包含60至約80重量%的水。本發明的又其他較佳具體實施例可能包含約60至約70重量%的水。此類具有大百分比水的組合物在本文中也被稱為“富水組合物(water-rich composition)”。本發明還有其他較佳具體實施例可能包括能達成其他組成成分的預期重量百分比的水量。
EDTA
此揭露的清潔組合物包含乙二胺四醋酸(EDTA),其主要作用在於防止鈷金屬(若有的話)腐蝕。不欲受任何特定理論所束縛,咸相信該EDTA結合於該金屬表面並且阻礙其他腐蝕劑靠近從而保護該金屬。
咸相信,對大部分應用而言,該EDTA的量佔該組合物的約0.3至約5重量%。較佳地該EDTA佔該組合物的約0.3至約3.5重量%而且,更佳地,約0.3%至約2重量%。
胺化合物(緩衝劑)
此揭露的清潔組合物也包含二級或三級有機 胺。該二級或三級有機胺主要作用在於提供該緩衝劑的共軛鹼組分,還能達到該緩衝劑所需之物的過量極限,由於其能與有機殘留物反應而發揮清潔組分的功効而且在該清潔作業期間螯合金屬。
用作此揭露的某些較佳具體實施例中的緩衝組分之二級或三級有機胺化合物的實例包括該等烷醇胺類。較佳的烷醇胺類包括具有1至5個碳原子的二級及/或三級低級烷醇胺類。這樣的烷醇胺之實例包括二乙醇胺、二-和三-異丙醇胺、2-(2-胺乙基胺基)乙醇、2-(2-胺基乙氧基)乙醇、三乙醇胺、N-乙基乙醇胺、N,N-二甲基乙醇胺、N,N-二乙基乙醇胺、N-甲基二乙醇胺、N-乙基二乙醇胺、環己基胺二乙醇及其混合物。
在較佳具體實施例中,該胺化合物係選自由三乙醇胺(TEA)、二乙醇胺、N-甲基二乙醇胺、二異丙醇胺、N-甲基乙醇胺及其混合物所組成的群組之烷醇胺。
咸相信該組合物中的胺化合物的量,對大部分應用而言,將會佔該組合物的約1重量%至約50重量%,明確地說,該組合物的約10重量%至約30重量%,更明確地說,該組合物的約20重量%至約30重量%。
較佳地,該胺化合物的pKa<9.0。
多官能性有機酸(緩衝組分)
此揭露的清潔組合物也包含一或更多多官能性有機酸類,其主要擔任該緩衝劑共軛酸部分。用於本文時, 該措辭“多官能性有機酸”表示具有多於一個羧酸基的酸或多元酸,其包括但不限於,(i)二羧酸類(例如丙二酸、蘋果酸等等):具有芳香族部分的二羧酸類(例如苯二甲酸等等)及其組合;及(ii)三羧酸類(例如檸檬酸等等)、具有芳香族部分的三羧酸類(例如偏苯三甲酸等等)及其組合。
咸相信此揭露的組合物中的多官能性有機酸的量能為約0.1重量%至5重量%,較佳地約0.25重量%至3重量%,而且更佳地0.5至約2.0重量%。
為達成此揭露的目的,該多官能性有機酸係與同樣屬於多官能性有機酸的EDTA組分不同的組分。
較佳地,該多官能性有機酸及該胺化合物係依能達成7至9的pH之比率混合。
氟離子來源
此揭露的清潔組合物也包含一或更多氟離子源。氟離子作用主要在於協助從該基材移除無機殘留物。能提供根據本發明的氟離子源的較佳化合物係氟化銨及氟化季銨例如,舉例來說,氟化四甲基銨及氟化四丁基銨。脂肪族一級、二級或三級胺的氟化物鹽皆能使用。此胺類的實例係具有下式者:R1NR2R3R4F
其中R1、R2、R3及R4獨立地表示H或(C1-C4)烷基。通常,R1、R2、R3及R4中的碳原子總數係12個碳原子或更少。
在挑選該氟離子的來源時,理應考慮該來源是否 會釋出可能不利地影響被清潔表面的離子。舉例來說,在清潔半導體元件時,該清潔組合物中出現鈉或鈣離子會對該元件的表面產生不利的效應。在一較佳具體實施例中,該氟離子源係氟化銨。
咸相信充當該清潔組合物中的氟離子源使用的化合物之量,對大部分應用而言,佔40%氟化銨溶液的約0.01至約8重量%或約0.01至約7重量%,或其化學計量當量。較佳地,該化合物佔約40%氟化銨溶液的約0.02至約8重量%,更佳地約0.02至約6重量%,又更佳地約1至約8重量%,而且最佳地,約0.025%至約5重量%。在某些具體實施例中,該組合物能佔氟離子來源的約0.01至約8重量%或約0.01至約7重量%,該氟離子來源可由40%氟化銨溶液提供。較佳地,該化合物佔氟離子來源的約0.02至約6重量%而且,最佳地,氟離子來源的約0.025%至約5%或約0.04至約2.5重量%或40%氟化銨溶液的約0.05至約15重量%,最佳地,40%氟化銨溶液的約0.0625%至約12.5%或約0.1至約6.25重量%。然而,咸應瞭解所用的氟離子量通常取決於被清潔的特定基材。舉例來說,在某些清潔應用中,當清潔包含對氟離子蝕刻具有高抵抗力的介電材料之基材時該氟離子的量可能較高。相反地,在其他應用中,舉例來說,當清潔包含對氟離子蝕刻具有低抵抗力的介電材料之基材時,該氟離子的量應該較低。
溶劑(任選)
根據本揭露的清潔組合物任意地包含至少一有機溶劑。該有機溶劑較佳可與水混溶。在本發明的不同具體實施例中,於該基材上的金屬線通常會指定是否使用水可混溶性有機溶劑。舉例來說,當鋁線出現於基材上時,水和鹵離子的組合通常傾向於蝕刻鋁。在這樣的具體實施例中,若有鋁存在的話,使用水可混溶性有機溶劑將會顯著降低,如果沒消除,鋁的蝕刻。
水可混溶性有機溶劑的實例包括,但不限於,二甲基乙醯胺(DMAC)、N-甲基吡咯酮(NMP)、二甲基亞碸(DMSO)、二甲基甲醯胺、N-甲基甲醯胺、甲醯胺、二甲基-2-六氫吡啶酮(DMPD)、四氫呋喃甲醇、丙三醇、乙二醇及其他醯胺類、醇類或亞碸類或多官能性化合物,例如羥醯胺類或胺基醇類。該等水可混溶性有機溶劑的其他實例包括二醇類及多元醇類例如(C2-C20)烷二醇類及(C3-C20)烷三醇類、環狀醇類及經取代的醇類。這些水可混溶性有機溶劑的特定實例包括丙二醇、四氫呋喃甲醇、二丙酮醇及1,4-環己烷二甲醇。在某些具體實施例中,該水可混溶性有機溶劑可為DMSO、NMP及/或DMAC。以上列舉的水可混溶性有機溶劑皆可單獨或與二或更多溶劑聯合使用。
在本發明的某些較佳具體實施例中,該水可混溶性有機溶劑可包含二醇醚。二醇醚的實例包括乙二醇單甲醚、乙二醇單乙醚、乙二醇單乙醚、乙二醇二甲醚、乙二醇二乙醚、二乙二醇單甲醚、二乙二醇單乙醚、二乙二醇單丙醚、二乙二醇單異丙醚、二乙二醇單乙醚、二乙二醇單丁醚、 二乙二醇單苯甲醚、二乙二醇二甲醚、二乙二醇二乙醚、三乙二醇單甲醚、三乙二醇二甲醚、聚乙二醇單甲醚、二乙二醇甲基乙基醚、三乙二醇乙二醇單甲醚醋酸酯、乙二醇單乙醚醋酸酯、丙二醇甲醚醋酸酯、丙二醇單甲醚、丙二醇二甲醚、丙二醇單丁醚、丙二醇、單丙醚、二丙二醇單甲醚、二丙二醇單丙醚、二丙二醇單異丙醚、二丙二醇單丁醚、二丙二醇二異丙醚、三丙二醇單甲醚、1-甲氧基-2-丁醇、2-甲氧基-1-丁醇、2-甲氧基-2-甲基丁醇、1,1-二甲氧基乙烷及2-(2-丁氧基乙氧基)乙醇。
咸相信,對大部分應用而言,該水可混溶性有機溶劑的用量將佔該組合物的約0%至約60重量%。較佳地,當運用時,該水可混溶性溶劑佔該組合物的約20至約40重量%。
其他腐蝕抑制劑(任選的)
此揭露的組合物任意包含至少一腐蝕抑制劑。腐蝕抑制劑適於與被清潔的基材表面反應,該基材表面可能是金屬,特別是銅,或非金屬,以使該表面鈍化並且預防清潔期間的過度蝕刻。特別是而且不欲受到任何特定理論束縛,咸相信該腐蝕抑制劑於該銅表面(或其他金屬表面)上形成不溶性螯合化合物的覆層,因此抑制該光阻劑殘留物移除組分與該金屬之間的接觸,從而預防腐蝕。
任何此技藝中關於類似應用,例如美國專利第5,417,877號所揭示者,在此以引用的方式將其併入本文,的 已知腐蝕抑制劑皆可使用。特佳在該組合物係用以清潔金屬基材的情形下使用腐蝕抑制劑。腐蝕抑制劑的實例包括芳香族羥基化合物、炔屬醇類、含羧基的有機化合物和其酸酐及三唑化合物。
示範的芳香族羥基化合物包括酚、甲酚、二甲酚、焦性苯磷二酚、間苯二酚、對苯二酚、焦性沒食子酸、1,2,4-苯三醇、鄰羥基苯甲醇、對羥基苯甲醇、間羥基苯甲醇、對羥基苯乙醇、對胺基酚、間胺基酚、二胺基酚、胺基間苯二酚、對羥基苯甲酸、鄰羥基苯甲酸、2,4-二羥基苯甲酸、2,5-二羥基苯甲酸、3,4-二羥基苯甲酸及3,5-二羥基苯甲酸。
示範的炔屬醇類包括2-丁炔-1,4-二醇、3,5-二甲基-1-己炔-3-醇、2-甲基-3-丁炔-2-醇、3-甲基-1-戊炔-3-醇、3,6-二甲基-4-辛炔-3,6-二醇、2,4,7,9-四甲基-5-癸炔-4,7-二醇及2,5-二甲基-3-己炔-2,5-二醇。
示範的含羧基的有機化合物及其酸酐包括甲酸、醋酸、丙酸、丁酸、異丁酸、苯甲酸、乙醇酸、乳酸、醋酸酐及水楊酸。
示範的三唑化合物包括苯并三唑、鄰甲苯基三唑、間甲苯基三唑、對甲苯基三唑、羧基苯并三唑、1-羥基苯并三唑、硝基苯并三唑及二羥基丙基苯并三唑。
在一示範具體實施例中,該等腐蝕抑制劑包括苯并三唑、羧基苯并三唑、胺基-苯并三唑、右旋果糖、苯磷二酚、第三丁基苯磷二酚、左旋抗壞血酸、沒食子酸、香草醛、水楊酸、二乙基羥基胺及聚(乙烯亞胺)中的一或更多者。
較佳的銅腐蝕抑制劑係選自由苯并三唑、胺基-苯并三唑、左旋抗壞血酸、沒食子酸、香草醛、二乙基羥基胺及其混合物所組成的群組。
在其他具體實施例中,該腐蝕抑制劑係三唑而且係苯并三唑、鄰-甲苯基三唑、間-甲苯基三唑及對-甲苯基三唑中的至少一者。在其他具體實施例中,該三唑化合物係選自由鄰-甲苯基三唑、間-甲苯基三唑、對-甲苯基三唑及其混合物所組成的群組。
咸相信,對大部分應用而言,該腐蝕抑制劑能佔該組合物的約0重量%至約15重量%;較佳為佔該組合物的約0.1重量%至約10重量%,較佳地,約0.5重量%至約5重量%,而且最佳地,約0.1重量%至約1重量%或約0.5重量%至約5重量%。
當該腐蝕抑制劑係選自由鄰-甲苯基三唑、間-甲苯基三唑、對-甲苯基三唑及其混合物所組成的群組中的三唑化合物時,較佳為該三唑存有的量係該清潔組合物的0.3至約1.5重量%。
在某些具體實施例中,此揭露的組合物不含金屬離子。
在其他具體實施例中,此揭露的組合物不含硫酸酯的銨鹽類。
其他任意成分
本發明的清潔組合物也可包括以下添加物中的 一或更多者:表面活性劑、螯合劑、化學改質劑、染料、殺生物劑及其他添加物。該(等)添加物可加到不會不利地影響該組合物的pH範圍的程度。
可用於該清潔組合物的另一任意成分係金屬螯合劑(EDTA以外):其能發揮提高該組合物保有溶液中的金屬的能力並且增進金屬殘留物的溶解之功効。有用於此目的的螫合劑之典型實例係以下的有機酸及其異構物和鹽類:伸丁二胺四醋酸、(1,2-環己二胺)四醋酸(CyDTA)、二伸乙三胺五醋酸(DETPA)、伸乙二胺四丙酸、(羥乙基)伸乙二胺三醋酸(HEDTA)、N,N,N',N'-伸乙二胺四(亞甲基膦)酸(EDTMP)、三伸乙四胺六醋酸(TTHA)、1,3-二胺基-2-羥丙烷-N,N,N',N'-四醋酸(DHPTA)、甲基亞胺基二醋酸、伸丙二胺四醋酸、硝基三醋酸(NTA)、酒石酸、葡萄糖醛酸、糖酸、甘油酸、草酸、苯二甲酸、順丁烯二酸、苯乙醇酸、丙二酸、乳酸、水楊酸、苯磷二酚、沒食子酸、沒食子酸丙酯、焦性沒食子酸、8-羥基喹啉及半胱胺酸。較佳的螯合劑係胺基羧酸類例如CyDTA及胺基膦酸類例如EDTMP。
咸相信,對大部分應用而言,該螫合劑將會依照該組合物的約0.1重量%至約10重量%的量,較佳地依照該組合物的約0.5重量%至約5重量%的量存在於該組合物中。
其他眾所周知的組分例如染料、殺生物劑等等均能依照慣用量,舉例來說,加總達該組合物的約5重量%的量,包含於該清潔組合物中。
本發明的清潔組合物通常於容器中於室溫下將 該等組分混在一起直到所有固體均溶於水性媒介而製備。
本發明的清潔組合物能用以從基材移除不欲的殘留物。咸相信該組合物特別好的優點是能用於清潔半導體裝置製造過程中有殘留物沉積或形成於其上的半導體基材;此殘留物的實例包括呈膜形態(正性和負性二者)的阻劑組合物和乾式蝕刻期間形成的蝕刻沉積物,以及經化學降解的阻劑膜。當待移除的殘留物係阻劑膜及/或於表面露出金屬膜的半導體基材上的蝕刻沉積物時使用該組合物特別有效。能利用不會攻擊基材本身之發明組合物來清潔的基材之實例包括金屬基材,舉例來說:鋁/鈦/鎢;鋁/矽;鋁/矽/銅;氧化矽;氮化矽;及鎵/砷化物。這樣的基材通常包括含光阻劑及/或蝕刻後沉積物的殘留物。
能藉由使用本發明的清潔組合物有效移除的組劑組合物包括含酯類或鄰-萘醌類及酚醛樹脂(novolak)型結合劑的光阻劑,及含嵌段聚羥基苯乙烯或聚羥基苯乙烯共聚物及光酸產物的化學放大型阻劑。市售可得的光組劑組合物的實例包括Clariant股份有限公司AZ 1518、AZ 4620;Shipley有限公司光阻劑,S1400、APEX-ETM正性DUV、UV5TM正性DUV、MegapositTM SPRTM 220系列;JSR Microelectronics光阻劑KRF®系列、ARF®系列;及Tokyo Ohka Kogyo有限公司光阻劑TSCR系列及TDUR-P/N系列。
除了在用以移除阻劑膜及/或表面露出金屬膜的半導體晶圓上的蝕刻殘留物之情形有效以外,當該金屬膜係由銅或含銅的銅合金當主要組分製成時還有當低介電膜當層 間絕緣膜使用時該清潔組合物尤其有效。含有銅當主要組分的銅合金之實例係含有90重量%或更多銅及其他元素,舉例來說,Sn、Ag、Mg、Ni、Co、Ti、Si和Al,的銅合金。因為這些金屬具有低阻抗並且改良元件的高速操作,但是易於被化學藥品溶解或腐蝕,所以本發明的組合物的"非腐蝕"性質係重要的。
此揭露的清潔組合物能用於較低溫度及只有少許的腐蝕性效應下從半導體基材移除蝕刻和灰化後殘留物、其他有機和無機殘留物以及聚合性殘留物。該清潔組合物理應施敷於該表面經歷一段時期以充分獲得預期的清潔效果。時間將會隨著眾多因子而變化,包括,舉例來說,該殘留物的本質、該清潔組合物的溫度及使用的特定清潔組合物。一般,該清潔組合物能藉由下列方式應用,舉例來說,於約25°C至約85℃的溫度下接觸該基材經歷介於約1分鐘至約1小時的時期,緊接著從該基材沖洗掉該清潔組合物並且乾燥該基材。
該接觸步驟能藉由任何適合手段完成例如,舉例來說,沉浸、噴灑或經由單晶圓程序;任何利用用於移除光阻劑、灰分或蝕刻沉積物及/或污染物的液體之方法均能使用。
該沖洗步驟係藉由任何適合手段完成,舉例來說,藉由沉浸或噴灑技術利用去離子水沖洗該基材。在較佳具體實施例中,該沖洗步驟係運用去離子水與水可混溶性有機溶劑例如,舉例來說,異丙醇,的混合物完成。
該乾燥步驟係藉由任何適合手段完成,舉例來 說,異丙醇(IPA)蒸氣乾燥或藉由向心力。
熟悉此技藝者將會明白本發明的清潔組合物可經改質以達成最佳的清潔而不會損壞該基材,以致於該製程中能保持高處理量的清潔。舉例來說,熟悉此技藝者將會明白,舉例來說,一些或所有組分的量之變更可依據被清潔的基材之組成、待移除的殘留物之本質及所用的特定程序參數完成。
儘管本發明主要關聯清潔半導體基材做描述,但是本發明的清潔組合物能用以清潔任何包括有機和無機殘留物的基材。
下列實施例係為了達成進一步舉例說明本發明的目的而提供但是絕非意欲限制本發明。
實施例
以下表1中的配方係藉由將配方表(請參照下列表1)上的組分混合及摻混,而且於室溫下攪拌製成。金屬蝕刻速率係於加熱板上的燒杯中利用1”鐵氟龍攪拌子於500rpm下測量,該加熱板將該溶液加熱達於35與50℃之間的溫度。藉著ResMap4點探針法,拿金屬空白晶圓(blanket wafer)(矽晶圓上Cu或Co)用於蝕刻速率測量。在化學藥品浸漬前後測量金屬空白片的厚度,而且以膜損失量除以處理時間(分鐘)得到以埃/分鐘表示金屬蝕刻速率。
表1中的數據舉例說明至少0.3%,特別是0.3至3.0%的量的乙二胺四醋酸(EDTA),當加於包含把有機酸、胺、氟化物、水及有機溶劑算在內的其他組分的晶圓清潔配方時,能觀察到EDTA的鈷腐蝕抑制效應。當該配方中存有0.3%的另一腐蝕抑制劑例如,舉例來說,甲苯基三唑(TTL)時尤其為真(對照98K與98S)。從以上的數據得知若EDTA%的量低於0.3%,該鈷腐蝕抑制作用便不足夠。
儘管本發明的原則已經關聯較佳具體實施例描述於上文,咸能清楚理解到此說明書僅為了示範而且不得視為本發明範圍的限制。

Claims (25)

  1. 一種有用於自半導體基材移除殘留物之組合物,其依有效清潔量包含:約0.3至約5.0重量%的EDTA;約10.0至約30.0重量%的胺化合物,其中該胺化合物係選自由二級胺、三級胺及其混合物所組成的群組;約0.1至約5.0重量%的多官能性有機酸;約0.01至約8.0重量%的氟離子來源;約0至約60重量%的水可混溶性有機溶劑;約0至約15重量%的腐蝕抑制劑;及加總至100重量%之餘量的水。
  2. 如申請專利範圍第1項之組合物,其中該胺化合物係選自由三乙醇胺(TEA)、二乙醇胺、N-甲基二乙醇胺、二異丙醇胺、N-甲基乙醇胺及其混合物所組成的群組。
  3. 如申請專利範圍第1項之組合物,其中該多官能性有機酸係選自由丙二酸、蘋果酸、苯二甲酸、檸檬酸、偏苯三甲酸及其組合所組成的群組。
  4. 如申請專利範圍第1項之組合物,其中該腐蝕抑制劑存在而且係三唑化合物。
  5. 如申請專利範圍第1項之組合物,其中該三唑化合物係選 自由苯并三唑、鄰-甲苯基三唑、間-甲苯基三唑、對-甲苯基三唑、羧基苯并三唑、1-羥基苯并三唑、硝基苯并三唑及二羥基丙基苯井三唑所組成的群組。
  6. 如申請專利範圍第5項之組合物,其中該三唑化合物係選自由鄰-甲苯基三唑、間-甲苯基三唑、對-甲苯基三唑及其混合物所組成的群組。
  7. 如申請專利範圍第1項之組合物,其中該水可混溶性有機溶劑存在而且係選自由以下所組成的群組:二甲基乙醯胺(DMAC)、N-甲基吡咯酮(NMP)、二甲基亞碸(DMSO)、二甲基甲醯胺、N-甲基甲醯胺、甲醯胺、二甲基-2-六氫吡啶酮(DMPD)、四氫呋喃甲醇、丙三醇、乙二醇、醯胺、醇、亞碸、羥醯胺、胺基醇類、(C2-C20)烷二醇、(C3-C20)烷三醇、環狀醇、丙二醇、四氫呋喃甲醇、二丙酮醇、1,4-環己烷二甲醇。
  8. 如申請專利範圍第7項之組合物,其中該水可混溶性有機溶劑係選自由DMSO、NMP及DMAC所組成的群組。
  9. 如申請專利範圍第1項之組合物,其中該水可混溶性有機溶劑存在而且係選自由以下所組成的群組的二醇醚:乙二醇單甲醚、乙二醇單乙醚、乙二醇單乙醚、乙二醇二甲醚、乙二醇二乙醚、二乙二醇單甲醚、二乙二醇單乙醚、二乙二醇單丙醚、二乙二醇單異丙醚、二乙二醇單乙醚、二乙二醇單 丁醚、二乙二醇單苯甲醚、二乙二醇二甲醚、二乙二醇二乙醚、三乙二醇單甲醚、三乙二醇二甲醚、聚乙二醇單甲醚、二乙二醇甲基乙基醚、三乙二醇乙二醇單甲醚醋酸酯、乙二醇單乙醚醋酸酯、丙二醇甲醚醋酸酯、丙二醇單甲醚、丙二醇二甲醚、丙二醇單丁醚、丙二醇、單丙醚、二丙二醇單甲醚、二丙二醇單丙醚、二丙二醇單異丙醚、二丙二醇單丁醚、二丙二醇二異丙醚、三丙二醇單甲醚、1-甲氧基-2-丁醇、2-甲氧基-1-丁醇、2-甲氧基-2-甲基丁醇、1,1-二甲氧基乙烷及2-(2-丁氧基乙氧基)乙醇。
  10. 如申請專利範圍第1項之組合物,其包含:約0.3至約3.5重量%的EDTA;約20.0至約30.0重量%的胺化合物,其中該胺化合物係選自由二級胺、三級胺及其混合物所組成的群組;約0.5至約2.0重量%的多官能性有機酸;約0.025至約5.0重量%的氟離子來源;約20至約40重量%的水可混溶性有機溶劑;約0.3至約1.5重量%的腐蝕抑制劑,其係選自由鄰-甲苯基三唑、間-甲苯基三唑、對-甲苯基三唑及其混合物所組成的群組;及加總至100重量%之餘量的水。
  11. 一種自任意包含鋁的基材移除殘留物之方法,該方法包含以下步驟: 使該基材與一組合物接觸,該組合物包含:約0.3至約5.0重量%的EDTA;約10.0至約30.0重量%的胺化合物,其中該胺化合物係選自由二級胺、三級胺及其混合物所組成的群組;約0.1至約5.0重量%的多官能性有機酸;約0.01至約8.0重量%的氟離子來源;約0至約60重量%的水可混溶性有機溶劑;約0至約15重量%的腐蝕抑制劑;及加總至100重量%之餘量的水;以水沖洗該基材;及乾燥該基材,其中該方法不包括在以水沖洗該基材的步驟之前的中間IPA沖洗步驟。
  12. 如申請專利範圍第11項之方法,其中該基材係半導體基材。
  13. 如申請專利範圍第11項之方法,其中該胺化合物係選自由三乙醇胺(TEA)、二乙醇胺、N-甲基二乙醇胺、二異丙醇胺、N-甲基乙醇胺及其混合物所組成的群組。
  14. 如申請專利範圍第11項之方法,其中該多官能性有機酸係選自由丙二酸、蘋果酸、苯二甲酸、檸檬酸、偏苯三甲酸及其組合所組成的群組。
  15. 如申請專利範圍第11項之方法,其中該腐蝕抑制劑存在而且係三唑化合物。
  16. 如申請專利範圍第11項之方法,其中該三唑化合物係選自由苯并三唑、鄰-甲苯基三唑、間-甲苯基三唑、對-甲苯基三唑、羧基苯并三唑、1-羥基苯并三唑、硝基苯并三唑及二羥基丙基苯井三唑所組成的群組。
  17. 如申請專利範圍第16項之方法,其中該三唑化合物係選自由鄰-甲苯基三唑、間-甲苯基三唑、對-甲苯基三唑及其混合物所組成的群組。
  18. 如申請專利範圍第11項之方法,其中該水可混溶性有機溶劑存在而且係選自由以下所組成的群組:二甲基乙醯胺(DMAC)、N-甲基吡咯酮(NMP)、二甲基亞碸(DMSO)、二甲基甲醯胺、N-甲基甲醯胺、甲醯胺、二甲基-2-六氫吡啶酮(DMPD)、四氫呋喃甲醇、丙三醇、乙二醇、醯胺、醇、亞碸、羥醯胺、胺基醇類、(C2-C20)烷二醇、(C3-C20)烷三醇、環狀醇、丙二醇、四氫呋喃甲醇、二丙酮醇、1,4-環己烷二甲醇。
  19. 如申請專利範圍第18項之方法,其中該水可混溶性有機溶劑係選自由DMSO、NMP及DMAC所組成的群組。
  20. 如申請專利範圍第11項之方法,其中該水可混溶性有機溶 劑存在而且係選自由以下所組成的群組的二醇醚:乙二醇單甲醚、乙二醇單乙醚、乙二醇單乙醚、乙二醇二甲醚、乙二醇二乙醚、二乙二醇單甲醚、二乙二醇單乙醚、二乙二醇單丙醚、二乙二醇單異丙醚、二乙二醇單乙醚、二乙二醇單丁醚、二乙二醇單苯甲醚、二乙二醇二甲醚、二乙二醇二乙醚、三乙二醇單甲醚、三乙二醇二甲醚、聚乙二醇單甲醚、二乙二醇甲基乙基醚、三乙二醇乙二醇單甲醚醋酸酯、乙二醇單乙醚醋酸酯、丙二醇甲醚醋酸酯、丙二醇單甲醚、丙二醇二甲醚、丙二醇單丁醚、丙二醇、單丙醚、二丙二醇單甲醚、二丙二醇單丙醚、二丙二醇單異丙醚、二丙二醇單丁醚、二丙二醇二異丙醚、三丙二醇單甲醚、1-甲氧基-2-丁醇、2-甲氧基-1-丁醇、2-甲氧基-2-甲基丁醇、1,1-二甲氧基乙烷及2-(2-丁氧基乙氧基)乙醇。
  21. 如申請專利範圍第11項之方法,其中該組合物包含:約0.3至約3.5重量%的EDTA;約20.0至約30.0重量%的胺化合物,其中該胺化合物係選自由二級胺、三級胺及其混合物所組成的群組;約0.5至約2.0重量%的多官能性有機酸;約0.025至約5.0重量%的氟離子來源;約20至約40重量%的水可混溶性有機溶劑;約0.3至約1.5重量%的腐蝕抑制劑,其係選自由鄰-甲苯基三唑、間-甲苯基三唑、對-甲苯基三唑及其混合物所組成的群組;及 加總至100重量%之餘量的水。
  22. 如申請專利範圍第12項之方法,其中該基材包含鈷金屬及鋁。
  23. 如申請專利範圍第22項之方法,其中該組合物藉由提供4Å/min的更小的鈷金屬蝕刻速率來保護該鈷金屬。
  24. 如申請專利範圍第23項之方法,其中該組合物藉由提供3Å/min的更小的鈷金屬蝕刻速率來保護該鈷金屬。
  25. 如申請專利範圍第24項之方法,其中該組合物藉由提供2Å/min的更小的鈷金屬蝕刻速率來保護該鈷金屬。
TW105130675A 2015-09-23 2016-09-22 清潔配方 TWI626305B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562222259P 2015-09-23 2015-09-23
US62/222,259 2015-09-23
US15/264,078 2016-09-13
US15/264,078 US10233413B2 (en) 2015-09-23 2016-09-13 Cleaning formulations

Publications (2)

Publication Number Publication Date
TW201712110A TW201712110A (zh) 2017-04-01
TWI626305B true TWI626305B (zh) 2018-06-11

Family

ID=58276729

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105130675A TWI626305B (zh) 2015-09-23 2016-09-22 清潔配方

Country Status (7)

Country Link
US (1) US10233413B2 (zh)
JP (1) JP6470239B2 (zh)
KR (1) KR101960351B1 (zh)
CN (2) CN106547178A (zh)
PH (1) PH12016000330A1 (zh)
SG (1) SG10201607915YA (zh)
TW (1) TWI626305B (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113214920A (zh) * 2015-03-31 2021-08-06 弗萨姆材料美国有限责任公司 清洁制剂
KR101966808B1 (ko) * 2016-09-30 2019-04-08 세메스 주식회사 기판 세정 조성물, 기판 처리 방법 및 기판 처리 장치
US10062560B1 (en) * 2017-04-26 2018-08-28 Globalfoundries Inc. Method of cleaning semiconductor device
US10879076B2 (en) * 2017-08-25 2020-12-29 Versum Materials Us, Llc Etching solution for selectively removing silicon-germanium alloy from a silicon-germanium/silicon stack during manufacture of a semiconductor device
US10475654B2 (en) 2017-08-31 2019-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact plug and method manufacturing same
US20190103282A1 (en) * 2017-09-29 2019-04-04 Versum Materials Us, Llc Etching Solution for Simultaneously Removing Silicon and Silicon-Germanium Alloy From a Silicon-Germanium/Silicon Stack During Manufacture of a Semiconductor Device
CN109976108A (zh) * 2017-12-27 2019-07-05 安集微电子(上海)有限公司 一种用于半导体的清洗液
CN110713868A (zh) * 2018-07-13 2020-01-21 巴斯夫欧洲公司 可移除氮化钛的蚀刻后残渣清理溶液
CN108998267A (zh) * 2018-08-29 2018-12-14 李少伟 一种半导体器件防蚀剂清洗剂及制备方法
WO2020195343A1 (ja) * 2019-03-26 2020-10-01 富士フイルムエレクトロニクスマテリアルズ株式会社 洗浄液
JP2022536971A (ja) * 2019-06-19 2022-08-22 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 半導体基材のための洗浄組成物
CN111041539B (zh) * 2020-01-02 2021-07-30 杭州和韵科技有限公司 一种铝阳极氧化染色前双步表调剂及其制备与应用
KR102192954B1 (ko) * 2020-03-26 2020-12-18 동우 화인켐 주식회사 고분자 세정용 조성물
CN113913835A (zh) * 2021-10-20 2022-01-11 淄博倍尔科新型材料有限公司 一种去除大体积固态顽固油污的新型组合物

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102533470A (zh) * 2011-12-29 2012-07-04 镇江市港南电子有限公司 一种硅片清洗液

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6492311B2 (en) * 1990-11-05 2002-12-10 Ekc Technology, Inc. Ethyenediaminetetraacetic acid or its ammonium salt semiconductor process residue removal composition and process
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
TW200505975A (en) 2003-04-18 2005-02-16 Ekc Technology Inc Aqueous fluoride compositions for cleaning semiconductor devices
US20050205835A1 (en) * 2004-03-19 2005-09-22 Tamboli Dnyanesh C Alkaline post-chemical mechanical planarization cleaning compositions
CN100549236C (zh) * 2004-04-09 2009-10-14 上海月旭半导体科技有限公司 半导体芯片化学机械研磨后清洗液
KR101444468B1 (ko) * 2005-10-05 2014-10-30 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제
WO2007111694A2 (en) * 2005-11-09 2007-10-04 Advanced Technology Materials, Inc. Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
KR101622862B1 (ko) 2007-05-17 2016-05-19 엔테그리스, 아이엔씨. Cmp후 세정 제제용 신규한 항산화제
JP5086893B2 (ja) 2008-05-26 2012-11-28 花王株式会社 半導体デバイス用基板用の洗浄液
US8361237B2 (en) * 2008-12-17 2013-01-29 Air Products And Chemicals, Inc. Wet clean compositions for CoWP and porous dielectrics
US8877640B2 (en) 2010-07-06 2014-11-04 United Microelectronics Corporation Cleaning solution and damascene process using the same
KR20120078607A (ko) * 2010-12-31 2012-07-10 제일모직주식회사 반도체 소자 세정액 조성물 및 이를 이용한 반도체 소자의 세정 방법
US8889609B2 (en) * 2011-03-16 2014-11-18 Air Products And Chemicals, Inc. Cleaning formulations and method of using the cleaning formulations
US9224643B2 (en) 2011-09-19 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for tunable interconnect scheme
WO2013052809A1 (en) * 2011-10-05 2013-04-11 Avantor Performance Materials, Inc. Microelectronic substrate cleaning compositions having copper/azole polymer inhibition
KR20140013310A (ko) * 2012-07-23 2014-02-05 삼성디스플레이 주식회사 식각액 조성물, 및 이를 이용한 금속 배선과 박막 트랜지스터 표시판 제조 방법
CN103668206A (zh) * 2012-09-19 2014-03-26 东友精细化工有限公司 用于铜层/钛层的蚀刻溶液组合物
US9536730B2 (en) * 2012-10-23 2017-01-03 Air Products And Chemicals, Inc. Cleaning formulations
KR20140092077A (ko) * 2013-01-15 2014-07-23 주식회사 코원이노텍 반도체 및 디스플레이 제조공정용 세정제 조성물
US9957469B2 (en) * 2014-07-14 2018-05-01 Versum Materials Us, Llc Copper corrosion inhibition system

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102533470A (zh) * 2011-12-29 2012-07-04 镇江市港南电子有限公司 一种硅片清洗液

Also Published As

Publication number Publication date
JP2017071766A (ja) 2017-04-13
CN106547178A (zh) 2017-03-29
PH12016000330A1 (en) 2018-03-26
CN114940926A (zh) 2022-08-26
KR20170039575A (ko) 2017-04-11
TW201712110A (zh) 2017-04-01
JP6470239B2 (ja) 2019-02-13
US20170081622A1 (en) 2017-03-23
US10233413B2 (en) 2019-03-19
SG10201607915YA (en) 2017-04-27
KR101960351B1 (ko) 2019-03-20

Similar Documents

Publication Publication Date Title
TWI626305B (zh) 清潔配方
KR102055788B1 (ko) 에칭 조성물 및 이를 사용하는 방법
KR100857865B1 (ko) 세정 제제
JP6546080B2 (ja) クリーニング用組成物
TWI454573B (zh) 清潔配方及該清潔配方的使用方法
US7879783B2 (en) Cleaning composition for semiconductor substrates
JP6612891B2 (ja) 洗浄配合
JP2007016232A (ja) カチオン塩含有残留物除去用の組成物及びそれを使用する方法
CN110777021A (zh) 蚀刻后残留物清洁组合物及其使用方法
JP2022536971A (ja) 半導体基材のための洗浄組成物