JP2020536389A - 有機前駆体を使用した遠隔のプラズマによる、シリコンと炭素とを含有する膜の表面処理 - Google Patents

有機前駆体を使用した遠隔のプラズマによる、シリコンと炭素とを含有する膜の表面処理 Download PDF

Info

Publication number
JP2020536389A
JP2020536389A JP2020519129A JP2020519129A JP2020536389A JP 2020536389 A JP2020536389 A JP 2020536389A JP 2020519129 A JP2020519129 A JP 2020519129A JP 2020519129 A JP2020519129 A JP 2020519129A JP 2020536389 A JP2020536389 A JP 2020536389A
Authority
JP
Japan
Prior art keywords
plasma
surface treatment
chamber
treatment process
carbon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020519129A
Other languages
English (en)
Other versions
JP6991324B2 (ja
Inventor
エックス. ヤン マイケル
エックス. ヤン マイケル
チュン ホワ
チュン ホワ
ルー シンリアン
ルー シンリアン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Beijing E Town Semiconductor Technology Co Ltd
Mattson Technology Inc
Original Assignee
Beijing E Town Semiconductor Technology Co Ltd
Mattson Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Beijing E Town Semiconductor Technology Co Ltd, Mattson Technology Inc filed Critical Beijing E Town Semiconductor Technology Co Ltd
Publication of JP2020536389A publication Critical patent/JP2020536389A/ja
Application granted granted Critical
Publication of JP6991324B2 publication Critical patent/JP6991324B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/3003Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D67/00Processes specially adapted for manufacturing semi-permeable membranes for separation processes or apparatus
    • B01D67/0081After-treatment of organic or inorganic membranes
    • B01D67/009After-treatment of organic or inorganic membranes with wave-energy, particle-radiation or plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/006Details of gas supplies, e.g. in an ion source, to a beam line, to a specimen or to a workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

low−k誘電体材料を処理するための表面処理プロセスが提供される。1つの例示的な実施形態は、被加工物を処理するための方法を含むことができる。被加工物は、シリコンと炭素とを含有する膜材料とを含むことができる。当該方法は、表面処理プロセスによって被加工物を処理することを含むことができる。表面処理プロセスは、第1のチャンバ内で1つまたは複数の種を生成することと、1つまたは複数の炭化水素分子を種と混合して、1つまたは複数の有機ラジカルを含む混合物を生成することと、第2のチャンバ内で被加工物上のシリコンと炭素とを含有する層を混合物に曝すこととを含むことができる。

Description

優先権の主張
本出願は、2017年10月3日に出願された“Surface Treatment of Silicon and Carbon Containing Films by Remote Plasma with Organic Precursors”という表題の米国仮出願番号第62/567295号明細書の優先権を主張する、2018年4月20日に出願された“Surface Treatment Of Silicon And Carbon Containing Films By Remote Plasma With Organic Precursors”という表題の米国特許出願番号第15/958635号明細書の優先権を主張するものであり、同明細書は、あらゆる目的で参照によって本明細書に組み込まれる。
分野
本開示は、概して、半導体被加工物のような基板の表面処理に関する。
背景
炭素を含有する低誘電率(low−k)誘電体材料は、半導体デバイスの製造においてますます使用されるようになっている。例えば、SiOCNは、高度な半導体デバイスの基板工程(front-end-of-line:FEOL)用途におけるスペーサ材料として使用され得る。SiOCは、配線工程(back-end-of-line:BEOL)用途における相互接続誘電体として使用され得る。
多孔質のlow−k誘電体材料のような、炭素を含有するlow−k誘電体材料は、半導体製造プロセスのステップ(例えば、酸素含有プラズマ乾式エッチング、含有プラズマ乾式アッシング、湿式洗浄、化学機械研磨(CMP)など)による損傷を受けやすいことがある。このような損傷は、例えば、炭素膜の露出した表面領域(例えば、頂面、側壁など)の消耗に関連する誘電率(k)の増加と、Si−CH(メチル)結合の、Si−OH(ヒドロキシル)結合への置換とを含むことができる。
概要
本開示の実施形態の態様および利点は、部分的に以下の説明に記載されるか、または以下の説明から把握され得るか、もしくは実施形態の実施を通じて把握され得る。
本開示の1つの例示的な態様は、被加工物を処理するための方法に関する。被加工物は、シリコンと炭素とを含有する膜材料を含むことができる。当該方法は、シリコンと炭素とを含有する膜材料に対して有機ラジカルベースの表面処理プロセスを実施することを含むことができる。表面処理プロセスは、第1のチャンバ内で1つまたは複数の種を生成することと、1つまたは複数の炭化水素分子を種と混合して、1つまたは複数の有機ラジカルを含む混合物を生成することと、第2のチャンバ内で被加工物上のシリコンと炭素とを含有する膜材料を混合物に曝すこととを含むことができる。
本開示の他の例示的な態様は、被加工物の表面処理のためのシステム、方法、および装置に関する。
種々の実施形態の上記およびその他の特徴、態様、および利点は、以下の説明および添付の特許請求の範囲を参照することでより良好に理解されるであろう。本明細書に組み込まれ、本明細書の一部を構成する添付の図面は、本開示の実施形態を図示したものであり、明細書と併せて、関連する原理を説明するために役立つ。
図面の簡単な説明
本明細書には、当業者に向けられた実施形態の詳細な説明が記載されており、本明細書は、添付の図面を参照する。
例示的な基板工程(FEOL)のスペーサ構造を示す図である。 例示的な配線工程(BEOL)の相互接続構造を示す図である。 本開示の例示的な実施形態による、例示的なプラズマ処理装置を示す図である。 本開示の例示的な実施形態による、例示的な方法のフローチャートである。 本開示の例示的な実施形態による、例示的な表面処理プロセスのフローチャートである。 本開示の例示的な実施形態による、例示的な表面処理プロセスのフローチャートである。 本開示の例示的な実施形態による、表面処理プロセス中の例示的なイオンフィルタリング後のガス注入を示す図である。 本開示の例示的な実施形態による、例示的な方法のフローチャートである。 本開示の例示的な実施形態による、例示的な有機ラジカルの生成を示す図である。
詳細な説明
以下では、複数の実施形態が詳細に参照され、これらの実施形態のうちの1つまたは複数の例が図面に図示されている。それぞれの例は、本開示を限定するものとして提供されているのではなく、実施形態を説明するものとして提供されている。実際に、当業者には、本開示の範囲または精神から逸脱することなく、これらの実施形態に種々の修正および変更を加えることができることが明らかであろう。例えば、或る1つの実施形態の一部として図示または説明されている特徴を、別の実施形態と共に使用して、さらに別の実施形態を生み出すことができる。したがって、本開示の態様は、そのような修正および変更を含むことが意図されている。
本開示の例示的な態様は、半導体ウェハのような被加工物上の、シリコンと炭素とを含有するlow−k誘電体膜材料を処理するための、表面処理プロセスに関する。低誘電率(例えば、「low−k」)の誘電体材料は、高度な半導体デバイスの製造において使用され得る。low−k誘電体材料は、約3.0未満、例えば約2.5未満、例えば約2.2未満の誘電率を有することができる。本明細書で使用される場合、数値と併せて「約」という用語が使用される場合には、記載された数値の20%以内を指すことができる。
low−k誘電体材料は、例えば、基板工程(FEOL)用途では、ゲートとソースとの間のスペーサとして、かつ/またはゲートとドレインとの間のスペーサとして使用され得る。図1は、例示的なlow−kスペーサ構造を有する被加工物50を示す。より具体的には、被加工物50は、トランジスタ構造54(例えば、ゲート)を有する半導体基板52(例えば、シリコン)を含む。トランジスタ構造54と半導体基板52との間には、誘電体層55が配置され得る。スペーサ構造(例えば、ゲート側壁スペーサ構造)は、トランジスタ構造54を少なくとも部分的に取り囲むことができる。スペーサ構造は、シリコンと炭素とを含有する薄膜57を含むことができる。いくつかの実施形態では、膜57は、追加的に窒素を含むことができる。いくつかの実施形態では、膜57は、追加的に酸素を含むことができる。いくつかの実施形態では、膜57は、炭窒化シリコン(SiCN)膜であり得る。いくつかの実施形態では、膜57は、酸炭窒化シリコン(SiOCN)膜であり得る。酸素含有プラズマ乾式エッチング、プラズマ乾式アッシング、湿式洗浄などのような半導体製造プロセスは、FEOL用途におけるスペーサ構造の一部として使用される膜57に対して、損傷(例えば、k値の増加)を引き起こす可能性がある。
low−k誘電体は、配線工程(BEOL)用途では、相互接続構造(例えば、Cu相互接続構造)の一部として使用され得る。例えば、図2は、BEOLにおける銅相互接続構造を製造するための例示的なプロセスフローを示す。図示のように、被加工物60は、レジスト層62を含むと共に、銅部分67を有する層65を覆うように形成された、シリコンと炭素とを含有するlow−k誘電体膜層64を含む。いくつかの実施形態では、low−k誘電体膜層64は、追加的に酸素を含むことができる。いくつかの実施形態では、low−k誘電体膜層64は、酸炭化シリコン(SiOC)層であり得る。いくつかの実施形態では、low−k誘電体膜層64は、多孔質であり得る。例えば、low−k誘電体膜層は、約1%〜約50%の範囲の多孔度(porosity)を有することができる。本明細書で使用される場合、「多孔度」は、材料の総体積に対する、材料中のボイドまたは空スペースの体積の尺度であり得る。
被加工物60は、low−k誘電体膜層64にトレンチ66および/またはビア構造を生成するために、プラズマエッチングプロセスを受けることができる。プラズマエッチングプロセスは、酸素含有乾式エッチングプロセスであり得る。プラズマエッチングプロセスの後、Cuシード堆積およびバルクめっき(図示せず)の前に、超薄Cu層を堆積させることができる。プラズマエッチングプロセスは、low−k誘電体膜層64の損傷、および/またはlow−k誘電体膜層64の誘電率の増加を引き起こす可能性がある。例えば、low−k誘電体膜層64は、露出した表面領域(例えば、側壁など)におけるメチル(CH基)の消耗と、Si−C(炭素)結合(例えば、Si−CH結合)の、Si−O(酸素)結合(例えば、Si−OH結合)への置換とによって、損傷を受ける可能性がある。この損傷は、low−k誘電体膜64の誘電率の増加を結果的に生じさせる可能性がある。
low−k誘電体材料(例えば、スペーサ構造55における層57、相互接続構造60の一部として使用されるlow−k誘電体膜層64など)を復元するために、表面処理プロセスを実施することができる。さらに、(例えば、BEOL相互接続構造を製造する際に)表面処理プロセスを実施して、BEOL相互接続の用途において、Cuの堆積前にlow−k誘電体材料の細孔を封止することができる。
BEOLプラズマエッチングの後にlow−k誘電体材料に対して実施することができる例示的な表面処理プロセスには、すなわち、紫外線(UV)アシストによる熱硬化と、炭化水素プラズマ処理と、ガスオンリーベースまたはプラズマベースのシリル化プロセスとがある。UV熱硬化は、low−k誘電体材料のプラズマエッチング中に形成されるヒドロキシル基を除去することができる。しかしながら、この熱硬化は、例示的な事例では、高温(例えば、600℃〜1000℃)でのみ有効なことがあり、BEOL用途には適していない。メタン(CH)または他の炭化水素を使用する炭化水素プラズマ処理は、low−k誘電体材料上に炭素リッチ層を構築することができるが、low−k誘電体材料の顕著な復元は伴わない。さらに、プラズマ処理は、被加工物デバイスを潜在的なプラズマ損傷に曝してしまうおそれがある。シリコンと炭化水素とを含有するシリル化前駆体は、低温(例えば、300℃未満)でSi−OH結合と反応することができ、損傷を受けたlow−k誘電体材料のk値の復元を実現することができる。しかしながら、シリル化前駆体は、BEOL相互接続構造60における露出した下にあるCu表面67と反応して、Cu線路抵抗に対して悪影響を与える可能性がある。結果として、シリル化プロセスの使用は、BEOL用途にとって実施可能な選択肢ではない場合がある。
本開示の例示的な態様によれば、low−k誘電体材料に対して実施される、エッチング後の表面処理プロセスは、望ましい有機ラジカル前駆体(例えば、CHラジカル)を組み込むことができる。有機ラジカルベースの表面処理プロセスは、low−k誘電体材料上の少なくとも一部における有機ラジカルの付着(例えば、気相中のCHラジカルに基づくメチル化)を結果的に生じさせることができる。望ましい有機ラジカル前駆体(例えば、CHラジカル)は、損傷を受けたlow−k誘電体材料を復元(例えば、k値を復元)するために、低温(例えば、300℃未満)で、Si−OH結合をSi−C(例えば、Si−CH)結合へと置換することができる。
望ましい有機ラジカル前駆体(例えば、CHラジカル)は、例えば、下にあるCu表面に対して悪影響を与えることなく、low−k誘電体材料を復元することができる。望ましい有機ラジカル前駆体(例えば、CHラジカル)は、low−k誘電体材料上への炭素層の堆積を低減させることもできる。
有機ラジカルベースの表面処理プロセスは、被加工物に曝すための中性ラジカルの通過を可能にしながら、イオンをフィルタリングすることを含む。例えば、分離グリッドを使用して、プラズマチャンバ内で生成されたイオンをフィルタリングすると共に、中性ラジカルが分離グリッドの孔を通過して処理チャンバに到達して、被加工物に曝されることを可能にすることができる。
いくつかの実施形態では、有機ラジカルは、プラズマチャンバ内で1つまたは複数の炭化水素分子を解離させることによって生成され得る。例えば、プラズマは、プラズマチャンバ内で炭化水素前駆体を用いて(例えば、誘導プラズマ源、容量プラズマ源、または他のプラズマ源を使用して)生成されて、処理チャンバ内で、シリコンと炭素とを含有する膜を有する被加工物を処理することができる。プラズマチャンバは、処理チャンバから遠隔にあり得る。分離グリッドアセンブリは、プラズマチャンバを処理チャンバから分離させることができる。分離グリッドアセンブリは、被加工物に対する直接的なプラズマ損傷を低減させるために、イオンフィルタリングを実施することができる。さらに、ラジカルの選択(例えば、CHラジカル)は、(例えば、誘導結合プラズマ源にエネルギ供給するためにパルス電力を使用することにより)低減されたプラズマエネルギで実現され得る。ラジカルの選択は、イオンフィルタリング後に追加的なガスを注入する方式によっても実現され得る。
いくつかの実施形態では、炭化水素前駆体は、例えば、BEOL用途において下にあるCu表面との負の相互作用を行う可能性がある元素を回避するように選択され得る。例えば、炭化水素前駆体は、シリコンおよび酸素を回避することができる。炭化水素前駆体は、損傷を受けた誘電体層を通って効果的な拡散が行われるように調整され得、かつ/または多孔質のlow−k誘電体材料の細孔が封止されるように構成され得る。
例示的な炭化水素分子は、例えば、非環状アルカンC2n+2を含むことができ、なお、nは、1以上かつ10以下である。例えば、炭化水素分子は、メタンCH、エタンC、プロパン、またはイソプロパンCなどのような非環状アルカンを含むことができる。
いくつかの実施形態では、炭化水素分子は、環状アルカンC2nを含むことができ、なお、nは、5以上かつ10以下である。例えば、炭化水素前駆体は、シクロペンタンC10、シクロヘキサンC12、メチルシクロヘキサンC14、ジメチルシクロヘキサンC16、1,3,5−トリメチルシクロヘキサンC18などのような環状アルカンを含むことができる。
いくつかの実施形態では、炭化水素前駆体は、エチレンC、プロペンCなどのようなアルケンC2nを含むことができ、なお、nは、2以上かつ10以下である。
いくつかの実施形態では、損傷を受けたlow−k誘電体材料中の望ましくないSi−OH結合を、Si−CH結合へと置換してk値を復元するために、炭化水素前駆体を他の反応性ガスと組み合わせることができる。例えば、炭化水素前駆体は、水素Hのような反応性ガスと組み合わせられ得る。
いくつかの実施形態では、有機ラジカルベースの表面処理プロセスは、分離グリッドによって処理チャンバから分離されたプラズマチャンバ内で1つまたは複数の種を生成することを含むことができる。種は、例えば、プロセスガス中でプラズマを誘導することによって生成され得る。いくつかの実施形態では、プロセスガスは、ヘリウム、アルゴン、キセノンなどのような不活性ガスであり得る。不活性ガス中で誘導プラズマ源を使用して生成された誘導プラズマは、1つまたは複数の励起された不活性ガス分子(例えば、励起されたヘリウム原子)を生成することができる。いくつかの実施形態では、プロセスガスは、水素ガスであり得る。プロセスガス中で誘導プラズマ源を使用して生成された誘導プラズマは、1つまたは複数の水素ラジカルを生成することができる。
いくつかの実施形態では、望ましいラジカル(例えば、CHラジカル)は、プラズマ後の混合物にガスを注入することによって生成され得る。例えば、プラズマ(例えば、Hプラズマ、またはHeプラズマのような不活性ガスプラズマ)は、遠隔のプラズマチャンバ内で生成され得る。混合物は、イオンをフィルタリングするための分離グリッドアセンブリを通過することができる。イオンフィルタリングの後、選択されたラジカル(例えば、CHラジカル)を生成するために、フィルタリングされた混合物に炭化水素(例えば、CH)を注入することができる。
有機ラジカルは、他のアプローチを使用して生成され得る。例えば、有機ラジカルは、分子の熱分解(熱による分解)(例えば、アゾメタンCH−N=N−CH)を使用して、またはUVアシストによる分子解離(例えば、アセトンCHCOCH)を使用して生成され得る。
いくつかの実施形態では、本開示の例示的な実施形態による複数の表面処理プロセスが実施され得る。複数の表面処理プロセスは、シーケンシャルに実施され得る。それぞれの表面処理プロセスは、それぞれ異なる特性を有することができる。例えば、第1の表面処理プロセスでは、損傷を受けたlow−k材料中に効果的に拡散させてk値を復元するために、比較的小さなサイズの炭化水素分子を使用することができる。第2の表面処理プロセスでは、多孔質のlow−k材料の効果的な細孔封止のために、比較的大きなおよび/または環状の炭化水素分子を使用することができる。別の例として、複数の表面処理プロセスの各々に対して、遠隔のプラズマチャンバ内でプラズマを生成するための誘導結合プラズマ源にエネルギ供給するために、それぞれ異なる電力量が使用され得る。別の例として、複数の表面処理プロセスの各々に対して、それぞれ異なる圧力が使用され得る。
本開示の態様は、例示および説明の目的で、「ウェハ」または半導体ウェハを参照しながら説明される。本明細書で提供される開示を使用する当業者は、本開示の例示的な態様が、任意の半導体基板または他の適切な基板に関連して使用され得ることを理解するであろう。さらに、数値と併せて「約」という用語が使用される場合には、記載された数値の10パーセント(10%)以内を指すことが意図されている。「ペデスタル」は、被加工物を支持するために使用され得る任意の構造を指す。
本開示の1つの例示的な実施形態は、被加工物を処理するための方法に関する。被加工物は、シリコンと炭素とを含有する膜材料とを含む。当該方法は、シリコンと炭素とを含有する膜材料に対して有機ラジカルベースの表面処理プロセスを実施することを含む。表面処理プロセスは、第1のチャンバ内で1つまたは複数の種を生成することと、1つまたは複数の炭化水素分子を種と混合して、1つまたは複数の有機ラジカルを含む混合物を生成することと、第2のチャンバ内で被加工物上のシリコンと炭素とを含有する層を混合物に曝すこととを含むことができる。いくつかの実施形態では、有機ラジカルベースの表面処理プロセスは、シリコンと炭素とを含有する膜材料上の少なくとも一部におけるメチル化を結果的に生じさせることができる。
いくつかの実施形態では、シリコンと炭素とを含有する膜材料は、酸素を含む。いくつかの実施形態では、膜材料は、約1%〜約50%の多孔度を有する。いくつかの実施形態では、シリコンと炭素とを含有する膜材料は、窒素を含む。いくつかの実施形態では、シリコンと炭素とを含有する膜材料は、基板工程用途において形成されるスペーサ構造の少なくとも一部として使用される。いくつかの実施形態では、シリコンと炭素とを含有する膜材料は、配線工程用途において形成される相互接続構造の少なくとも一部として使用される。
いくつかの実施形態では、1つまたは複数の炭化水素分子は、C2n+2の化学式を有し、なお、nは、1以上かつ10以下である。いくつかの実施形態では、1つまたは複数の炭化水素分子は、C2nの化学式を有し、なお、nは、2以上かつ10以下である。
いくつかの実施形態では、1つまたは複数の炭化水素分子は、第1のチャンバ内で種と混合される。いくつかの実施形態では、1つまたは複数の炭化水素分子は、第1のチャンバ内で種と混合される。
いくつかの実施形態では、本方法は、表面処理プロセスを実施した後、第1のチャンバ内でプラズマを生成することと、第2のチャンバから被加工物を取り外すこととを含む。いくつかの実施形態では、表面処理プロセスを実施した後、第1のチャンバ内でプラズマを生成することは、1つまたは複数の酸素ラジカルを生成することを含む。いくつかの実施形態では、本方法は、第1のチャンバ内のプラズマから生成された1つまたは複数のラジカルを使用して、乾式ストリッププロセスを実施することを含む。
いくつかの実施形態では、1つまたは複数の種は、誘導結合プラズマ源を使用して、第1のチャンバ内のプロセスガスからのプラズマ中で生成される。いくつかの実施形態では、プロセスガスは、ヘリウムのような不活性ガスである。いくつかの実施形態では、プロセスガスは、水素ガスを含み、種は、水素ラジカルを含む。
いくつかの実施形態では、種は、加熱されたフィラメントを使用して生成された1つまたは複数の水素ラジカルを含む。いくつかの実施形態では、1つまたは複数の有機ラジカルは、分子の熱分解を使用して、またはUVアシストによる分子解離を使用して生成される。
いくつかの実施形態では、本方法は、第1のチャンバを第2のチャンバから分離する分離グリッドを使用して、プラズマによって生成された1つまたは複数のイオンをフィルタリングして、フィルタリングされた混合物を生成することを含む。
本開示の別の例示的な実施形態は、被加工物を処理するための方法に関する。被加工物は、シリコンと炭素とを含有する膜材料を含む。本方法は、有機ラジカルベースの表面処理プロセスによって被加工物を処理することを含む。表面処理プロセスは、プラズマ処理装置のプラズマチャンバ内で生成されたプラズマを使用して、プロセスガスから1つまたは複数の種を生成することと、1つまたは複数の炭化水素分子を種と混合して、混合物を生成することと、プラズマチャンバから分離されている処理チャンバ内で被加工物を混合物に曝すこととを含む。
いくつかの実施形態では、プロセスガスは、ヘリウムのような不活性ガスである。いくつかの実施形態では、プロセスガスは、水素を含む。
いくつかの実施形態では、本方法は、有機ラジカルを用いた第2の表面処理プロセスによって被加工物を処理することを含む。第2の表面処理プロセスは、プラズマ処理装置のプラズマチャンバ内で生成されたプラズマを使用して、プロセスガスから1つまたは複数の種を生成することと、1つまたは複数の炭化水素分子を種と混合して、混合物を生成することと、プラズマチャンバから分離されている処理チャンバ内で被加工物を混合物に曝すこととを含むことができる。
いくつかの実施形態では、第2の表面処理プロセスの1つまたは複数の炭化水素分子は、前述の表面処理プロセスの1つまたは複数の炭化水素分子とは異なる。いくつかの実施形態では、第2の表面処理プロセスのプラズマは、前述の表面処理プロセスにおいて誘導結合プラズマ源に供給される電力とは異なる電力を使用して生成される。いくつかの実施形態では、第2の表面処理プロセスは、前述の表面処理プロセスとは異なる圧力または異なる温度で実施される。
図3は、本開示の例示的な実施形態による、表面処理プロセスを実施するために使用され得る例示的なプラズマ処理装置100を示す。図示のように、プラズマ処理装置100は、処理チャンバ110と、処理チャンバ110から分離されたプラズマチャンバ120とを含む。処理チャンバ110は、基板ホルダまたはペデスタル112を含み、この基板ホルダまたはペデスタル112は、半導体ウェハのような処理されるべき被加工物114を保持するように動作可能である。この例示的な図では、プラズマは、誘導結合プラズマ源135によってプラズマチャンバ120(すなわち、プラズマ生成領域)内で生成され、望ましい種は、プラズマチャンバ120から分離グリッドアセンブリ200を通って被加工物114の表面へと送られる。
プラズマチャンバ120は、誘電体側壁122と、天井124とを含む。誘電体側壁122と、天井124と、分離グリッドアセンブリ200とが、プラズマチャンバ室内125を画定する。誘電体側壁122は、石英および/またはアルミナのような誘電体材料から形成され得る。誘導結合プラズマ源135は、誘導コイル130を含むことができ、この誘導コイル130は、プラズマチャンバ120の周りの誘電体側壁122に隣接して配置されている。誘導コイル130は、適切なマッチングネットワーク132を介してRF電力発生器134に結合されている。反応体および/またはキャリアガスは、ガス供給部150および環型ガス分配チャネル151または他の適切なガス導入機構からチャンバ室内に供給され得る。RF電力発生器134からのRF電力によって誘導コイル130にエネルギ供給されると、プラズマチャンバ120内でプラズマが生成され得る。特定の実施形態では、プラズマ処理装置100は、誘導コイル130とプラズマとの容量結合を低減させるために、オプションのファラデーシールド128を含むことができる。
図3に示されるように、分離グリッドアセンブリ200は、プラズマチャンバ120を処理チャンバ110から分離する。分離グリッドアセンブリ200を使用して、プラズマチャンバ120内のプラズマによって生成された混合物からイオンフィルタリングを実施して、フィルタリングされた混合物を生成することができる。フィルタリングされた混合物は、処理チャンバ110内の被加工物114に曝され得る。
いくつかの実施形態では、分離グリッドアセンブリ200は、マルチプレート式の分離グリッドであり得る。例えば、分離グリッドアセンブリ200は、第1のグリッドプレート210および第2のグリッドプレート220を含むことができ、第1のグリッドプレート210と第2のグリッドプレート220とは、相互に平行な関係で離間されている。第1のグリッドプレート210と第2のグリッドプレートとは、所定の距離だけ分離され得る。
第1のグリッドプレート210は、複数の孔を有する第1のグリッドパターンを有することができる。第2のグリッドプレート220は、複数の孔を有する第2のグリッドパターンを有することができる。第1のグリッドパターンは、第2のグリッドパターンと同じでもよいし、または異なっていてもよい。荷電粒子は、分離グリッド200のそれぞれのグリッドプレート210,220の孔を通って荷電粒子の経路上の壁で再結合することができる。中性種は、第1のグリッドプレート210および第2のグリッドプレート220の孔を通って比較的自由に流れることができる。孔の寸法と、それぞれのグリッドプレート210および220の厚さとは、荷電種および中性種の両方の透過性に対して影響を与えることができる。
いくつかの実施形態では、第1のグリッドプレート210は、金属(例えば、アルミニウム)または他の導電性材料から形成され得、かつ/または第2のグリッドプレート220は、導電性材料または誘電性材料(例えば、石英、セラミックなど)から形成され得る。いくつかの実施形態では、第1のグリッドプレート210および/または第2のグリッドプレート220は、シリコンまたは炭化シリコンのような他の材料から形成され得る。グリッドプレートが金属または他の導電性材料から形成されている場合には、グリッドプレートは、接地され得る。
図4は、本開示の例示的な態様による、半導体デバイスを製造するための1つの例示的な方法(300)のフローチャートを示す。方法(300)は、例として、図3のプラズマ処理装置100を参照しながら説明される。方法(300)は、処理チャンバから分離されたプラズマチャンバを有する任意のプラズマ処理装置において実施され得る。図4は、例示および説明の目的で、特定の順序で実施されるステップを示す。本明細書で提供される開示を使用する当業者は、本開示の範囲から逸脱することなく、本明細書で説明される任意の方法の種々のステップが、種々のやり方で省略、拡張、同時実施、再配置、および/または変更され得ることを理解するであろう。さらに、本開示の範囲から逸脱することなく、種々のステップ(図示せず)が実施され得る。
(302)において、本方法は、本開示の例示的な実施形態による表面処理プロセスを実施するためのプラズマ処理装置を調整することを含むことができる。例えば、本方法は、表面処理プロセスを実施するために、プラズマチャンバ120および/または処理チャンバ110を調整することを含むことができる。いくつかの実施形態では、プラズマ処理装置100を調整することは、被加工物を処理チャンバ110に導入する前に、プラズマチャンバ120内で酸素ベースのプラズマを生成することを含むことができる。本開示の範囲から逸脱することなく、プラズマ処理装置を調整するために、他の酸化ベースの化学プロセスが実施され得る。
(304)において、本方法は、プラズマ処理装置の処理チャンバ内に被加工物を配置することを含むことができる。処理チャンバは、プラズマチャンバから分離され得る(例えば、分離グリッドアセンブリによって分離され得る)。例えば、本方法は、処理チャンバ110内のペデスタル112上に被加工物114を配置することを含むことができる。
被加工物は、シリコンと炭素とを含有する膜材料のような、low−k誘電体材料を含むことができる。いくつかの実施形態では、シリコンと炭素とを含有する膜材料は、酸素を含むことができる。いくつかの実施形態では、シリコンと炭素とを含有する膜材料は、窒素を含むことができる。いくつかの実施形態では、シリコンと炭素とを含有する膜材料は、約1%〜約50%の範囲の多孔度を有することができる。
一例では、膜材料は、FEOL用途におけるスペーサ構造の一部であり得る。例えば、膜材料は、図1に示される膜57であり得る。別の例では、膜材料は、BEOL用途における相互接続構造の一部であり得る。例えば、膜材料は、図2に示される層64であり得る。
図4を参照すると、本方法は、本開示の例示的な態様による表面処理プロセス(306)を実施することを含むことができる。損傷を受けたlow−k誘電体材料(例えば、先行するエッチングプロセスによって損傷を受けたlow−k誘電体材料)を復元するために、被加工物に対して表面処理プロセスを実施することができる。表面処理プロセスは、多孔質のlow−k誘電体材料のための細孔封止を実現することができる。例示的な表面処理プロセスは、図5および6を参照しながらより詳細に説明される。表面処理プロセスは、有機ラジカルベースの表面処理プロセスであり得る。有機ラジカルベースの表面処理プロセスは、low−k誘電体材料の表面の少なくとも一部のメチル化を結果的に生じさせるメチルラジカル(CH)ベースのプロセスであり得る。
図4の(308)において、本方法は、処理チャンバから被加工物を取り外すことを含むことができる。例えば、処理チャンバ110内のペデスタル112から被加工物114を取り外すことができる。次に、プラズマ処理装置は、追加的な被加工物の将来の処理のために調整され得る。
図5は、本開示の例示的な態様による、例示的な表面処理プロセス(400)のフローチャートを示す。方法(400)は、例として、図3のプラズマ処理装置100を参照しながら説明される。プロセス(400)は、処理チャンバから分離されたプラズマチャンバを有する任意のプラズマ処理装置において実施され得る。図5は、例示および説明の目的で、特定の順序で実施されるステップを示す。本明細書で提供される開示を使用する当業者は、本開示の範囲から逸脱することなく、本明細書で説明される任意の方法の種々のステップが、種々のやり方で省略、拡張、同時実施、再配置、および/または変更され得ることを理解するであろう。さらに、本開示の範囲から逸脱することなく、種々のステップ(図示せず)が実施され得る。
(402)において、表面処理プロセスは、被加工物を加熱することを含むことができる。例えば、被加工物114は、処理チャンバ110内でプロセス温度まで加熱され得る。被加工物114は、例えば、ペデスタル112に関連する1つまたは複数の加熱システムを使用して加熱され得る。いくつかの実施形態では、被加工物は、約20℃〜約400℃の範囲のプロセス温度まで加熱され得る。
(404)において、表面処理プロセスは、プロセスガスをプラズマチャンバに入れることを含むことができる。例えば、プロセスガスは、ガス源150から環型ガス分配チャネル151または他の適切なガス導入機構を介してプラズマチャンバ室内125に入れられ得る。
いくつかの実施形態では、プロセスガスは、1つまたは複数の炭化水素分子を含むことができる。例示的な炭化水素分子は、例えば、非環状アルカンC2n+2を含むことができ、なお、nは、1以上かつ10以下である。例えば、炭化水素分子は、メタンCH、エタンC、プロパン、またはイソプロパンCなどを含むことができる。
いくつかの実施形態では、炭化水素分子は、環状アルカンC2nを含むことができ、なお、nは、5以上かつ10以下である。例えば、炭化水素前駆体は、シクロペンタンC10、シクロヘキサンC12、メチルシクロヘキサンC14、ジメチルシクロヘキサンC16、1,3,5−トリメチルシクロヘキサンC18などのような環状アルカンを含むことができる。いくつかの実施形態では、炭化水素前駆体は、エチレンC、プロペンCなどのようなアルケンC2nを含むことができ、なお、nは、2以上かつ10以下である。
(406)において、表面処理プロセスは、水素ガス(H)のような反応性ガスのような第2のガスをプラズマチャンバに入れることを含む(例えば、オプションで含む)ことができる。例えば、第2のガスは、プロセスガスの一部としてプラズマチャンバに入れられ得る。プロセスガスは、Hと窒素(N)とを含む混合物、および/またはHとヘリウム(He)とを含む混合物、および/またはHとアルゴン(Ar)とを含む混合物を含むことができる。いくつかの実施形態では、プロセスガスは、ヘリウム、アルゴン、またはキセノンのような不活性ガスである。プラズマ中のプロセスガスと第2のガスとの混合物から生成されるラジカルは、損傷を受けたlow−k誘電体材料におけるSi−OH結合の、Si−C(例えば、Si−CH)結合への置換を支援して、k値を復元することができる。
(408)において、表面処理プロセスは、プラズマチャンバ内でプラズマを生成するために、誘導結合プラズマ源にエネルギ供給することを含むことができる。例えば、誘導コイル130は、プラズマチャンバ室内125でプラズマを生成するために、RF電力発生器134からのRFエネルギによってエネルギ供給され得る。いくつかの実施形態では、誘導結合プラズマ源は、低減されたプラズマエネルギを有する望ましいラジカルを得るために、パルス電力によってエネルギ供給され得る。
(410)において、表面処理プロセスは、プラズマを使用して、プラズマチャンバ室内で混合物中の1つまたは複数の炭化水素分子を解離させることを含むことができる。例えば、誘導結合プラズマ源135を使用してプラズマチャンバ室内125で誘導されたプラズマは、プロセスガス中の炭化水素分子と他の分子とを解離させて、ラジカルおよびイオンを生成することができる。例えば、1つまたは複数の炭化水素分子は、プラズマ中で解離されて、CHラジカルを生成することができる。
(412)において、表面処理プロセスは、混合物中のプラズマによって生成された1つまたは複数のイオンをフィルタリングして、フィルタリングされた混合物を生成することを含むことができる。フィルタリングされた混合物は、CHラジカルのような、炭化水素分子の解離によって生成されたラジカルを含むことができる。
いくつかの実施形態では、1つまたは複数のイオンは、被加工物が配置されている処理チャンバからプラズマチャンバを分離する分離グリッドアセンブリを使用してフィルタリングされ得る。例えば、分離グリッドアセンブリ200は、プラズマによって生成されたイオンをフィルタリングするために使用され得る。
分離グリッドアセンブリ200は、複数の孔を有することができる。荷電粒子(例えば、イオン)は、複数の孔を通って荷電粒子の経路上の壁で再結合することができる。中性粒子(例えば、CHラジカルのようなラジカル)は、孔を通過することができる。
いくつかの実施形態では、分離グリッドアセンブリ200は、約90%以上の、例えば約95%以上の効率でイオンをフィルタリングするように構成され得る。イオンフィルタリングに関するパーセント効率は、混合物中のイオンの総数に対する、混合物から除去されたイオンの量を指す。例えば、約90%の効率は、イオンの約90%がフィルタリング中に除去されることを示す。約95%の効率は、イオンの約95%がフィルタリング中に除去されることを示す。
いくつかの実施形態では、分離グリッドアセンブリは、マルチプレート式の分離グリッドであり得る。マルチプレート式の分離グリッドは、複数の平行な分離グリッドプレートを有することができる。グリッドプレートにおける孔の配置および配列は、イオンフィルタリングに関する望ましい効率、例えば約95%以上の効率を提供するように選択され得る。
例えば、分離グリッドアセンブリ200は、相互に平行な関係の、第1のグリッドプレート210および第2のグリッドプレート220を有することができる。第1のグリッドプレート210は、複数の孔を有する第1のグリッドパターンを有することができる。第2のグリッドプレート220は、複数の孔を有する第2のグリッドパターンを有することができる。第1のグリッドパターンは、第2のグリッドパターンと同じでもよいし、または異なっていてもよい。
図5の(414)において、表面処理プロセスは、被加工物を、フィルタリングされた混合物に曝すことを含むことができる。より具体的には、被加工物は、プラズマ中で生成されて分離グリッドアセンブリを通過したラジカル(例えば、CHラジカル)に曝され得る。一例として、ラジカル(例えば、CHラジカル)は、分離グリッドアセンブリ200を通過することができ、被加工物114上に曝され得る。いくつかの実施形態では、被加工物を有機ラジカルに曝すことよって、low−k誘電体材料上の少なくとも一部における有機ラジカルの付着を結果的に生じさせることができる。
上述したように、ラジカル(例えば、CHラジカル)は、low−k材料のk値の復元を提供することができる。例えば、ラジカルを使用して、損傷を受けたlow−k誘電体材料におけるSi−OH結合をSi−CH結合へと置換することができ、これによってk値の復元がもたらされる。さらに、ラジカルは、多孔質のlow−k材料の細孔封止を提供することができる。さらに、CHラジカルは、他の材料(例えば、BEOL相互接続構造60の露出した下にあるCu層67)に対して悪影響を与えない。
図6は、本開示の例示的な実施形態による、例示的な表面処理プロセス(500)のフローチャートを示す。プロセス(500)は、例として、図3のプラズマ処理装置100を参照しながら説明される。プロセス(500)は、処理チャンバから遠隔にあるプラズマチャンバを有する任意のプラズマ処理装置において実施され得る。図6は、例示および説明の目的で、特定の順序で実施されるステップを示す。本明細書で提供される開示を使用する当業者は、本開示の範囲から逸脱することなく、本明細書で説明される任意の方法の種々のステップが、種々のやり方で省略、拡張、同時実施、再配置、および/または変更され得ることを理解するであろう。さらに、本開示の範囲から逸脱することなく、種々のステップ(図示せず)が実施され得る。
(502)において、表面処理プロセスは、被加工物を加熱することを含むことができる。例えば、被加工物114は、処理チャンバ内でプロセス温度まで加熱され得る。被加工物114は、例えば、ペデスタル112に関連する1つまたは複数の加熱システムを使用して加熱され得る。いくつかの実施形態では、被加工物は、約50℃〜約400℃の範囲の温度まで加熱され得る。
(504)において、表面処理プロセスは、プロセスガス混合物をプラズマチャンバに入れることを含むことができる。例えば、プロセスガスは、ガス源150から環型ガス分配チャネル151または他の適切なガス導入機構を介してプラズマチャンバ室内125に入れられ得る。いくつかの実施形態では、プロセスガスは、水素ガス(H)のような反応性ガスを含むことができる。プロセスガスは、N、Ar、および/またはHeのようなキャリアガスを含むことができる。例えば、いくつかの実施形態では、プロセスガスは、HとNとを含む混合物であり得る。いくつかの他の実施形態では、プロセスガスは、HとHeとを含む混合物であり得る。さらにいくつかの他の実施形態では、プロセスガスは、HとArとを含む混合物であり得る。
いくつかの実施形態では、プロセスガスは、不活性ガスであり得る。例えば、プロセスガスは、反応性ガスを含まない不活性ガスであり得る。特定の実施形態では、プロセスガスは、ヘリウム、アルゴン、キセノン、または他の不活性ガスであり得る。
(506)において、表面処理プロセスは、プラズマチャンバ内でプラズマを生成するために、誘導結合プラズマ源にエネルギ供給することを含むことができる。例えば、誘導コイル130は、プラズマチャンバ室内125でプラズマを生成するために、RF電力発生器134からのRFエネルギによってエネルギ供給され得る。いくつかの実施形態では、誘導結合プラズマ源は、低減されたプラズマエネルギを有する望ましい種を得るために、パルス電力によってエネルギ供給され得る。
(508)において、表面処理プロセスは、プラズマガスからのプラズマ中で1つまたは複数の種を生成することを含むことができる。例えば、誘導結合プラズマ源135を使用してプラズマチャンバ室内125で反応性のプロセスガス(例えば、H)から誘導されたプラズマは、プロセスガス混合物中の分子を解離させて、ラジカル(例えば、Hラジカル)およびイオンを生成することができる。別の例として、誘導結合プラズマ源135を使用してプラズマチャンバ室内125で不活性のプロセスガス(例えば、He)から誘導されたプラズマは、1つまたは複数の励起された不活性ガス分子(例えば、励起されたHe分子)を生成することができる。
(510)において、表面処理プロセスは、混合物中のプラズマによって生成された1つまたは複数のイオンをフィルタリングして、フィルタリングされた混合物を生成することを含むことができる。フィルタリングされた混合物は、プロセスガスからのプラズマ中で生成された種を含むことができる。
いくつかの実施形態では、1つまたは複数のイオンは、被加工物が配置されている処理チャンバからプラズマチャンバを分離する分離グリッドアセンブリを使用してフィルタリングされ得る。例えば、分離グリッドアセンブリ200は、プラズマによって生成されたイオンをフィルタリングするために使用され得る。
分離グリッドアセンブリ200は、複数の孔を有することができる。荷電粒子(例えば、イオン)は、複数の孔を通って荷電粒子の経路上の壁で再結合することができる。中性物質(例えば、ラジカル)は、孔を通過することができる。いくつかの実施形態では、分離グリッドアセンブリ200は、約90%以上の、例えば約95%以上の効率でイオンをフィルタリングするように構成され得る。
いくつかの実施形態では、分離グリッドアセンブリは、マルチプレート式の分離グリッドであり得る。マルチプレート式の分離グリッドは、複数の平行な分離グリッドプレートを有することができる。グリッドプレートにおける孔の配置および配列は、イオンフィルタリングに関する望ましい効率、例えば約95%以上の効率を提供するように選択され得る。
(512)において、本方法は、フィルタリング後に炭化水素分子を、フィルタリングされた混合物に注入することを含むことができる。炭化水素分子は、フィルタリングされた混合物と反応して、望ましい有機ラジカル(例えば、CHラジカル)を生成することができる。
例示的な炭化水素分子は、例えば、非環状アルカンC2n+2を含むことができ、なお、nは、1以上かつ10以下である。例えば、炭化水素分子は、メタンCH、エタンC、プロパン、またはイソプロパンCなどを含むことができる。
いくつかの実施形態では、炭化水素分子は、環状アルカンC2nを含むことができ、なお、nは、5以上かつ10以下である。例えば、炭化水素前駆体は、シクロペンタンC10、シクロヘキサンC12、メチルシクロヘキサンC14、ジメチルシクロヘキサンC16、1,3,5−トリメチルシクロヘキサンC18などのような環状アルカンを含むことができる。いくつかの実施形態では、炭化水素前駆体は、エチレンC、プロペンCなどのようなアルケンC2nを含むことができ、なお、nは、2以上かつ10以下である。
図7は、本開示の例示的な実施形態による、イオンフィルタリング後に炭化水素分子を注入するための例示的な分離グリッドアセンブリ200を示す。より具体的には、分離グリッドアセンブリ200は、第1のグリッドプレート210および第2のグリッドプレートを含み、第1のグリッドプレート210と第2のグリッドプレートとは、イオン/UVフィルタリングのために平行な関係で配置されている。
第1のグリッドプレート210および第2のグリッドプレート220は、相互に平行な関係であり得る。第1のグリッドプレート210は、複数の孔を有する第1のグリッドパターンを有することができる。第2のグリッドプレート220は、複数の孔を有する第2のグリッドパターンを有することができる。第1のグリッドパターンは、第2のグリッドパターンと同じでもよいし、または異なっていてもよい。荷電粒子(例えば、イオン)は、分離グリッド200のそれぞれのグリッドプレート210,220の孔を通って荷電粒子の経路上の壁で再結合することができる。中性種(例えば、ラジカル)は、第1のグリッドプレート210および第2のグリッドプレート220の孔を通って比較的自由に流れることができる。
第2のグリッドプレート220に続いて、フィルタリングされた混合物に炭化水素ガスを入れるために、ガス注入源230が構成され得る。炭化水素ガスの注入から結果的に生じるラジカル(例えば、CHラジカル)は、第3のグリッドプレート235を通過して、被加工物に曝され得る。
図6の(514)において、表面処理プロセスは、被加工物を、フィルタリングされた混合物に曝すことを含むことができる。より具体的には、被加工物は、炭化水素分子の注入後のラジカル(例えば、CHラジカル)に曝され得る。一例として、ラジカル(例えば、CHラジカル)は、第3のグリッドプレート235(図7)を通過することができ、被加工物114上に曝され得る。いくつかの実施形態では、被加工物を有機ラジカルに曝すことによって、low−k誘電体材料の少なくとも一部のメチル化を結果的に生じさせることができる。
図8は、本開示の例示的な態様による、半導体デバイスを製造するための1つの例示的な方法(600)のフローチャートを示す。方法(600)は、例として、図3のプラズマ処理装置100を参照しながら説明される。方法(600)は、処理チャンバから遠隔にあるプラズマチャンバを有する任意のプラズマ処理装置において実施され得る。図8は、例示および説明の目的で、特定の順序で実施されるステップを示す。本明細書で提供される開示を使用する当業者は、本開示の範囲から逸脱することなく、本明細書で説明される任意の方法の種々のステップが、種々のやり方で省略、拡張、同時実施、再配置、および/または変更され得ることを理解するであろう。さらに、本開示の範囲から逸脱することなく、種々のステップ(図示せず)が実施され得る。
(602)において、本方法は、本開示の例示的な実施形態による表面処理プロセスを実施するためのプラズマ処理装置を調整することを含むことができる。例えば、本方法は、表面処理プロセスを実施するために、プラズマチャンバ120および/または処理チャンバ110を調整することを含むことができる。いくつかの実施形態では、プラズマ処理装置100を調整することは、被加工物を処理チャンバ110に導入する前に、プラズマチャンバ120内で酸素ベースのプラズマを生成することを含むことができる。本開示の範囲から逸脱することなく、プラズマ処理装置を調整するために、他の酸化ベースの化学プロセスが実施され得る。
(604)において、本方法は、プラズマ処理装置の処理チャンバ内に被加工物を配置することを含むことができる。処理チャンバは、プラズマチャンバから分離され得る(例えば、分離グリッドアセンブリによって分離され得る)。例えば、本方法は、処理チャンバ110内のペデスタル112上に被加工物114を配置することを含むことができる。
被加工物は、シリコンと炭素とを含有する膜材料のような、low−k誘電体材料を含むことができる。いくつかの実施形態では、シリコンと炭素とを含有する膜材料は、酸素を含むことができる。いくつかの実施形態では、シリコンと炭素とを含有する膜材料は、窒素を含むことができる。いくつかの実施形態では、シリコンと炭素とを含有する膜材料は、約1%〜約50%の範囲の多孔度を有することができる。
一例では、膜材料は、FEOL用途におけるスペーサ構造の一部であり得る。例えば、膜材料は、図1に示される膜57であり得る。別の例では、膜材料は、BEOL用途における相互接続構造の一部であり得る。例えば、膜材料は、図2に示される層64であり得る。
図8を参照すると、本方法は、本開示の例示的な態様による表面処理プロセス(606)を実施することを含むことができる。損傷を受けたlow−k誘電体材料(例えば、先行するエッチングプロセスによって損傷を受けたlow−k誘電体材料)を復元するために、被加工物に対して表面処理プロセスを実施することができる。表面処理プロセスは、多孔質のlow−k誘電体材料のための細孔封止を実現することができる。例示的な表面処理プロセスは、図5および6を参照しながらより詳細に説明される。
図8の(608)において、本方法は、本開示の例示的な態様による1つまたは複数の追加的な表面処理プロセスを実施することを含むことができる。損傷を受けたlow−k誘電体材料(例えば、先行するエッチングプロセスによって損傷を受けたlow−k誘電体材料)を復元するために、被加工物に対して1つまたは複数の追加的な表面処理プロセスを実施することができる。1つまたは複数の追加的な表面処理プロセスは、多孔質のlow−k誘電体材料のための細孔封止を実現することができる。例示的な表面処理プロセスは、図5および6を参照しながらより詳細に説明される。
いくつかの実施形態では、1つまたは複数の追加的な表面処理プロセスは、先行して実施された表面処理プロセスとは異なるプロセス特性を有することができる。例えば、第1の表面処理プロセスでは、損傷を受けたlow−k材料中に効果的に拡散させてk値を復元するために、比較的小さなサイズの炭化水素分子を使用することができる。第2の表面処理プロセスでは、多孔質のlow−k材料の効果的な細孔封止のために、比較的大きなおよび/または環状の炭化水素分子を使用することができる。別の例として、複数の表面処理プロセスの各々に対して、遠隔のプラズマチャンバ内でプラズマを生成するための誘導結合プラズマ源にエネルギ供給するために、それぞれ異なる電力量が使用され得る。別の例として、複数の表面処理プロセスの各々に対して、それぞれ異なる圧力が使用され得る。
図8の(610)において、本方法は、処理チャンバから被加工物を取り外すことを含むことができる。例えば、処理チャンバ110内のペデスタル112から被加工物114を取り外すことができる。次に、プラズマ処理装置は、追加的な被加工物の将来の処理のために調整され得る。
いくつかの実施形態では、有機ラジカルは、異なる水素ラジカル源を使用して生成され得る。例えば、図9に示されるように、水素ガスHは、加熱されたフィラメント(例えば、タングステンフィラメント)を通過して、第1のチャンバ内で水素ラジカルを生成することができる。水素ラジカルは、分離グリッド200を通過することができる。
分離グリッド200は、第1のグリッドプレート210および第2のグリッドプレート220を含み、第1のグリッドプレート210と第2のグリッドプレート220とは、平行な関係で配置されている。第1のグリッドプレート210は、複数の孔を有する第1のグリッドパターンを有することができる。第2のグリッドプレート220は、複数の孔を有する第2のグリッドパターンを有することができる。第1のグリッドパターンは、第2のグリッドパターンと同じでもよいし、または異なっていてもよい。荷電粒子(例えば、イオン)は、分離グリッド200のそれぞれのグリッドプレート210,220の孔を通って荷電粒子の経路上の壁で再結合することができる。中性種(例えば、Hラジカル)は、第1のグリッドプレート210および第2のグリッドプレート220の孔を通って比較的自由に流れることができる。
第1のグリッドプレート210に続いて、フィルタリングされた混合物に炭化水素ガスを入れるために、ガス注入源230が構成され得る。炭化水素ガスの注入から結果的に生じるラジカル(例えば、CHラジカル)264は、第2のグリッドプレート220を通過して、被加工物に曝され得る。
炭化水素ガスは、1つまたは複数の炭化水素分子を含むことができる。例示的な炭化水素分子は、例えば、非環状アルカンC2n+2を含むことができ、なお、nは、1以上かつ10以下である。例えば、炭化水素分子は、メタンCH、エタンC、プロパン、またはイソプロパンCなどのような非環状アルカンを含むことができる。炭化水素分子は、環状アルカンC2nを含むことができ、なお、nは、5以上かつ10以下である。例えば、炭化水素分子は、シクロペンタンC10、シクロヘキサンC12、メチルシクロヘキサンC14、ジメチルシクロヘキサンC16、1,3,5−トリメチルシクロヘキサンC18などのような環状アルカンを含むことができる。いくつかの実施形態では、炭化水素分子は、エチレンC、プロペンCなどのようなアルケンC2nを含むことができ、なお、nは、1以上かつ10以下である。
本例は、例示の目的で、2つのグリッドプレートを有する分離グリッドを参照しながら説明される。本明細書で提供される開示を使用する当業者は、本開示の範囲から逸脱することなく、より多いまたはより少ないグリッドプレートが使用され得ることを理解するであろう。
有機ラジカル(例えば、CHラジカル)は、本開示の範囲から逸脱することなく、他のアプローチを使用して生成され得る。一例として、有機ラジカル(例えば、CHラジカル)は、分子の熱分解(熱による分解)(例えば、アゾメタンCH−N=N−CH)を使用して生成され得る。別の例として、有機ラジカルは、UVアシストによる分子解離(例えば、アセトンCHCOCH)を使用して生成され得る。
本主題を、その特定の例示的な実施形態に関して詳細に説明してきたが、当業者は、前述したことを理解すれば、そのような実施形態の代替形態、変形形態、および等価形態を容易に生成し得ることが理解されるであろう。したがって、本開示の範囲は、限定ではなく例としてのものであり、本主題の開示は、当業者には容易に明らかであるように、本主題に対するそのような修正、変形、および/または追加を包含することを排除するものではない。

Claims (30)

  1. 被加工物を処理するための方法であって、
    前記被加工物は、シリコンと炭素とを含有する膜材料とを含み、
    当該方法は、前記シリコンと炭素とを含有する膜材料に対して有機ラジカルベースの表面処理プロセスを実施するステップを含み、
    前記有機ラジカルベースの表面処理プロセスは、
    第1のチャンバ内で1つまたは複数の種を生成するステップと、
    1つまたは複数の有機ラジカルを含む混合物を生成するために、1つまたは複数の炭化水素分子を前記種と混合するステップと、
    第2のチャンバ内で前記被加工物上の前記シリコンと炭素とを含有する層を前記混合物に曝すステップと、
    を含む、
    方法。
  2. 前記有機ラジカルベースの表面処理プロセスは、前記シリコンと炭素とを含有する膜材料上の少なくとも一部のメチル化を結果的に生じさせる、請求項1記載の方法。
  3. 前記シリコンと炭素とを含有する膜材料は、酸素を含む、請求項1記載の方法。
  4. 前記膜材料は、約1%〜約50%の多孔度を有する、請求項1記載の方法。
  5. 前記シリコンと炭素とを含有する膜材料は、窒素を含む、請求項1記載の方法。
  6. 前記シリコンと炭素とを含有する膜材料は、基板工程用途において形成されるスペーサ構造の少なくとも一部として使用される、請求項1記載の方法。
  7. 前記シリコンと炭素とを含有する膜材料は、配線工程用途において形成される相互接続構造の少なくとも一部として使用される、請求項1記載の方法。
  8. 前記1つまたは複数の炭化水素分子は、C2n+2の化学式を有し、
    nは、1以上かつ10以下である、
    請求項1記載の方法。
  9. 前記1つまたは複数の炭化水素分子は、C2nの化学式を有し、
    nは、2以上かつ10以下である、
    請求項1記載の方法。
  10. 前記1つまたは複数の炭化水素分子を、前記第1のチャンバ内で前記種と混合する、請求項1記載の方法。
  11. 前記1つまたは複数の炭化水素分子を、前記第1のチャンバ内で前記種と混合する、請求項1記載の方法。
  12. 当該方法は、
    前記表面処理プロセスを実施した後、前記第1のチャンバ内でプラズマを生成するステップと、
    前記第2のチャンバから前記被加工物を取り外すステップと、
    を含む、請求項1記載の方法。
  13. 前記表面処理プロセスを実施した後、前記第1のチャンバ内でプラズマを生成するステップは、1つまたは複数の酸素ラジカルを生成するステップを含む、請求項12記載の方法。
  14. 前記1つまたは複数の有機ラジカルは、CHラジカルを含む、請求項1記載の方法。
  15. 当該方法は、前記第1のチャンバ内のプラズマから生成された1つまたは複数のラジカルを使用して、乾式ストリッププロセスを実施するステップを含む、請求項1記載の方法。
  16. 前記1つまたは複数の種を、誘導結合プラズマ源を使用して、前記第1のチャンバ内のプロセスガスからのプラズマ中で生成する、請求項1記載の方法。
  17. 前記プロセスガスは、不活性ガスである、請求項16記載の方法。
  18. 前記不活性ガスは、ヘリウムである、請求項17記載の方法。
  19. 前記プロセスガスは、水素ガスを含み、
    前記種は、水素ラジカルを含む、
    請求項16記載の方法。
  20. 前記種は、加熱されたフィラメントを使用して生成された1つまたは複数の水素ラジカルを含む、請求項1記載の方法。
  21. 前記1つまたは複数の有機ラジカルを、分子の熱分解を使用して、またはUVアシストによる分子解離を使用して生成する、請求項1記載の方法。
  22. 前記第1のチャンバを前記第2のチャンバから分離する分離グリッドを使用して、フィルタリングされた混合物を生成するために、プラズマによって生成された1つまたは複数のイオンをフィルタリングするステップを含む、請求項16記載の方法。
  23. 被加工物を処理するための方法であって、
    前記被加工物は、シリコンと炭素とを含有する膜材料を含み、
    当該方法は、有機ラジカルベースの表面処理プロセスによって前記被加工物を処理するステップを含み、
    前記表面処理プロセスは、
    プラズマ処理装置のプラズマチャンバ内で生成されたプラズマを使用して、プロセスガスから1つまたは複数の種を生成するステップと、
    混合物を生成するために、1つまたは複数の炭化水素分子を前記種と混合するステップと、
    前記プラズマチャンバから分離されている処理チャンバ内で前記被加工物を前記混合物に曝すステップと、
    を含む、
    方法。
  24. 前記プロセスガスは、不活性ガスである、請求項23記載の方法。
  25. 前記プロセスガスは、水素を含む、請求項23記載の方法。
  26. 当該方法は、有機ラジカルを用いた第2の表面処理プロセスによって前記被加工物を処理するステップを含む、請求項23記載の方法。
  27. 前記第2の表面処理プロセスは、
    プラズマ処理装置のプラズマチャンバ内で生成されたプラズマを使用して、プロセスガスから1つまたは複数の種を生成するステップと、
    混合物を生成するために、1つまたは複数の炭化水素分子を前記種と混合するステップと、
    前記プラズマチャンバから分離されている処理チャンバ内で前記被加工物を前記混合物に曝すステップと、
    を含む、請求項26記載の方法。
  28. 前記第2の表面処理プロセスの前記1つまたは複数の炭化水素分子は、前記表面処理プロセスの前記1つまたは複数の炭化水素分子とは異なる、請求項27記載の方法。
  29. 前記第2の表面処理プロセスのプラズマを、前記表面処理プロセスにおいて誘導結合プラズマ源に供給される電力とは異なる電力を使用して生成する、請求項27記載の方法。
  30. 前記第2の表面処理プロセスを、前記表面処理プロセスとは異なる圧力または異なる温度で実施する、請求項27記載の方法。
JP2020519129A 2017-10-03 2018-09-19 有機前駆体を使用した遠隔のプラズマによる、シリコンと炭素とを含有する膜の表面処理 Active JP6991324B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762567295P 2017-10-03 2017-10-03
US62/567,295 2017-10-03
US15/958,635 2018-04-20
US15/958,635 US10804109B2 (en) 2017-10-03 2018-04-20 Surface treatment of silicon and carbon containing films by remote plasma with organic precursors
PCT/US2018/051714 WO2019070404A1 (en) 2017-10-03 2018-09-19 SURFACE TREATMENT OF FILMS CONTAINING SILICON AND CARBON BY REMOTE PLASMA WITH ORGANIC PRECURSORS

Publications (2)

Publication Number Publication Date
JP2020536389A true JP2020536389A (ja) 2020-12-10
JP6991324B2 JP6991324B2 (ja) 2022-02-03

Family

ID=65896836

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2020519129A Active JP6991324B2 (ja) 2017-10-03 2018-09-19 有機前駆体を使用した遠隔のプラズマによる、シリコンと炭素とを含有する膜の表面処理
JP2020519055A Active JP6991323B2 (ja) 2017-10-03 2018-09-19 有機ラジカルを使用した炭素含有膜の表面処理
JP2020519096A Active JP7021344B2 (ja) 2017-10-03 2018-09-19 有機ラジカルを使用したシリコンまたはシリコンゲルマニウム表面の表面処理

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2020519055A Active JP6991323B2 (ja) 2017-10-03 2018-09-19 有機ラジカルを使用した炭素含有膜の表面処理
JP2020519096A Active JP7021344B2 (ja) 2017-10-03 2018-09-19 有機ラジカルを使用したシリコンまたはシリコンゲルマニウム表面の表面処理

Country Status (6)

Country Link
US (5) US10269574B1 (ja)
JP (3) JP6991324B2 (ja)
KR (3) KR20200039815A (ja)
CN (3) CN111433896B (ja)
TW (3) TWI743396B (ja)
WO (3) WO2019070403A1 (ja)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019240930A1 (en) 2018-06-11 2019-12-19 Mattson Technology, Inc. Generation of hydrogen reactive species for processing of workpieces
US11495456B2 (en) 2018-10-15 2022-11-08 Beijing E-Town Semiconductor Technology, Co., Ltd Ozone for selective hydrophilic surface treatment
CN112335017A (zh) 2018-11-16 2021-02-05 玛特森技术公司 腔室上光以通过减少化学成分改善刻蚀均匀性
US10403492B1 (en) * 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
WO2020131989A1 (en) 2018-12-21 2020-06-25 Mattson Technology, Inc. Surface smoothing of workpieces
KR102342124B1 (ko) * 2019-02-14 2021-12-22 주식회사 히타치하이테크 반도체 제조 장치
WO2020223326A1 (en) * 2019-04-30 2020-11-05 Mattson Technology, Inc. Selective deposition using methylation treatment
CN110335802B (zh) * 2019-07-11 2022-03-22 北京北方华创微电子装备有限公司 预清洗腔室及其过滤装置
US11189464B2 (en) * 2019-07-17 2021-11-30 Beijing E-town Semiconductor Technology Co., Ltd. Variable mode plasma chamber utilizing tunable plasma potential
CN110491760B (zh) * 2019-08-23 2020-09-15 江苏鲁汶仪器有限公司 一种法拉第清洗装置及等离子体处理系统
CN112771649B (zh) * 2019-08-28 2022-04-19 玛特森技术公司 用于使用氟自由基处理工件的方法
CN110349830B (zh) 2019-09-09 2020-02-14 北京北方华创微电子装备有限公司 等离子体系统以及应用于等离子体系统的过滤装置
JP2023538031A (ja) * 2020-08-20 2023-09-06 アプライド マテリアルズ インコーポレイテッド 窒化チタン膜の処理方法
CN113471046B (zh) * 2020-12-14 2023-06-20 北京屹唐半导体科技股份有限公司 具有等离子体处理系统和热处理系统的工件处理装置
CN113488367A (zh) * 2020-12-14 2021-10-08 北京屹唐半导体科技股份有限公司 具有等离子体处理系统和热处理系统的工件处理装置
EP4068000A1 (en) * 2021-03-30 2022-10-05 ASML Netherlands B.V. Conditioning apparatus and method
KR102585950B1 (ko) * 2021-05-24 2023-10-05 성균관대학교산학협력단 그리드 및 기판의 전위 제어를 이용한 건식 식각 방법

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003332317A (ja) * 2002-05-16 2003-11-21 Japan Steel Works Ltd:The プラズマを用いたレジスト剥離装置及び方法
JP2008277812A (ja) * 2007-04-19 2008-11-13 Applied Materials Inc 水蒸気及び希釈ガスにより改善された水素アッシング
JP2009158610A (ja) * 2007-12-25 2009-07-16 Taiyo Nippon Sanso Corp 絶縁膜のダメージ回復処理方法
JP2011253832A (ja) * 2008-07-24 2011-12-15 Canon Anelva Corp レジストトリミング方法及びトリミング装置
JP2012009899A (ja) * 2007-02-15 2012-01-12 Air Products & Chemicals Inc 誘電体膜の材料特性を高めるための活性化学的方法
JP2013157351A (ja) * 2012-01-26 2013-08-15 Tokyo Electron Ltd プラズマ処理方法及びプラズマ処理装置
JP2016042576A (ja) * 2014-08-14 2016-03-31 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated 多孔質低誘電率膜上に細孔封止層を設けるための方法及び組成物
US20160111288A1 (en) * 2014-10-17 2016-04-21 Lam Research Corporation Low-k damage repair and pore sealing agents with photosensitive end groups
US20160276134A1 (en) * 2015-03-17 2016-09-22 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor

Family Cites Families (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5275798A (en) * 1986-07-11 1994-01-04 Kyocera Corporation Method for producing diamond films
US4885067A (en) * 1987-08-31 1989-12-05 Santa Barbara Research Center In-situ generation of volatile compounds for chemical vapor deposition
US5811022A (en) 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
JPH09190979A (ja) * 1996-01-10 1997-07-22 Nec Corp 選択シリコンエピタキシャル成長方法及び成長装置
DE19744060C2 (de) * 1997-10-06 1999-08-12 Fraunhofer Ges Forschung Verfahren und Vorrichtung zur Oberflächenbehandlung von Substraten
EP0948080A1 (fr) * 1998-03-31 1999-10-06 Koninklijke Philips Electronics N.V. Appareil électronique portable muni d'une antenne
US6028015A (en) 1999-03-29 2000-02-22 Lsi Logic Corporation Process for treating damaged surfaces of low dielectric constant organo silicon oxide insulation material to inhibit moisture absorption
JP3365554B2 (ja) * 2000-02-07 2003-01-14 キヤノン販売株式会社 半導体装置の製造方法
JP4644964B2 (ja) * 2001-04-04 2011-03-09 ソニー株式会社 多結晶性半導体薄膜の形成方法、及び半導体装置の製造方法
US6989108B2 (en) 2001-08-30 2006-01-24 Micron Technology, Inc. Etchant gas composition
US7541200B1 (en) 2002-01-24 2009-06-02 Novellus Systems, Inc. Treatment of low k films with a silylating agent for damage repair
JP4863182B2 (ja) * 2002-01-31 2012-01-25 東ソー株式会社 有機シラン化合物を含んでなる絶縁膜用材料、その製造方法および半導体デバイス
JP4034227B2 (ja) * 2002-05-08 2008-01-16 Necエレクトロニクス株式会社 半導体装置の製造方法
JP5117755B2 (ja) * 2002-05-08 2013-01-16 ルネサスエレクトロニクス株式会社 半導体装置
US20040086434A1 (en) * 2002-11-04 2004-05-06 Gadgil Pradad N. Apparatus and method for treating objects with radicals generated from plasma
US7711675B2 (en) * 2002-07-22 2010-05-04 Microsoft Corporation Database simulation of data types
US6677251B1 (en) 2002-07-29 2004-01-13 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a hydrophilic surface on low-k dielectric insulating layers for improved adhesion
US20040154743A1 (en) * 2002-11-29 2004-08-12 Savas Stephen E. Apparatus and method for low temperature stripping of photoresist and residues
WO2004074932A2 (en) 2003-02-14 2004-09-02 Applied Materials, Inc. Method and apparatus for cleaning of native oxides with hydroge-containing radicals
US7256134B2 (en) * 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
WO2005029550A2 (en) * 2003-09-16 2005-03-31 The Trustees Of Columbia University In The City Of New York Method and system for producing crystalline thin films with a uniform crystalline orientation
JP2005093688A (ja) * 2003-09-17 2005-04-07 Jsr Corp 半導体装置および半導体装置の製造方法
JP2005268312A (ja) * 2004-03-16 2005-09-29 Semiconductor Leading Edge Technologies Inc レジスト除去方法及びそれを用いて製造した半導体装置
JP4470557B2 (ja) 2004-03-31 2010-06-02 日本電気株式会社 携帯電話機
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7539329B2 (en) * 2004-07-01 2009-05-26 Hewlett-Packard Development Company, L.P. Method and apparatus for enhancing the usability of an electronic device having an integrated fingerprint sensor
US20060081273A1 (en) * 2004-10-20 2006-04-20 Mcdermott Wayne T Dense fluid compositions and processes using same for article treatment and residue removal
CA2575479C (en) * 2005-03-25 2012-05-22 Institut National De La Recherche Scientifique Methods and apparatuses for purifying carbon filamentary structures
US7642195B2 (en) 2005-09-26 2010-01-05 Applied Materials, Inc. Hydrogen treatment to improve photoresist adhesion and rework consistency
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
CN101378850A (zh) * 2006-02-21 2009-03-04 应用材料股份有限公司 加强用于介电膜层的远程等离子体源清洁
US20080026140A1 (en) * 2006-07-25 2008-01-31 Clark E Bradley Graffiti furniture
JP4884268B2 (ja) * 2007-03-22 2012-02-29 東京エレクトロン株式会社 アッシング方法
JP4823952B2 (ja) 2007-03-26 2011-11-24 三菱電機株式会社 半導体装置の製造方法
KR100777043B1 (ko) 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
US7838426B2 (en) * 2007-08-20 2010-11-23 Lam Research Corporation Mask trimming
US20090084501A1 (en) * 2007-09-27 2009-04-02 Tokyo Electron Limited Processing system for producing a negative ion plasma
TWI591158B (zh) * 2008-03-07 2017-07-11 恩特葛瑞斯股份有限公司 非選擇性氧化物蝕刻濕清潔組合物及使用方法
US8805844B2 (en) * 2008-08-04 2014-08-12 Liveperson, Inc. Expert search
KR101357181B1 (ko) * 2008-10-14 2014-01-29 어플라이드 머티어리얼스, 인코포레이티드 플라즈마-강화 화학적 기상 증착(pecvd)에 의해 등각성 비정질 탄소막을 증착하기 위한 방법
JP4799623B2 (ja) * 2009-01-19 2011-10-26 株式会社東芝 カーボンナノチューブ成長方法
DE102009023379B4 (de) * 2009-05-29 2014-08-21 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Erzeugen einer hydrophoben Oberfläche empfindlicher Dielektrika mit kleinem ε von Mikrostrukturbauelementen durch eine in-situ-Plasmabehandlung
US8501145B2 (en) 2009-07-12 2013-08-06 Mahmood Ghoanneviss Method for growing carbon nanowalls
US8617993B2 (en) * 2010-02-01 2013-12-31 Lam Research Corporation Method of reducing pattern collapse in high aspect ratio nanostructures
NO345393B1 (no) * 2010-03-12 2021-01-18 Optoplan As Roterende ledd/svivelanordning
JP6133218B2 (ja) * 2011-03-07 2017-05-24 インテグリス・インコーポレーテッド 化学機械平坦化パッドコンディショナー
US9653327B2 (en) 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
US8741775B2 (en) * 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
JP5989119B2 (ja) 2011-08-19 2016-09-07 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. プラズマリアクタ及びプラズマを生成する方法
US8575041B2 (en) * 2011-09-15 2013-11-05 Globalfoundries Inc. Repair of damaged surface areas of sensitive low-K dielectrics of microstructure devices after plasma processing by in situ treatment
KR101321424B1 (ko) 2011-11-22 2013-10-22 김일욱 반도체 소자의 표면 처리 및 박막 성장 방법, 그리고 이를 구현하는 표면 처리 및 박막 성장 장치
KR101331566B1 (ko) * 2012-03-28 2013-11-21 한국과학기술연구원 나노결정다이아몬드 박막 및 그 제조방법
JPWO2013153777A1 (ja) 2012-04-11 2015-12-17 東京エレクトロン株式会社 半導体装置の製造方法、半導体装置、半導体製造装置
KR101897062B1 (ko) * 2012-05-31 2018-09-12 엘지이노텍 주식회사 탄화규소 에피 웨이퍼 및 이의 제조 방법
WO2014013941A1 (ja) 2012-07-18 2014-01-23 東京エレクトロン株式会社 半導体装置の製造方法
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US8821987B2 (en) 2012-12-17 2014-09-02 Intermolecular, Inc. Combinatorial processing using a remote plasma source
US10006121B2 (en) * 2013-03-14 2018-06-26 Eugene Technology Co., Ltd. Method and apparatus for manufacturing three-dimensional-structure memory device
WO2014146937A2 (en) * 2013-03-22 2014-09-25 Vlyte Innovations Limited An electrophoretic device having a transparent light state
US20140342569A1 (en) * 2013-05-16 2014-11-20 Applied Materials, Inc. Near surface etch selectivity enhancement
US20150239759A1 (en) * 2014-02-25 2015-08-27 Energy Onvector, LLC Microbubble Generator for Enhanced Plasma Treatment of Liquid
US9190290B2 (en) * 2014-03-31 2015-11-17 Applied Materials, Inc. Halogen-free gas-phase silicon etch
US9469912B2 (en) 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US9412581B2 (en) * 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US20160042916A1 (en) * 2014-08-06 2016-02-11 Applied Materials, Inc. Post-chamber abatement using upstream plasma sources
US9735009B2 (en) 2014-09-15 2017-08-15 Applied Materials, Inc. Pre-clean of silicon germanium for pre-metal contact at source and drain and pre-high K at channel
CN105762109B (zh) * 2014-12-19 2019-01-25 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9881805B2 (en) * 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10280512B2 (en) * 2015-07-27 2019-05-07 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for carbon film deposition profile control
JP2017050304A (ja) 2015-08-31 2017-03-09 東京エレクトロン株式会社 半導体装置の製造方法
KR102148833B1 (ko) 2016-02-26 2020-08-28 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 주입형 포토레지스트 스트리핑 공정
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003332317A (ja) * 2002-05-16 2003-11-21 Japan Steel Works Ltd:The プラズマを用いたレジスト剥離装置及び方法
JP2012009899A (ja) * 2007-02-15 2012-01-12 Air Products & Chemicals Inc 誘電体膜の材料特性を高めるための活性化学的方法
JP2008277812A (ja) * 2007-04-19 2008-11-13 Applied Materials Inc 水蒸気及び希釈ガスにより改善された水素アッシング
JP2009158610A (ja) * 2007-12-25 2009-07-16 Taiyo Nippon Sanso Corp 絶縁膜のダメージ回復処理方法
JP2011253832A (ja) * 2008-07-24 2011-12-15 Canon Anelva Corp レジストトリミング方法及びトリミング装置
JP2013157351A (ja) * 2012-01-26 2013-08-15 Tokyo Electron Ltd プラズマ処理方法及びプラズマ処理装置
JP2016042576A (ja) * 2014-08-14 2016-03-31 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated 多孔質低誘電率膜上に細孔封止層を設けるための方法及び組成物
US20160111288A1 (en) * 2014-10-17 2016-04-21 Lam Research Corporation Low-k damage repair and pore sealing agents with photosensitive end groups
US20160276134A1 (en) * 2015-03-17 2016-09-22 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor

Also Published As

Publication number Publication date
CN111433896A (zh) 2020-07-17
US10910228B2 (en) 2021-02-02
JP2020536387A (ja) 2020-12-10
KR20200039815A (ko) 2020-04-16
CN111433893B (zh) 2024-04-02
WO2019070402A1 (en) 2019-04-11
WO2019070403A1 (en) 2019-04-11
TW201933431A (zh) 2019-08-16
CN111433893A (zh) 2020-07-17
CN111433895A (zh) 2020-07-17
JP7021344B2 (ja) 2022-02-16
US11062910B2 (en) 2021-07-13
JP6991323B2 (ja) 2022-01-12
US10804109B2 (en) 2020-10-13
JP6991324B2 (ja) 2022-02-03
US20190103279A1 (en) 2019-04-04
US20190103270A1 (en) 2019-04-04
KR20200039809A (ko) 2020-04-16
TWI715872B (zh) 2021-01-11
TW201915211A (zh) 2019-04-16
TW201928105A (zh) 2019-07-16
US10269574B1 (en) 2019-04-23
US20190304793A1 (en) 2019-10-03
TWI743396B (zh) 2021-10-21
KR20200039017A (ko) 2020-04-14
US10354883B2 (en) 2019-07-16
CN111433896B (zh) 2023-04-21
JP2020536385A (ja) 2020-12-10
US20190214262A1 (en) 2019-07-11
US20190103280A1 (en) 2019-04-04
WO2019070404A1 (en) 2019-04-11
CN111433895B (zh) 2023-04-07

Similar Documents

Publication Publication Date Title
JP6991324B2 (ja) 有機前駆体を使用した遠隔のプラズマによる、シリコンと炭素とを含有する膜の表面処理
TWI623976B (zh) 保形膜之電漿活化沈積
WO2020123122A1 (en) Integration of materials removal and surface treatment in semiconductor device fabrication
TW202003799A (zh) 利用以鹵烷生成之反應性物種的工件處理
US11495456B2 (en) Ozone for selective hydrophilic surface treatment
JP7311628B2 (ja) メチル化処理を使用した選択的な堆積
US11164727B2 (en) Processing of workpieces using hydrogen radicals and ozone gas

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200527

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210728

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210816

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211007

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20211129

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20211207

R150 Certificate of patent or registration of utility model

Ref document number: 6991324

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150