TW201915211A - 藉由具有機前驅物之遠距電漿的含矽與碳薄膜的表面處理 - Google Patents

藉由具有機前驅物之遠距電漿的含矽與碳薄膜的表面處理 Download PDF

Info

Publication number
TW201915211A
TW201915211A TW107133841A TW107133841A TW201915211A TW 201915211 A TW201915211 A TW 201915211A TW 107133841 A TW107133841 A TW 107133841A TW 107133841 A TW107133841 A TW 107133841A TW 201915211 A TW201915211 A TW 201915211A
Authority
TW
Taiwan
Prior art keywords
plasma
surface treatment
chamber
workpiece
silicon
Prior art date
Application number
TW107133841A
Other languages
English (en)
Other versions
TWI743396B (zh
Inventor
麥克X 楊
華 仲
新亮 吕
Original Assignee
美商瑪森科技公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商瑪森科技公司 filed Critical 美商瑪森科技公司
Publication of TW201915211A publication Critical patent/TW201915211A/zh
Application granted granted Critical
Publication of TWI743396B publication Critical patent/TWI743396B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/3003Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D67/00Processes specially adapted for manufacturing semi-permeable membranes for separation processes or apparatus
    • B01D67/0081After-treatment of organic or inorganic membranes
    • B01D67/009After-treatment of organic or inorganic membranes with wave-energy, particle-radiation or plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/006Details of gas supplies, e.g. in an ion source, to a beam line, to a specimen or to a workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

提供用以處理低k介電材料的表面處理程序。一範例實施可包含用以處理一工件的方法。工件可包含一含矽與碳的薄膜材料。方法可包含以一表面處理程序來處理工件。表面處理程序可包含在第一腔室中產生一或多個物種;將一或多個碳氫化合物分子與該物質混合,以產生包含一或多個有機自由基的混合物;以及在第二腔室中將工件上的含矽與碳層暴露於混合物。

Description

藉由具有機前驅物之遠距電漿的含矽與碳薄膜的表面處理 【優先權主張】
本申請案主張2018年4月20日申請之發明名稱為「藉由具有機前驅物之遠距電漿的含矽與碳薄膜的表面處理(Surface Treatment Of Silicon And Carbon Containing Films By Remote Plasma With Organic Precursors)」的美國專利申請案15/958,635的優先權,其主張2017年10月3日申請之發明名稱為「藉由具有機前驅物之遠距電漿的含矽與碳薄膜的表面處理(Surface Treatment of Silicon and Carbon Containing Films by Remote Plasma with Organic Precursors)」的美國專利臨時申請案62/567,295的優先權,其以引用的方式併入本文用於各種目的。
本發明一般關於基板的表面處理,例如半導體工件。
含碳低介電常數(k)的介電材料越來越常用於半導體元件的製造。舉例來說,SiOCN可使用作為先進半導體元 件中的前段製程(FEOL)應用中的間隙壁材料。SiOC可使用作為後段製程(BEOL)應用中的互連介電質。
含碳低k介電材料(例如多孔低k介電材料)可能容易遭受半導體製造程序步驟(例如含氧電漿乾式蝕刻、含電漿乾式灰化、濕式清潔、化學機械研磨(CMP)等)的損害。這類損壞可能包含例如:與碳膜暴露表面區域(例如頂表面、側壁等)的空乏區相關的介電常數(k)的增加,以及用Si-OH(羥基)鍵取代Si-CH3(甲基)鍵。
本發明的具體實施方式的各個方面和優點將部分地在以下描述中闡述,或可從描述中獲知,或者通過具體實施方式的實踐來獲知。
本發明的一範例態樣關於用於處理一工件的方法。工件可包含一含矽與碳的薄膜材料。方法可包含在含矽與碳薄膜材料上執行一基於有機自由基的表面處理程序。表面處理程序可包含在第一腔室中產生一或多個物種;將一或多個碳氫化合物分子與該物種混合,以產生包含一或多個有機自由基的混合物;以及在第二腔室中將工件上的含矽與碳層暴露於混合物。
本發明的其他範例態樣關於用於工件的表面處理的系統、方法和裝置。
參考以下描述和所附的申請專利範圍將更佳地 理解到各種具體實施方式的這些和其他特徵、態樣和優點。併入本說明書中並構成其一部分的附圖說明了本發明的具體實施方式,並與描述內容一起用於解釋相關原理。
50‧‧‧workpiece 工件
52‧‧‧semiconductor substrate 半導體基板
54‧‧‧transistor structure 電晶體結構
55‧‧‧dielectric layer 介電層
57‧‧‧film 薄膜
60‧‧‧interconnect structure 互連結構
62‧‧‧resist layer 抗蝕層
64‧‧‧low-k dielectric film layer 低k介電薄膜層
65‧‧‧layer 層
66‧‧‧trench 溝渠
67‧‧‧Cu layer 銅層
100‧‧‧plasma processing apparatus 電漿處理裝置
110‧‧‧processing chamber 處理腔室
112‧‧‧pedestal 基座
114‧‧‧workpiece 工件
120‧‧‧plasma chamber 電漿腔室
122‧‧‧dielectric side wall 介電質側壁
124‧‧‧ceiling 頂板
125‧‧‧plasma chamber interior 電漿腔室內部
128‧‧‧Faraday shield 法拉第屏蔽
130‧‧‧induction coil 感應線圈
132‧‧‧network 網絡
134‧‧‧RF power generator RF功率產生器
135‧‧‧inductively coupled plasma source 電感耦合電漿源
150‧‧‧gas source 氣體源
151‧‧‧annular gas distribution channel 環形氣體分配通道
200‧‧‧separation grid assembly 分離網格組合件
210‧‧‧first grid plate 第一網格板
220‧‧‧second grid plate 第二網格板
230‧‧‧gas injection source 氣體注入源
235‧‧‧third grid plate 第三網格板
264‧‧‧radicals 自由基
300‧‧‧method 方法
400‧‧‧process 程序
500‧‧‧process 程序
600‧‧‧method 方法
在說明書中提出了針對所屬技術領域中具有通常知識者的具體實施方式的詳細討論,其參照了所附圖式,其中:第一圖繪示前段製程(FEOL)間隙壁結構的一範例;第二圖繪示後段製程(BEOL)互連結構的一範例;第三圖繪示根據本發明範例具體實施方式的電漿處理裝置的一範例;第四圖繪示根據本發明範例具體實施方式的一實施例方法的流程圖;第五圖繪示根據本發明範例具體實施方式的一實施例表面處理程序的流程圖;第六圖繪示根據本發明範例具體實施方式的一實施例表面處理程序的流程圖;第七圖繪示根據本發明範例具體實施方式的在表面處理程序期間在離子過濾後的實施例性氣體注入;第八圖繪示根據本發明範例具體實施方式的一實施例方法的流程圖;以及 第九圖繪示根據本發明範例具體實施方式的有機自由基生成的實施例。
現在將詳細參考具體實施方式,其一或多個實施例在附圖中示出。每一實施例是為了解釋具體實施方式而提供,而非對本發明的限制。實際上,所屬技術領域中具有通常知識者將清楚在不偏離本發明的範疇或精神的情況下,可對具體實施方式進行各種修改和變化。舉例來說,顯示或描述為一具體實施方式的部分的特徵可與另一具體實施方式一起使用以產生又一具體實施方式。因此,本發明的各態樣意圖涵蓋這些修改和變化。
本發明揭露之實施例態樣係有關於在工件(例如半導體晶圓)上處理含矽與碳的低k介電薄膜材料的表面處理程序。低介電常數(例如,「低k」)介電材料可用於先進半導體元件的製造。低k介電材料可具有小於約3.0的介電常數,例如小於約2.5、例如小於約2.2。如本文所用,術語「約」與數值結合使用,可指所述數值的20%以內。
舉例來說,低k介電材料可用於前段製程(FEOL)應用中,作為閘極和源極及/或閘極和汲極之間的間隙壁。第一圖描繪了具有示例性低k間隙壁結構的工件50。更具體地,工件50包含具有電晶體結構54(例如閘極)的半導體基板52(例如矽)。介電層55可設置於電晶體結構54和半導體基板52之間。 間隙壁結構(例如,閘極側壁間隙壁結構)可至少部分地圍繞電晶體結構54。間隙壁結構可包含一含矽與碳的薄膜57。在某些具體實施方式中,膜57可另外包含氮。在某些具體實施方式中,膜57可另外包括氧。在某些具體實施方式中,膜57可為碳氮化矽(SiCN)膜。在某些具體實施方式中,膜57可為氧碳氮化矽(SiOCN)膜。半導體製造程序(例如含氧電漿乾式蝕刻、電漿乾式灰化、濕式清潔等)可能導致在FEOL應用中作為間隙壁結構的一部分的膜57的損壞(例如增加k值)。
低k介電質也可用於後段製程(BEOL)應用,例如互連結構的一部分(例如Cu互連結構)。舉例來說,第二圖繪示了用於在BEOL中製造銅互連結構的範例程序流程。如圖所示,工件60包含抗蝕層62以及在具有銅部分67的層65上形成的含矽與碳低k介電薄膜層64。在某些具體實施方式中,低k介電薄膜層64可另外包含氧。在某些具體實施方式中,低k介電薄膜層64可為碳氧化矽(SiOC)層。在某些具體實施方式中,低k介電薄膜層64可為多孔的。舉例來說,低k介電薄膜層具有範圍在約1%到約50%的孔隙度。如本文所用,「孔隙度」可為材料中空隙或空白空間的體積相對於材料總體積的量度。
工件60可進行電漿蝕刻製程,以在低k介電薄膜層64中產生溝渠66及/或通道結構。電漿蝕刻製程可為含氧乾式蝕刻製程。在電漿蝕刻製程之後,可在Cu晶種沉積和整體電鍍之前沉積超薄Cu層(未示出)。電漿蝕刻製程可能造成低k介 電薄膜層64的損壞及/或增加低k介電薄膜層64的介電常數。舉例來說,低k介電薄膜層64可能因在暴露表面區域(例如,側壁等)中甲基(CH3基團)的耗盡及Si-O(氧)鍵(例如SiOH鍵)取代Si-C(碳)鍵(例如Si-CH3鍵)而被損壞。損壞可能導致低k介電薄膜64的介電常數增加。
可執行表面處理程序以恢復低k介電材料(例如,間隙壁結構55中的層57,使用作為互連結構60的一部分的低k介電薄膜層64等)。此外,可在BEOL互連應用中的Cu沉積之前執行表面處理程序(例如,在BEOL互連結構製造中)以密封低k介電材料的孔。
可在低k介電材料上進行在BEOL電漿蝕刻之後執行的範例表面處理程序:紫外光(UV)輔助熱固化;碳氫電漿處理;僅基於氣體或基於電漿的矽烷化程序。UV熱固化可去除在低k介電材料的電漿蝕刻期間形成的氫氧基團。然而,在實施例情況下,這種熱固化僅在高溫(例如600℃至1000℃)下有效,這不適用於BEOL應用。使用甲烷(CH4)或其他碳氫化合物的碳氫化合物電漿處理可在低k介電材料上構建一富碳層,但無顯著的低k介電材料的恢復。另外,電漿處理可能使工件裝置暴露於潛在的電漿損壞。含矽與碳氫化合物的矽烷化前驅物可在低溫(例如,低於300℃)下與Si-OH鍵反應,並可實現受損的低k介電材料的k值恢復。然而,矽烷化前驅物可能與BEOL互連結構60中暴露的底下Cu表面67反應且不利地影響Cu線電 阻。因此,使用矽烷化過程可能不是BEOL應用的可行選擇。
根據本發明的實施例態樣,在低k介電材料上執行的蝕刻後表面處理程序可結合期望的有機自由基前驅物(例如CH3自由基)。基於有機自由基的表面處理程序可能導致有機自由基附著在至少一部分的低k介電材料上(例如,基於氣相中的CH3自由基的甲基化)。期望的有機自由基前驅物(例如CH3自由基)可在低溫(例如低於300℃)下以Si-C(例如Si-CH3)鍵取代Si-OH鍵,以修復(例如,k值恢復)受損的低k介電材料。
期望的有機自由基前驅物(例如CH3自由基)可恢復低k介電材料,而不會對例如底下的Cu表面產生負面影響。期望的有機自由基前驅物(例如CH3自由基)也可減少碳層在低k介電材料上的沉積。
基於有機自由基的表面處理程序可包含過濾離子,同時允許中性自由基通過以暴露於工件。舉例來說,分離網格可用於過濾在電漿腔室中所產生的離子,並允許中性自由基通過分離網格中的孔到達處理腔室以暴露於工件。
在某些具體實施方式中,可藉由在電漿腔室中解離一個或多個碳氫化合物分子來產生有機自由基。舉例來說,可在電漿腔室中以碳氫化合物前驅物產生電漿(例如,使用電感電漿源、電容電漿源或其他電漿源),以在處理腔室中處理具有含矽與碳薄膜的工件。電漿腔室可遠離處理腔室。分離網格組合件可將電漿腔室與處理腔室分開。分離網格組合件可執 行離子過濾以減少對工件的直接電漿損壞。另外,可使用降低的電漿能量(例如,使用脈衝功率來激發電感耦合電漿源)來完成自由基選擇(例如CH3自由基)。也可透過在離子過濾後注入額外氣體的方案來完成自由基選擇。
在某些具體實施方式中,可選擇碳氫化合物前驅物以避開在BEOL應用中可能與例如底下的Cu表面產生負面相互作用的元素。舉例來說,碳氫化合物前驅物可避開矽和氧。碳氫化合物前驅物可針對經由受損介電層的有效擴散而調整及/或可組態用於多孔低k介電材料的孔密封。
示例性碳氫化合物分子可包含例如非環狀烷烴CnH2n+2,其中n大於或等於1且小於或等於10。舉例來說,碳氫化合物分子可包含非環狀烷烴,例如甲烷CH4、乙烷C2H6、丙烷或異丙烷C3H8等。
在某些具體實施方式中,碳氫化合物分子可包含環狀烷烴CnH2n,其中n大於或等於5且小於或等於10。舉例來說,碳氫化合物前驅物可包含環狀烷烴,例如環戊烷C5H10、環己烷C6H12、甲基-環己烷C7H14、二甲基-環己烷C8H16、1,3,5-三甲基-環己烷C9H18等。
在某些具體實施方式中,碳氫化合物前驅物可包含烯烴CnH2n,其中n大於或等於2且小於或等於10,例如乙烯C2H4、丙烯C3H6等。
在某些具體實施方式中,碳氫化合物前驅物可與 其它反應性氣體化合,以用Si-CH3鍵代替損壞的低k介電材料中所不希望的Si-OH鍵,用於k值恢復。舉例來說,碳氫化合物前驅物可與反應性氣體(如氫氣H2)化合。
在某些具體實施方式中,基於有機自由基的表面處理程序可包含在電漿腔室中產生一或多個物種,其中電漿腔室藉由分離網格與處理腔室分離。舉例來說,可藉由在處理氣體中引入電漿來產生物種。在某些具體實施方式中,處理氣體可為惰性氣體,例如氦氣、氬氣、氙氣等。在惰性氣體中使用電感電漿源所產生的電感電漿可產生一或多個激發的惰性氣體分子(例如,激發的氦原子)。在某些具體實施方式中,處理氣體可為氫氣。在處理氣體中使用電感電漿源所產生的感應電漿可產生一或多個氫自由基。
在某些具體實施方式中,藉由將氣體注入後電漿混合物中可產生所需的自由基(例如CH3自由基)。舉例來說,可在遠程電漿腔室中產生電漿(例如,H2電漿或惰性氣體電漿,像是He電漿)。混合物可通過分離網格組件以進行離子過濾。在離子過濾後,可將碳氫化合物(例如CH4)注入過濾的混合物中,以產生選擇的自由基(例如CH3自由基)。
可使用其他方法產生有機自由基。舉例來說,可使用分子的熱解(熱分解)(例如,偶氮甲烷CH3-N=N-CH3)或UV輔助分子解離(例如丙酮CH3COCH3)來產生有機自由基。
在某些具體實施方式中,可執行根據本發明的實 施例具體實施方式的複數個表面處理程序。可依序地執行複數個表面處理程序。每個表面處理程序可具有不同的特徵。舉例來說,較小尺寸的碳氫化合物分子可用於第一表面處理程序中,以有效地擴散到受損的低k材料中以進行k值恢復。較大及/或環狀碳氫化合物分子可用於第二表面處理程序,以有效地密封多孔低k材料的孔隙。作為另一範例,針對複數個表面處理程序中的每一個,可使用不同的功率量來激發電感耦合電漿源,以在遠程電漿腔室中產生電漿。作為另一範例,針對複數個表面處理程序中的每一個,可使用不同的壓力。
出於說明和討論的目的,本發明的態樣係參照「晶圓」或半導體晶圓來進行討論。所屬技術領域中具有通常知識者使用本文所提供的揭露內容將會理解到,本發明的實施例態樣可與任何半導體基板或其他合適的基板結合使用。此外,術語「約」與數值結合使用意指在所述數值的百分之十(10%)內。「基座」是指可用於支撐工件的任何結構。
本發明的一實施例具體實施方式係關於用以處理一工件的方法。工件包含一含矽與碳的薄膜材料。方法包含在含矽與碳薄膜材料上執行一基於有機自由基的表面處理程序。表面處理程序可包含在第一腔室中產生一或多個物種;將一或多個碳氫化合物分子與該物種混合,以產生包含一或多個有機自由基(例如CH3自由基)的一混合物;以及在第二腔室中將工件上的含矽與碳層暴露於混合物。在某些具體實施方式 中,基於有機自由基的表面處理程序可能導致在含矽與碳薄膜材料的至少一部分上的甲基化。
在某些具體實施方式中,含矽與碳薄膜材料包含氧。在某些具體實施方式中,薄膜材料具有約1%到約50%的孔隙度。在某些具體實施方式中,含矽與碳薄膜材料包含氮。在某些具體實施方式中,含矽與碳薄膜材料係使用作為在一前段製程應用中所形成的間隙壁結構的至少一部分。在某些具體實施方式中,含矽與碳薄膜材料係使用作為在一後段製程應用中所形成的互連結構的一部分。
在某些具體實施方式中,一或多個碳氫化合物分子具有化學式CnH2n+2,其中n大於或等於1且n小於或等於10。在某些具體實施方式中,一或多個碳氫化合物分子具有化學式CnH2n,其中n大於或等於2且n小於或等於10。
在某些具體實施方式中,一或多個碳氫化合物分子與在第一腔室中的該物種混合。
在某些具體實施方式中,方法包含在執行表面處理程序及從第二腔室移除工件後,在第一腔室中產生一電漿。在某些具體實施方式中,在執行表面處理程序後在第一腔室中產生電漿,包含產生一或多個氧自由基。在某些具體實施方式中,方法包含使用由在第一腔室中的一電漿所產生的一或多個自由基來執行一乾式揭膜製程。
在某些具體實施方式中,使用一電感耦合電漿源 從在第一腔室中的一處理氣體產生一或多個物種於一電漿中。在某些具體實施方式中,處理氣體為一惰性氣體,例如氦。在某些具體實施方式中,處理氣體包含氫氣且該物種包含氫自由基。
在某些具體實施方式中,該物質包含使用一加熱燈絲而產生的一或多個氫自由基。在某些具體實施方式中,一或多個有機自由基係使用分子的熱解或UV輔助的分子解離而產生。
在某些具體實施方式中,方法包含使用將第一腔室與第二腔室分離的一分離網格,過濾由電漿產生的一個或多個離子,以產生一過濾的混合物。
本發明的另一實施例具體實施方式係關於用以處理一工件的方法。工件包含含矽與碳的薄膜材料。方法包含使用基於有機自由基的表面處理程序來處理工件。表面處理程序包含使用在電漿處理裝置的電漿腔室中產生的一電漿從一處理氣體產生一或多個物種;將一或多個碳氫化合物分子與該物種混合,以產生一混合物;以及在一處理腔室中將工件暴露於混合物,處理腔室與電漿腔室分離。
在某些具體實施方式中,處理氣體為一惰性氣體,例如氦。在某些具體實施方式中,處理氣體包含氫
在某些具體實施方式中,方法包含使用具有有機自由基的第二表面處理程序來處理工件。第二表面處理程序可 包含:使用在電漿處理裝置的電漿腔室中產生的電漿從一處理氣體產生一或多個物種;將一或多個碳氫化合物分子與該物種混合,以產生一混合物;以及在一處理腔室中將工件暴露於混合物,處理腔室與電漿腔室分離。
在某些具體實施方式中,第二表面處理程序的一或多個碳氫化合物分子與該表面處理程序的一或多個碳氫化合物分子不同。在某些具體實施方式中,相對於該表面處理程序,使用不同功率供應給一電感耦合電漿源來產生第二表面處理程序的電漿。在某些具體實施方式中,相對於該表面處理程序,在不同的壓力或不同的溫度下執行第二表面處理程序。
第三圖繪示了根據本發明的實施例具體實施方式的可用於執行表面處理程序的示例性電漿處理裝置100。如圖所示,電漿處理裝置100包含處理腔室110以及與處理腔室110分離的電漿腔室120。處理腔室110包含可操作以保持待處理工件114(例如半導體晶圓)的基板保持器或基座112。在此範例圖例中,藉由電感耦合電漿源135在電漿腔室120(亦即,電漿產生區域)中產生電漿,且經由分離網格組合件200將所需的物質從電漿腔室120引導到工件114的表面。
電漿腔室120包含介電質側壁122和頂板124。介電質側壁122、頂板124和分離網格組合件200定義了電漿腔室內部125。介電質側壁122可由介電材料形成,例如石英及/或氧化鋁。電感耦合電漿源135可包含圍繞電漿腔室120設置在介 電質側壁122附近的感應線圈130。感應線圈130經由合適的匹配網絡132耦合到RF功率產生器134。反應物及/或載氣可以從氣體供應器150和環形氣體分配通道151或其他合適的氣體引入機構而提供至腔室內部。當利用來自RF功率產生器134的RF功率對感應線圈130供電時,可在電漿腔室120中產生電漿。在特定具體實施方式中,電漿處理裝置100可包含選用的法拉第屏蔽128,以減少感應線圈130到電漿的電容耦合。
如第三圖所示,分離網格組合件200將電漿腔室120與處理腔室110分離。分離網格組合件200可用以對電漿腔室120中的電漿產生的混合物進行離子過濾,以產生過濾後的混合物。經過濾的混合物可在處理腔室110中暴露於工件114。
分離網格組合件200可為多板分離網格。舉例來說,分離網格組合件200可包含第一網格板210和第二網格板220,其彼此平行地間隔開。第一網格板210和第二網格板可分隔一段距離。
第一網格板210可具有含複數個孔的第一網格圖案。第二網格板220可具有含複數個孔的第二網格圖案。第一網格圖案可與第二網格圖案相同或不同。帶電粒子可在其通過分離網格200中的每個網格板210、220的孔的路徑中在壁上重新結合。中性物種可相對自由地流過第一網格板210和第二網格板220中的孔。每個網格板210和220的孔徑和厚度可能影響帶電和中性物質的透明度。
在某些具體實施方式中,第一網格板210可由金屬(例如鋁)或其他導電材料製成及/或第二網格板220可由導電材料或介電材料(例如石英、陶瓷等)製成。在某些具體實施方式中,第一網格板210及/或第二網格板220可由其他材料製成,例如矽或碳化矽。在網格板由金屬或其他導電材料製成的情況下,網格板可接地。
第四圖繪示了根據本發明的實施例具體實施方式,用於半導體元件製造之示例方法(300)的流程圖。參考第三圖的電漿處理裝置100來討論方法(300),作為一實施例。方法(300)可在具有與處理腔室分離的電漿腔室的任何電漿處理裝置中實施。第四圖繪示了出於說明和討論的目的而以特定順序執行的步驟。所屬技術領域中具有通常知識者在使用本文所提供的揭露內容下將理解到,可以各種方式省略、擴展、同時執行、重新配置及/或修改本文所述的任何方法的各個步驟,而不會偏離本發明範疇。另外,在不偏離本發明範疇的情況下,可以執行各種步驟(未示出)。
在(302)處,方法可包含調節電漿處理裝置以進行根據本發明實施例具體實施方式的表面處理程序。舉例來說,方法可包含調節電漿腔室120及/或處理腔室110以進行表面處理程序。在某些具體實施方式中,調節電漿處理裝置100可包含在將工件引入處理腔室110之前在電漿腔室120中產生氧基料電漿。可執行其他基於氧化的化學程序來調節電漿處理裝 置,而不會偏離本發明範疇。
在(304),方法可包含將工件放置在電漿處理裝置的處理腔室中。處理腔室可與電漿腔室分離(例如,由分離網格組合件分開)。舉例來說,方法可包含將工件114放置在處理腔室110中的基座112上。
工件可包含低k介電材料,例如含矽與碳的薄膜材料。在某些具體實施方式中,含矽與碳薄膜材料可包含氧。在某些具體實施方式中,含矽與碳薄膜材料可包含氮。在某些具體實施方式中,含矽與碳薄膜材料的孔隙度可在約1%至約50%的範圍內。
在一實施例中,薄膜材料可為FEOL應用中的間隙壁結構的一部分。舉例來說,薄膜材料可為第一圖中所示的薄膜57。在另一實施例中,薄膜材料可為BEOL應用中的互連結構的一部分。舉例來說,薄膜材料可為第二圖中所示的層64。
參照第四圖,方法可包含執行根據本發明的實施例態樣的表面處理程序(306)。可在工件上執行表面處理程序,以恢復受損的低k介電材料(例如,受到先前蝕刻製程損壞的低k介電材料)。表面處理程序可實現多孔低k介電材料的孔密封。將參考第五圖和第六圖更詳細地討論示例性表面處理程序。表面處理程序可為基於有機自由基的表面處理程序。基於有機自由基的表面處理程序可為基於甲基自由基(CH3)的程序,其導致低k介電材料的至少一部分表面的甲基化。
在第四圖的(308),方法可包含從處理腔室移除工件。舉例來說,工件114可從處理腔室110中的基座112移除。接著,可調節電漿處理裝置,用於將來對其他工件的處理。
第五圖繪示了根據本發明的實施例具體實施方式的示例性表面處理程序(400)的流程圖。參照第三圖的電漿處理裝置100來討論程序(400),作為一實施例。程序(400)可在具有與處理腔室分離的電漿腔室的任何電漿處理裝置中實施。第五圖繪示了出於說明和討論的目的而以特定順序執行的步驟。所屬技術領域中具有通常知識者在使用本文所提供的揭露內容下將理解到,可以各種方式省略、擴展、同時執行、重新配置及/或修改本文所述的任何方法的各個步驟,而不會偏離本發明範疇。另外,在不偏離本發明範疇的情況下,可以執行各種步驟(未示出)。
在(402),表面處理程序可包含加熱工件。舉例來說,工件114可在處理腔室110中加熱至處理溫度。舉例來說,可使用與基座112相關的一或多個加熱系統來加熱工件114。在某些具體實施方式中,可將工件加熱至約20℃至約400℃的處理溫度。
在(404),表面處理程序可包含允許處理氣體進入電漿腔室。舉例來說,處理氣體可經由環形氣體分配通道151或其他合適的氣體引入機構從氣體源150進入電漿腔室內部125。
在某些具體實施方式中,處理氣體可包含一或多個碳氫化合物分子。示例性碳氫化合物分子可包含例如非環狀烷烴CnH2n+2,其中n大於或等於1且小於或等於10。舉例來說,碳氫化合物分子可包含甲烷CH4、乙烷C2H6、丙烷或異丙烷C3H8等。
在某些具體實施方式中,碳氫化合物分子可包含環狀烷烴CnH2n,其中n大於或等於5且小於或等於10。舉例來說,碳氫化合物前驅物可包含環狀烷烴,例如環戊烷C5H10、環己烷C6H12、甲基-環己烷C7H14、二甲基-環己烷C8H16、1,3,5-三甲基-環己烷C9H18等。在某些具體實施方式中,碳氫化合物前驅物可包含烯烴CnH2n,其中n大於或等於2且小於或等於10,例如乙烯C2H4、丙烯C3H6等。
在(406)處,表面處理程序可包含(例如選擇性地包含)允許第二氣體進入電漿腔室,例如反應氣體(例如氫氣(H2))。舉例來說,第二氣體可進入電漿腔室作為處理氣體的一部分。處理氣體可包含具有H2和氮氣(N2)的混合物及/或具有H2和氦氣(He)的混合物及/或具有H2和氬氣(Ar)的混合物。在某些具體實施方式中,處理氣體為惰性氣體,例如氦氣、氬氣或氙氣。由電漿中的處理氣體和第二氣體的混合物產生的自由基,可幫助替換受損的低k介電材料Si-C(例如Si-CH3)鍵中的Si-OH鍵,以進行k值恢復。
在(408),表面處理程序可包含激發電感耦合電漿 源,以在電漿腔室中產生電漿。舉例來說,可使用來自RF功率產生器134的RF能量來激勵感應線圈130,以在電漿腔室內部125中產生電漿。在某些具體實施方式中,可使用脈衝功率來激發電感耦合電源,以較低的電漿能量獲得所需的自由基。
在(410)處,表面處理程序可包含使用電漿將電漿腔室內的混合物中的一或多個碳氫化合物分子離解。舉例來說,使用電感耦合電漿源135在電漿腔室內部125中誘發的電漿,可解離處理氣體中的碳氫化合物分子和其他分子以產生自由基和離子。舉例來說,一或多種碳氫化合物分子可在電漿中離解以產生CH3自由基。
在(412)處,表面處理程序可包含過濾混合物中由電漿產生的一或多個離子,以產生過濾的混合物。過濾的混合物可包含藉由碳氫化合物分子的解離而產生的自由基,例如CH3自由基。
在某些具體實施方式中,可使用將電漿腔室與工件所在的處理腔室分開的分離網格組合件來過濾一或多個離子。舉例來說,分離網格組合件200可用以過濾由電漿所產生的離子。
分離網格組合件200可具有複數個孔。帶電粒子(例如離子)可在其通過複數個孔的路徑中在壁上重新結合。中性粒子(例如自由基,像是CH3自由基)可通過孔。
在某些具體實施方式中,分離網格組合件200可 組態以大於或等於約90%(例如大於或等於約95%)的效率來過濾離子。離子過濾的百分比效率是指從混合物中除去的離子量相對於混合物中離子的總數。舉例來說,約90%的效率表示在過濾期間除去了約90%的離子。約95%的效率表示在過濾期間除去了約95%的離子。
在某些具體實施方式中,分離網格組合件可為多板分離網格。多板分離網格可具有多個平行的分離網格板。可選擇網格板中的孔的配置和對齊方式,以提供所需的離子過濾效率,例如大於或等於約95%。
舉例來說,分離網格組合件200可包含彼此平行的第一網格板210和第二網格板220。第一網格板210可具有含複數個孔的第一網格圖案。第二網格板220可具有含複數個孔的第二網格圖案。第一網格圖案可與第二網格圖案相同或不同。
在第五圖的(414),表面處理程序可包含將工件暴露於已過濾的混合物。更具體地,工件可暴露於電漿中產生的自由基(例如CH3自由基)並通過分離網格組合件。作為實施例,自由基(例如CH3自由基)可通過分離網格組合件200並暴露在工件114上。在某些具體實施方式中,將工件暴露於有機自由基可能導致有機自由基附著在至少一部分的低k介電材料上。
如上所述,自由基(例如CH3自由基)可提供低k材 料的k值恢復。舉例來說,自由基可用於以Si-CH3鍵取代受損的低k介電材料中的Si-OH鍵,而導致k值恢復。此外,自由基可提供多孔低k材料的孔密封。此外,CH3自由基不會對其他材料(例如,BEOL互連結構60中暴露的下層Cu層67)產生負面影響。
第六圖繪示了根據本發明的實施例具體實施方式的示例性表面處理程序(500)的流程圖。參照第三圖的電漿處理裝置100來討論程序(500),作為一實施例。程序(500)可在具有與處理腔室分離的電漿腔室的任何電漿處理裝置中實施。第六圖繪示了出於說明和討論的目的而以特定順序執行的步驟。所屬技術領域中具有通常知識者在使用本文所提供的揭露內容下將理解到,可以各種方式省略、擴展、同時執行、重新配置及/或修改本文所述的任何方法的各個步驟,而不會偏離本發明範疇。另外,在不偏離本發明範疇的情況下,可以執行各種步驟(未示出)。
在(502),表面處理程序可包含加熱工件。舉例來說,工件114可在處理腔室110中加熱至處理溫度。舉例來說,可使用與基座112相關的一或多個加熱系統來加熱工件114。在某些具體實施方式中,可將工件加熱至範圍在約50℃至約400℃的溫度。
在(504),表面處理程序可包含允許處理氣體混合物進入電漿腔室。舉例來說,處理氣體可經由環形氣體分配通 道151或其他合適的氣體引入機構從氣體源150進入電漿腔室內部125。處理氣體可包含反應氣體,例如氫氣(H2)。處理氣體可包含載氣,例如N2、Ar及/或氦氣。舉例來說,在某些具體實施方式中,處理氣體可包含具有H2和N2的混合物。在某些其他的具體實施方式中,處理氣體可包含具有H2和He的混合物。在某些其他的具體實施方式中,處理氣體可包含具有H2和Ar的混合物。
在某些具體實施方式中,處理氣體可為惰性氣體。舉例來說,處理氣體可為不含反應氣體的惰性氣體。在特定的具體實施方式中,處理氣體可為氦氣、氬氣、氙氣或其他惰性氣體。
在(506),表面處理程序可包含激發一電感耦合電漿源,以在電漿腔室中產生電漿。舉例來說,可使用來自RF功率產生器134的RF能量來激勵感應線圈130,以在電漿腔室內部125中產生電漿。在某些具體實施方式中,可使用脈衝功率來激發電感耦合電源,以較低的電漿能量獲得所需的物質。
在(508)處,表面處理程序可包含從處理氣體在電漿中產生一或多個物質。舉例來說,使用電感耦合電漿源135從反應處理氣體(例如H2)在電漿腔室內部125中誘發的電漿,可解離處理氣體混合物中的分子以產生自由基(例如H自由基)和離子。作為另一例子,使用電感耦合電漿源135從惰性處理氣體(例如He)在電漿腔室內部125中誘發的電漿,可產生一或 多個激發的惰性氣體分子(例如,激發的He分子)。
在(510)處,表面處理程序可包含過濾混合物中由電漿產生的一或多個離子,以產生過濾的混合物。過濾的混合物可包含從處理氣體產生於電漿中的物種。
在某些具體實施方式中,可使用將電漿腔室與工件所在的處理腔室分開的分離網格組合件來過濾一或多個離子。舉例來說,分離網格組合件200可用以過濾由電漿所產生的離子。
分離網格組合件200可具有複數個孔。帶電粒子(例如離子)可在其通過複數個孔的路徑中在壁上重新結合。中性粒子(例如自由基)可通過孔。在某些具體實施方式中,分離網格組合件200可組態以大於或等於約90%(例如大於或等於約95%)的效率來過濾離子。
在某些具體實施方式中,分離網格組合件可為多板分離網格。多板分離網格可具有多個平行的分離網格板。可選擇網格板中的孔的配置和對齊方式,以提供所需的離子過濾效率,例如大於或等於約95%。
在(512),方法可包含在過濾後將碳氫化合物分子注入已過濾的混合物中。碳氫化合物分子可與過濾的混合物反應以產生所需的有機自由基(例如CH3自由基)。
示例性碳氫化合物分子可包含例如非環狀烷烴CnH2n+2,其中n大於或等於1且小於或等於10。舉例來說,碳氫 化合物分子可包含甲烷CH4、乙烷C2H6、丙烷或異丙烷C3H8等。
在某些具體實施方式中,碳氫化合物分子可包含環狀烷烴CnH2n,其中n大於或等於5且小於或等於10。舉例來說,碳氫化合物前驅物可包含環狀烷烴,例如環戊烷C5H10、環己烷C6H12、甲基-環己烷C7H14、二甲基-環己烷C8H16、1,3,5-三甲基-環己烷C9H18等。在某些具體實施方式中,碳氫化合物前驅物可包含烯烴CnH2n,其中n大於或等於2且小於或等於10,例如乙烯C2H4、丙烯C3H6等。
第七圖繪示了根據本發明的實施例具體實施方式的用於在離子過濾後注入碳氫化合物分子的示例性分離網格組合件200。更具體地,分離網格組合件200包含平行設置的第一網格板210和第二網格板,用於離子/UV過濾。
第一網格板210和第二網格板220可以是平行關係。第一網格板210可具有含複數個孔的第一網格圖案。第二網格板220可具有含複數個孔的第二網格圖案。第一網格圖案可與第二網格圖案相同或不同。帶電粒子(例如離子)可在其通過分離網格200中的每個網格板210、220的孔的路徑中在壁上重新結合。中性物種(例如自由基)可相對自由地流過第一網格板210和第二網格板220中的孔。
在第二網格板220之後,氣體注入源230可組態以允許碳氫化合物氣體進入已過濾的混合物中。由碳氫化合物氣體的注入所產生的自由基(例如CH3自由基)可通過第三網格板 235以暴露於工件。
在第六圖的(514),表面處理程序可包含將工件暴露於過濾的混合物。更具體地,在注入碳氫化合物分子後,工件可暴露於自由基(例如CH3自由基)。作為實施例,自由基(例如CH3自由基)可通過第三網格板235(第七圖)並可暴露在工件114上。在某些具體實施方式中,將工件暴露於有機自由基可能導致至少一部分低k介電材料的甲基化。
第八圖繪示了根據本發明的實施例具體實施方式的用於半導體元件製造的示例方法(600)的流程圖。參照第三圖的電漿處理裝置100來討論方法(600),作為一實施例。方法(600)可在具有遠離處理腔室的電漿腔室的任何電漿處理裝置中實施。第八圖繪示了出於說明和討論的目的而以特定順序執行的步驟。所屬技術領域中具有通常知識者在使用本文所提供的揭露內容下將理解到,可以各種方式省略、擴展、同時執行、重新配置及/或修改本文所述的任何方法的各個步驟,而不會偏離本發明範疇。另外,在不偏離本發明範疇的情況下,可以執行各種步驟(未示出)。
在(602),方法可包含調節電漿處理裝置以進行根據本發明的實施例具體實施方式的表面處理程序。舉例來說,方法可包含調節電漿腔室120及/或處理腔室110,以進行表面處理程序。在某些具體實施方式中,調節電漿處理裝置100可包含在將工件引入處理腔室110之前在電漿腔室120中產生氧 基料電漿。可執行其他基於氧化的化學程序,以調節電漿處理裝置而不會偏離本發明的範疇。
在(604),方法可包含將工件放置在電漿處理裝置的處理腔室中。處理腔室可與電漿腔室分離(例如,藉由分離網格組合件分離)。舉例來說,方法可包含將工件114放置在處理腔室110中的基座112上。
工件可包含低k介電材料,例如含矽與碳薄膜材料。在某些具體實施方式中,含矽與碳薄膜材料可包含氧。在某些具體實施方式中,含矽與碳薄膜材料可包含氮。在某些具體實施方式中,含矽與碳薄膜材料的孔隙度可在約1%至約50%的範圍內。
在一實施例中,薄膜材料可為FEOL應用中的間隙壁結構的一部分。舉例來說,薄膜材料可為第一圖所示的薄膜57。在另一例子中,薄膜材料可為BEOL應用中的互連結構的一部分。舉例來說,薄膜材料可為第二圖所示的層64。
參照第八圖,方法可包含執行根據本發明的實施例態樣的表面處理程序(606)。可在工件上執行表面處理程序以恢復受損的低k介電材料(例如,由先前蝕刻製程所損壞的低k介電材料)。表面處理程序可實現多孔低k介電材料的孔密封。將參照第五圖和第六圖更詳細地討論示例性表面處理程序。
在第八圖的(608),方法可包含執行根據本發明的實施例態樣的一或多個另外的表面處理程序。可在工件上執行 一或多個另外的表面處理程序,以恢復受損的低k介電材料(例如,由先前的蝕刻製程所損壞的低k介電材料)。一或多個另外的表面處理程序可實現多孔低k介電材料的孔密封。將參照第五圖和第六圖更詳細地討論示例性表面處理程序。
在某些具體實施方式中,相對於先前進行的表面處理程序,一或多個另外的表面處理程序可具有不同的程序特性。舉例來說,較小尺寸的碳氫化合物分子可用於第一表面處理程序,以有效地擴散到受損的低k材料中,以進行k值恢復。較大及/或環狀的碳氫化合物分子可用於第二表面處理程序,以進行多孔低k材料的有效孔密封。作為另一實施例,用於激發電感耦合電漿源以在遠程電漿腔室中產生電漿的不同功率量,可用於複數個表面處理程序中的每一個。作為另一實施例,不同的壓力可用於複數個表面處理程序中的每一個。
在第八圖的(610),方法可包含從處理腔室移除工件。舉例來說,工件114可從處理腔室110中的基座112移除。接著,可調節電漿處理裝置,用於將來對其他工件的處理。
在某些具體實施方式中,可使用不同的氫自由基源來產生有機自由基。例如,如第九圖所示,氫氣H2可通過加熱的燈絲(例如鎢絲),以在第一腔室中產生氫自由基。氫自由基可通過分離網格200。
分離網格組合件200包含以平行關係設置的第一網格板210和第二網格板220。第一網格板210可具有含複數個 孔的第一網格圖案。第二網格板220可具有含複數個孔的第二網格圖案。第一網格圖案可與第二網格圖案相同或不同。帶電粒子(例如離子)可在其通過分離網格200中的每個網格板210、220的孔的路徑中在壁上重新結合。中性物種(例如H自由基)可相對自由地流過第一網格板210和第二網格板220中的孔。
在第一網格板210之後,氣體注入源230可組態以允許碳氫化合物氣體進入已過濾的混合物中。由碳氫化合物氣體的注入所產生的自由基(例如CH3自由基)264可通過第二網格板220以暴露於工件。
碳氫化合物氣體可包含一或多個碳氫化合物分子。示例性碳氫化合物分子可包含例如非環狀烷烴CnH2n+2,其中n大於或等於1且小於或等於10。舉例來說,碳氫化合物分子可包含非環狀烷烴,例如甲烷CH4、乙烷C2H6、丙烷或異丙烷C3H8等。碳氫化合物分子可包含環狀烷烴CnH2n,其中n大於或等於5且小於或等於10。舉例來說,碳氫化合物分子可包含環狀烷烴,例如環戊烷C5H10、環己烷C6H12、甲基-環己烷C7H14、二甲基-環己烷C8H16、1,3,5-三甲基-環己烷C9H18等。在某些具體實施方式中,碳氫化合物分子可包含烯烴CnH2n,其中n大於或等於2且小於或等於10,例如乙烯C2H4、丙烯C3H6等。
出於示例目的,參照具有兩個網格板的分離網格來討論本實施例。所屬技術領域中具有通常知識者在使用本文 所提供的揭露內容下將理解到,可使用更多或更少的網格板而不會偏離本發明範疇。
在不偏離本發明範疇的情況下,可使用其他方法產生有機自由基(例如CH3自由基)。舉例來說,可使用分子的熱解(熱分解)(例如,偶氮甲烷CH3-N=N-CH3)來產生有機自由基(例如CH3)。作為另一實施例,可藉由UV輔助分子解離(例如,丙酮CH3COCH3)來產生有機自由基。
儘管已關於本發明的特定範例具體實施方式對本發明標的進行詳細描述,但所屬技術領域中具有通常知識者將理解到,在獲得對前述內容的理解後,可容易地對這些具體實施方式進行修改、變化和等效。因此,本發明的範疇為示例性的而非限制性的,且本發明揭露內容並不排除包含對所屬技術領域中具有通常知識者為顯而易見的本發明標的的這些修改、變化和/或添加。

Claims (29)

  1. 一種用以處理一工件的方法,該工件包含一含矽與碳的薄膜材料,該方法包含在該含矽與碳薄膜材料上執行一基於有機自由基的表面處理程序,該基於有機自由基的表面處理程序包含:在一第一腔室中產生一或多個物種;將一或多個碳氫化合物分子與該物種混合,以產生包含一或多個有機自由基的一混合物;以及在一第二腔室中將該工件上的該含矽與碳層暴露於該混合物。
  2. 根據申請專利範圍第1項之方法,其中該基於有機自由基的表面處理程序導致在該含矽與碳薄膜材料的至少一部分上的甲基化。
  3. 根據申請專利範圍第1項之方法,其中該含矽與碳薄膜材料包含氧。
  4. 根據申請專利範圍第1項之方法,其中該薄膜材料具有約1%到約50%的孔隙度。
  5. 根據申請專利範圍第1項之方法,其中該含矽與碳薄膜材料包含氮。
  6. 根據申請專利範圍第1項之方法,其中該含矽與碳薄膜材料係使用作為在一前段製程應用中所形成的一間隙壁結構的至少一部分。
  7. 根據申請專利範圍第1項之方法,其中該含矽與碳薄膜材料係使用作為在一後段製程應用中所形成的一互連結構的至少一部分。
  8. 根據申請專利範圍第1項之方法,其中該一或多個碳氫化合物分子具有化學式C nH 2n+2,其中n大於或等於1且n小於或等於10。
  9. 根據申請專利範圍第1項之方法,其中該一或多個碳氫化合物分子具有化學式C nH 2n,其中n大於或等於2且n小於或等於10。
  10. 根據申請專利範圍第1項之方法,其中該一或多個碳氫化合物分子在該第一腔室中與該物種混合。
  11. 根據申請專利範圍第1項之方法,其中該方法包含在執行該表面處理程序及從該第二腔室移除該工件後,在該第一腔室中產生一電漿。
  12. 根據申請專利範圍第11項之方法,其中在執行該表面處理程序後在該第一腔室中產生該電漿,包含產生一或多個氧自由基。
  13. 根據申請專利範圍第1項之方法,其中該一或多個有機自由基包含CH 3自由基。
  14. 根據申請專利範圍第1項之方法,其中該方法包含使用在該第一腔室中從一電漿所產生的一或多個自由基來執行一乾式揭膜製程。
  15. 根據申請專利範圍第1項之方法,其中使用一電感耦合電漿源在該第一腔室中從一處理氣體產生該一或多個物種於一電漿中。
  16. 根據申請專利範圍第15項之方法,其中該處理氣體為一惰性氣體。
  17. 根據申請專利範圍第16項之方法,其中該惰性氣體為氦。
  18. 根據申請專利範圍第15項之方法,其中該處理氣體為包含一氫氣且該物種包含氫自由基。
  19. 根據申請專利範圍第1項之方法,其中該物種包含使用一加熱燈絲而產生的一或多個氫自由基。
  20. 根據申請專利範圍第1項之方法,其中該一或多個有機自由基係使用分子的熱解或UV輔助的分子解離而產生。
  21. 根據申請專利範圍第15項之方法,其中使用將該第一腔室與該第二腔室分離的一分離網格,過濾由該電漿產生的一個或多個離子,以產生一過濾的混合物。
  22. 一種用以處理一工件的方法,該工件包含一含矽與碳的薄膜材料,該方法包含使用一基於有機自由基的表面處理程序來處理該工件,該表面處理程序包含:使用在一電漿處理裝置的一電漿腔室中產生的一電漿,從一處理氣體產生一或多個物種; 將一或多個碳氫化合物分子與該物種混合,以產生一混合物;以及在一處理腔室中將該工件暴露於該混合物,該處理腔室與該電漿腔室分離。
  23. 根據申請專利範圍第22項之方法,其中該處理氣體為一惰性氣體。
  24. 根據申請專利範圍第22項之方法,其中該處理氣體包含氫。
  25. 根據申請專利範圍第22項之方法,其中該方法包含使用具有有機自由基的一第二表面處理程序來處理該工件。
  26. 根據申請專利範圍第25項之方法,其中該第二表面處理程序包含:使用在一電漿處理裝置的一電漿腔室中產生的一電漿,從一處理氣體產生一或多個物種;將一或多個碳氫化合物分子與該物種混合,以產生一混合物;以及在一處理腔室中將該工件暴露於該混合物,該處理腔室與該電漿腔室分離。
  27. 根據申請專利範圍第26項之方法,其中該第二表面處理程序的該一或多個碳氫化合物分子與該表面處理程序的該一或多個碳氫化合物分子不同。
  28. 根據申請專利範圍第26項之方法,其中相對於該表面處理程序,使用不同於被供應至一電感耦合電漿源的功率來產生該第二表面處理程序的該電漿。
  29. 根據申請專利範圍第26項之方法,其中相對於該表面處理程序,在一不同的壓力或一不同的溫度下執行該第二表面處理程序。
TW107133841A 2017-10-03 2018-09-26 藉由具有機前驅物之遠距電漿的含矽與碳薄膜的表面處理 TWI743396B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762567295P 2017-10-03 2017-10-03
US62/567,295 2017-10-03
US15/958,635 2018-04-20
US15/958,635 US10804109B2 (en) 2017-10-03 2018-04-20 Surface treatment of silicon and carbon containing films by remote plasma with organic precursors

Publications (2)

Publication Number Publication Date
TW201915211A true TW201915211A (zh) 2019-04-16
TWI743396B TWI743396B (zh) 2021-10-21

Family

ID=65896836

Family Applications (3)

Application Number Title Priority Date Filing Date
TW107133841A TWI743396B (zh) 2017-10-03 2018-09-26 藉由具有機前驅物之遠距電漿的含矽與碳薄膜的表面處理
TW107133839A TWI715872B (zh) 2017-10-03 2018-09-26 利用有機基之矽或矽鍺表面的表面處理
TW107133840A TW201933431A (zh) 2017-10-03 2018-09-26 利用有機自由基的含碳薄膜表面處理

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW107133839A TWI715872B (zh) 2017-10-03 2018-09-26 利用有機基之矽或矽鍺表面的表面處理
TW107133840A TW201933431A (zh) 2017-10-03 2018-09-26 利用有機自由基的含碳薄膜表面處理

Country Status (6)

Country Link
US (5) US10269574B1 (zh)
JP (3) JP6991324B2 (zh)
KR (3) KR20200039815A (zh)
CN (3) CN111433895B (zh)
TW (3) TWI743396B (zh)
WO (3) WO2019070404A1 (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11164725B2 (en) 2018-06-11 2021-11-02 Beijing E-town Semiconductor Technology Co., Ltd. Generation of hydrogen reactive species for processing of workpieces
US11495456B2 (en) 2018-10-15 2022-11-08 Beijing E-Town Semiconductor Technology, Co., Ltd Ozone for selective hydrophilic surface treatment
US10950416B2 (en) 2018-11-16 2021-03-16 Mattson Technology, Inc. Chamber seasoning to improve etch uniformity by reducing chemistry
US10403492B1 (en) * 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
TW202040692A (zh) 2018-12-21 2020-11-01 美商得昇科技股份有限公司 工件的表面平滑化
US11380523B2 (en) * 2019-02-14 2022-07-05 Hitachi High-Tech Corporation Semiconductor manufacturing apparatus
KR20210131441A (ko) * 2019-04-30 2021-11-02 매슨 테크놀로지 인크 메틸화 처리를 사용한 선택적 증착
CN110335802B (zh) * 2019-07-11 2022-03-22 北京北方华创微电子装备有限公司 预清洗腔室及其过滤装置
US11189464B2 (en) * 2019-07-17 2021-11-30 Beijing E-town Semiconductor Technology Co., Ltd. Variable mode plasma chamber utilizing tunable plasma potential
CN110491760B (zh) * 2019-08-23 2020-09-15 江苏鲁汶仪器有限公司 一种法拉第清洗装置及等离子体处理系统
WO2021041389A1 (en) * 2019-08-28 2021-03-04 Mattson Technology, Inc. Methods for processing a workpiece using fluorine radicals
CN110349830B (zh) * 2019-09-09 2020-02-14 北京北方华创微电子装备有限公司 等离子体系统以及应用于等离子体系统的过滤装置
WO2022039978A1 (en) * 2020-08-20 2022-02-24 Applied Materials, Inc. Treatment methods for titanium nitride films
CN113471046B (zh) 2020-12-14 2023-06-20 北京屹唐半导体科技股份有限公司 具有等离子体处理系统和热处理系统的工件处理装置
CN113488367A (zh) * 2020-12-14 2021-10-08 北京屹唐半导体科技股份有限公司 具有等离子体处理系统和热处理系统的工件处理装置
EP4068000A1 (en) * 2021-03-30 2022-10-05 ASML Netherlands B.V. Conditioning apparatus and method
KR102585950B1 (ko) * 2021-05-24 2023-10-05 성균관대학교산학협력단 그리드 및 기판의 전위 제어를 이용한 건식 식각 방법

Family Cites Families (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5275798A (en) * 1986-07-11 1994-01-04 Kyocera Corporation Method for producing diamond films
US4885067A (en) * 1987-08-31 1989-12-05 Santa Barbara Research Center In-situ generation of volatile compounds for chemical vapor deposition
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
JPH09190979A (ja) 1996-01-10 1997-07-22 Nec Corp 選択シリコンエピタキシャル成長方法及び成長装置
DE19744060C2 (de) * 1997-10-06 1999-08-12 Fraunhofer Ges Forschung Verfahren und Vorrichtung zur Oberflächenbehandlung von Substraten
EP0948080A1 (fr) * 1998-03-31 1999-10-06 Koninklijke Philips Electronics N.V. Appareil électronique portable muni d'une antenne
US6028015A (en) 1999-03-29 2000-02-22 Lsi Logic Corporation Process for treating damaged surfaces of low dielectric constant organo silicon oxide insulation material to inhibit moisture absorption
JP3365554B2 (ja) * 2000-02-07 2003-01-14 キヤノン販売株式会社 半導体装置の製造方法
JP4644964B2 (ja) * 2001-04-04 2011-03-09 ソニー株式会社 多結晶性半導体薄膜の形成方法、及び半導体装置の製造方法
US6989108B2 (en) 2001-08-30 2006-01-24 Micron Technology, Inc. Etchant gas composition
US7541200B1 (en) 2002-01-24 2009-06-02 Novellus Systems, Inc. Treatment of low k films with a silylating agent for damage repair
JP4863182B2 (ja) * 2002-01-31 2012-01-25 東ソー株式会社 有機シラン化合物を含んでなる絶縁膜用材料、その製造方法および半導体デバイス
JP5117755B2 (ja) * 2002-05-08 2013-01-16 ルネサスエレクトロニクス株式会社 半導体装置
JP4034227B2 (ja) * 2002-05-08 2008-01-16 Necエレクトロニクス株式会社 半導体装置の製造方法
JP2003332317A (ja) * 2002-05-16 2003-11-21 Japan Steel Works Ltd:The プラズマを用いたレジスト剥離装置及び方法
US20040086434A1 (en) * 2002-11-04 2004-05-06 Gadgil Pradad N. Apparatus and method for treating objects with radicals generated from plasma
US7711675B2 (en) * 2002-07-22 2010-05-04 Microsoft Corporation Database simulation of data types
US6677251B1 (en) 2002-07-29 2004-01-13 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a hydrophilic surface on low-k dielectric insulating layers for improved adhesion
US20040154743A1 (en) * 2002-11-29 2004-08-12 Savas Stephen E. Apparatus and method for low temperature stripping of photoresist and residues
JP4673290B2 (ja) 2003-02-14 2011-04-20 アプライド マテリアルズ インコーポレイテッド 水素含有ラジカルによる未変性酸化物の洗浄
US7256134B2 (en) * 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
WO2005029550A2 (en) * 2003-09-16 2005-03-31 The Trustees Of Columbia University In The City Of New York Method and system for producing crystalline thin films with a uniform crystalline orientation
JP2005093688A (ja) * 2003-09-17 2005-04-07 Jsr Corp 半導体装置および半導体装置の製造方法
JP2005268312A (ja) * 2004-03-16 2005-09-29 Semiconductor Leading Edge Technologies Inc レジスト除去方法及びそれを用いて製造した半導体装置
JP4470557B2 (ja) 2004-03-31 2010-06-02 日本電気株式会社 携帯電話機
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7539329B2 (en) * 2004-07-01 2009-05-26 Hewlett-Packard Development Company, L.P. Method and apparatus for enhancing the usability of an electronic device having an integrated fingerprint sensor
US20060081273A1 (en) 2004-10-20 2006-04-20 Mcdermott Wayne T Dense fluid compositions and processes using same for article treatment and residue removal
WO2006099740A1 (en) * 2005-03-25 2006-09-28 Institut National De La Recherche Scientifique Methods and apparatuses for purifying carbon filamentary structures
US7642195B2 (en) 2005-09-26 2010-01-05 Applied Materials, Inc. Hydrogen treatment to improve photoresist adhesion and rework consistency
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
CN101378850A (zh) * 2006-02-21 2009-03-04 应用材料股份有限公司 加强用于介电膜层的远程等离子体源清洁
US20080026140A1 (en) * 2006-07-25 2008-01-31 Clark E Bradley Graffiti furniture
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
JP4884268B2 (ja) * 2007-03-22 2012-02-29 東京エレクトロン株式会社 アッシング方法
JP4823952B2 (ja) 2007-03-26 2011-11-24 三菱電機株式会社 半導体装置の製造方法
US7807579B2 (en) 2007-04-19 2010-10-05 Applied Materials, Inc. Hydrogen ashing enhanced with water vapor and diluent gas
KR100777043B1 (ko) 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
US7838426B2 (en) * 2007-08-20 2010-11-23 Lam Research Corporation Mask trimming
US20090084501A1 (en) 2007-09-27 2009-04-02 Tokyo Electron Limited Processing system for producing a negative ion plasma
JP5173396B2 (ja) 2007-12-25 2013-04-03 大陽日酸株式会社 絶縁膜のダメージ回復処理方法
KR20100123757A (ko) 2008-03-07 2010-11-24 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 비-선택적 산화물 에칭용 습윤 세정 조성물 및 사용 방법
JP2011253832A (ja) 2008-07-24 2011-12-15 Canon Anelva Corp レジストトリミング方法及びトリミング装置
US8805844B2 (en) * 2008-08-04 2014-08-12 Liveperson, Inc. Expert search
WO2010045153A2 (en) 2008-10-14 2010-04-22 Applied Materials, Inc. Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (pecvd)
JP4799623B2 (ja) 2009-01-19 2011-10-26 株式会社東芝 カーボンナノチューブ成長方法
DE102009023379B4 (de) * 2009-05-29 2014-08-21 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Erzeugen einer hydrophoben Oberfläche empfindlicher Dielektrika mit kleinem ε von Mikrostrukturbauelementen durch eine in-situ-Plasmabehandlung
US8501145B2 (en) 2009-07-12 2013-08-06 Mahmood Ghoanneviss Method for growing carbon nanowalls
US8617993B2 (en) 2010-02-01 2013-12-31 Lam Research Corporation Method of reducing pattern collapse in high aspect ratio nanostructures
NO345393B1 (no) * 2010-03-12 2021-01-18 Optoplan As Roterende ledd/svivelanordning
SG193340A1 (en) * 2011-03-07 2013-10-30 Entegris Inc Chemical mechanical planarization pad conditioner
US9653327B2 (en) 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
US8741775B2 (en) * 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
KR101659594B1 (ko) 2011-08-19 2016-09-23 맷슨 테크놀로지, 인크. 고효율 플라즈마 소스
US8575041B2 (en) * 2011-09-15 2013-11-05 Globalfoundries Inc. Repair of damaged surface areas of sensitive low-K dielectrics of microstructure devices after plasma processing by in situ treatment
KR101321424B1 (ko) 2011-11-22 2013-10-22 김일욱 반도체 소자의 표면 처리 및 박막 성장 방법, 그리고 이를 구현하는 표면 처리 및 박막 성장 장치
JP5398853B2 (ja) 2012-01-26 2014-01-29 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR101331566B1 (ko) * 2012-03-28 2013-11-21 한국과학기술연구원 나노결정다이아몬드 박막 및 그 제조방법
WO2013153777A1 (ja) 2012-04-11 2013-10-17 東京エレクトロン株式会社 半導体装置の製造方法、半導体装置、半導体製造装置
KR101897062B1 (ko) * 2012-05-31 2018-09-12 엘지이노텍 주식회사 탄화규소 에피 웨이퍼 및 이의 제조 방법
WO2014013941A1 (ja) 2012-07-18 2014-01-23 東京エレクトロン株式会社 半導体装置の製造方法
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US8821987B2 (en) 2012-12-17 2014-09-02 Intermolecular, Inc. Combinatorial processing using a remote plasma source
US10006121B2 (en) * 2013-03-14 2018-06-26 Eugene Technology Co., Ltd. Method and apparatus for manufacturing three-dimensional-structure memory device
WO2014146937A2 (en) * 2013-03-22 2014-09-25 Vlyte Innovations Limited An electrophoretic device having a transparent light state
US20140342569A1 (en) * 2013-05-16 2014-11-20 Applied Materials, Inc. Near surface etch selectivity enhancement
US20150239759A1 (en) * 2014-02-25 2015-08-27 Energy Onvector, LLC Microbubble Generator for Enhanced Plasma Treatment of Liquid
US9190290B2 (en) * 2014-03-31 2015-11-17 Applied Materials, Inc. Halogen-free gas-phase silicon etch
US9469912B2 (en) * 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US9412581B2 (en) * 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US20160042916A1 (en) 2014-08-06 2016-02-11 Applied Materials, Inc. Post-chamber abatement using upstream plasma sources
US20160049293A1 (en) 2014-08-14 2016-02-18 Air Products And Chemicals, Inc. Method and composition for providing pore sealing layer on porous low dielectric constant films
US9735009B2 (en) 2014-09-15 2017-08-15 Applied Materials, Inc. Pre-clean of silicon germanium for pre-metal contact at source and drain and pre-high K at channel
US9502255B2 (en) 2014-10-17 2016-11-22 Lam Research Corporation Low-k damage repair and pore sealing agents with photosensitive end groups
CN105762109B (zh) * 2014-12-19 2019-01-25 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10475626B2 (en) * 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US10280512B2 (en) * 2015-07-27 2019-05-07 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for carbon film deposition profile control
JP2017050304A (ja) 2015-08-31 2017-03-09 東京エレクトロン株式会社 半導体装置の製造方法
KR102148833B1 (ko) 2016-02-26 2020-08-28 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 주입형 포토레지스트 스트리핑 공정
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment

Also Published As

Publication number Publication date
CN111433895B (zh) 2023-04-07
TW201928105A (zh) 2019-07-16
WO2019070403A1 (en) 2019-04-11
US11062910B2 (en) 2021-07-13
JP7021344B2 (ja) 2022-02-16
JP2020536389A (ja) 2020-12-10
KR20200039017A (ko) 2020-04-14
CN111433895A (zh) 2020-07-17
US20190304793A1 (en) 2019-10-03
JP6991324B2 (ja) 2022-02-03
KR20200039815A (ko) 2020-04-16
US20190214262A1 (en) 2019-07-11
WO2019070402A1 (en) 2019-04-11
JP6991323B2 (ja) 2022-01-12
WO2019070404A1 (en) 2019-04-11
CN111433896A (zh) 2020-07-17
JP2020536387A (ja) 2020-12-10
US10910228B2 (en) 2021-02-02
US20190103280A1 (en) 2019-04-04
US10804109B2 (en) 2020-10-13
TWI743396B (zh) 2021-10-21
TWI715872B (zh) 2021-01-11
CN111433893B (zh) 2024-04-02
KR20200039809A (ko) 2020-04-16
CN111433893A (zh) 2020-07-17
US10354883B2 (en) 2019-07-16
TW201933431A (zh) 2019-08-16
US10269574B1 (en) 2019-04-23
CN111433896B (zh) 2023-04-21
JP2020536385A (ja) 2020-12-10
US20190103270A1 (en) 2019-04-04
US20190103279A1 (en) 2019-04-04

Similar Documents

Publication Publication Date Title
TWI743396B (zh) 藉由具有機前驅物之遠距電漿的含矽與碳薄膜的表面處理
US10403492B1 (en) Integration of materials removal and surface treatment in semiconductor device fabrication
TW202032661A (zh) 用於移除硬遮罩之以水蒸氣為基礎的含氟電漿
TW202025300A (zh) 由氮化鈦表面去除氧化物
WO2021041389A1 (en) Methods for processing a workpiece using fluorine radicals
JP7311628B2 (ja) メチル化処理を使用した選択的な堆積
US11495456B2 (en) Ozone for selective hydrophilic surface treatment
US11164727B2 (en) Processing of workpieces using hydrogen radicals and ozone gas