TWI623976B - 保形膜之電漿活化沈積 - Google Patents

保形膜之電漿活化沈積 Download PDF

Info

Publication number
TWI623976B
TWI623976B TW105130207A TW105130207A TWI623976B TW I623976 B TWI623976 B TW I623976B TW 105130207 A TW105130207 A TW 105130207A TW 105130207 A TW105130207 A TW 105130207A TW I623976 B TWI623976 B TW I623976B
Authority
TW
Taiwan
Prior art keywords
plasma
stage
precursor
substrate
film
Prior art date
Application number
TW105130207A
Other languages
English (en)
Other versions
TW201701354A (zh
Inventor
李明
康虎
曼地炎 史林雷
愛德瑞恩 拉維伊
Original Assignee
諾菲勒斯系統公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/889,132 external-priority patent/US8101531B1/en
Application filed by 諾菲勒斯系統公司 filed Critical 諾菲勒斯系統公司
Publication of TW201701354A publication Critical patent/TW201701354A/zh
Application granted granted Critical
Publication of TWI623976B publication Critical patent/TWI623976B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本文描述用於使用電漿活化保形膜沈積(CFD)程序沈積薄保形膜之方法及硬體。在一實例中,一種用於形成一薄保形膜之方法包括:在一第一階段中,遠離基板之一表面產生前驅體自由基並且將該等前驅體自由基吸附至該表面以形成表面活性物種;在一第一清除階段中,自處理站清除殘餘前驅體;在一第二階段中,將一反應性電漿供應至該表面,該反應性電漿經組態以與該表面活性物種反應並產生該薄保形膜;及在一第二清除階段中,自該處理站清除殘餘反應物。

Description

保形膜之電漿活化沈積
本發明係關於一種形成一薄膜之方法,更特定言之係關於一種在一基板上形成一薄保形膜之方法。
用於半導體器件之各種薄膜層可使用原子層沈積(ALD)、化學氣相沈積(CVD)或電漿增強型化學氣相沈積(PECVD)程序沈積。在一些條件下,一些ALD程序可能無法浸透基板,從而導致基板上之不完全膜沈積、膜島狀化及膜厚度變動。類似地,在一些條件下,一些CVD及PECVD程序可受質量輸送限制,且可能無法覆蓋高縱橫比器件結構。
用以解決不完全膜沈積之一些方法可包含:(在ALD程序中)較長給料時間以使用膜前驅體浸透基板表面;及(在CVD及PECVD程序中)較低壓力以增強質量轉移效率。然而,延長給料時間及/或以較低壓力操作可減小處理工具輸送量,從而需要安裝及維護額外處理工具以支援一生產線。此外,藉由此等方法產生的膜可具有提供不適當器件效能之物理、化學或電特性。
因此,本文描述用於使用電漿活化保形膜沈積(CFD)程序沈積薄保形膜之方法及硬體之各種實施例。在一實施例中,描述一種用於處理一基板之方法。例如,該方法可包括:將光阻劑施覆至基板;經由 一步進器使光阻劑曝露於光;使用一圖案圖案化光阻劑並將圖案轉印至基板;及自基板選擇性地移除光阻劑。該方法可進一步包括將基板放置於一半導體處理裝置之一處理站中。該方法可進一步包括在該處理站中,在一第一階段中,遠離基板之一表面產生前驅體自由基並將前驅體自由基吸附至該表面以形成表面活性物種。該方法可進一步包括在該處理站中,在一第一清除階段中,自該處理站清除殘餘前驅體。該方法可進一步包括在該處理站中,在一第二階段中,將一反應性電漿供應至該表面,該反應性電漿經組態以與表面活性物種反應並產生薄保形膜。該方法可進一步包括在該處理站中,在一第二清除階段中,自該處理站清除殘餘反應物。
提供此[發明內容]以按一簡化形式介紹下文在[實施方式]中進一步描述之概念之一選擇。此[發明內容]不旨在識別所主張標的之關鍵特徵或基本特徵,亦不旨在用以限制所主張標的之範疇。此外,所主張的標的不限於解決本揭示內容之任何部分中所提及之任何或所有缺點之實施方案。
200‧‧‧時序圖
202‧‧‧選用初始階段
204‧‧‧沈積循環
204A‧‧‧第一沈積循環
204N‧‧‧第N沈積循環
206‧‧‧裂解階段
208‧‧‧選用預電漿前驅體子階段
208A‧‧‧預電漿前驅體子階段
208B‧‧‧預電漿前驅體子階段
208C‧‧‧預電漿前驅體子階段
208D‧‧‧預電漿前驅體子階段
208E‧‧‧預電漿前驅體子階段
210‧‧‧裂解電漿子階段
210A‧‧‧裂解電漿子階段
210B‧‧‧裂解電漿子階段
210C‧‧‧裂解電漿子階段
210D‧‧‧裂解電漿子階段
210E‧‧‧裂解電漿子階段
212‧‧‧後前驅體清除階段
214‧‧‧反應階段
216‧‧‧選用預電漿反應物子階段
218‧‧‧反應性電漿子階段
218A‧‧‧高頻電漿子階段/反應性電漿子階段
218B‧‧‧低頻電漿子階段/反應性電漿子階段
218C‧‧‧高頻電漿子階段/反應性電漿子階段
220‧‧‧後反應物清除階段
222‧‧‧選用後沈積階段
302‧‧‧電漿活化保形膜沈積(CFD)傅立葉變換紅外(FTIR)光譜
304‧‧‧電漿增強型化學氣相沈積(PECVD)傅立葉變換紅外(FTIR)光譜
402‧‧‧電漿活化保形膜沈積(CFD)洩漏電流跡線
404‧‧‧電漿增強型化學氣相沈積(PECVD)洩漏電流跡線
502‧‧‧電漿活化保形膜沈積(CFD)膜應力
504‧‧‧電漿增強型化學氣相沈積(PECVD)膜應力
600‧‧‧時序圖
700‧‧‧時序圖
802‧‧‧沈積速率跡線
804‧‧‧沈積速率跡線
806‧‧‧沈積速率資料點
902‧‧‧崩潰電壓跡線
904‧‧‧崩潰電壓跡線
906‧‧‧崩潰電壓資料點
1002‧‧‧膜應力跡線
1004‧‧‧膜應力跡線
1006‧‧‧膜應力資料點
1100‧‧‧時序圖
1102‧‧‧處理預電漿子階段
1104‧‧‧處理電漿子階段
1104A‧‧‧處理電漿子階段
1104B‧‧‧處理電漿子階段
1104C‧‧‧處理電漿子階段
1200‧‧‧矽通孔(TSV)
1202‧‧‧開口
1204‧‧‧矽基板之頂面
1206‧‧‧矽基板
1208‧‧‧側壁
1210‧‧‧底部
1212‧‧‧底部角隅
1214‧‧‧薄介電層
1302‧‧‧跡線
1304‧‧‧跡線
1402‧‧‧覆蓋率跡線
1404‧‧‧覆蓋率跡線
1406‧‧‧覆蓋率跡線
1502‧‧‧跡線
1504‧‧‧跡線
1506‧‧‧跡線
1508‧‧‧跡線
1510‧‧‧跡線
1600‧‧‧處理站
1672‧‧‧處理腔室本體
1674‧‧‧處理氣體遞送管線
1678‧‧‧蓮蓬頭
1680‧‧‧固持器
1682‧‧‧基座
1684‧‧‧加熱器
1686‧‧‧基板
1688‧‧‧射頻(RF)電源供應器
1690‧‧‧匹配網路
1692‧‧‧電漿
1694‧‧‧電漿護套
1696‧‧‧蝶形閥
1698‧‧‧處理站排氣管線
1700‧‧‧多站處理工具
1702‧‧‧入站負載鎖
1704‧‧‧出站負載鎖
1706‧‧‧自動控制裝置
1708‧‧‧縱槽
1710‧‧‧大氣埠
1712‧‧‧負載鎖基板固持器
1714‧‧‧處理腔室
1716‧‧‧腔室輸送埠
1718‧‧‧處理站基板固持器
1750‧‧‧系統控制器
1752‧‧‧處理器
1754‧‧‧大量儲存器件
1756‧‧‧記憶體器件
1758‧‧‧機器可讀取系統控制軟體
1790‧‧‧基板處置系統
1799‧‧‧步進器
圖1展示圖解闡釋根據本揭示內容之一實施例之使用一電漿活化保形膜沈積(CFD)程序沈積一薄保形膜之一方法之一流程圖。
圖2展示根據本揭示內容之一實施例之一例示性電漿活化CFD程序時序圖。
圖3展示根據本揭示內容之一實施例之一例示性電漿活化CFD氧化矽膜與一例示性PECVD氧化矽膜之間之傅立葉(Fourier)變換紅外光譜之一比較。
圖4展示根據本揭示內容之一實施例之一例示性電漿活化CFD氧化矽膜與一例示性PECVD氧化矽膜之間之洩漏電流之一比較。
圖5展示根據本揭示內容之一實施例之一例示性電漿活化CFD氧 化矽膜與一例示性PECVD氧化矽膜之間之應力漂移之一比較。
圖6展示根據本揭示內容之一實施例之使用一電漿活化CFD程序沈積一薄保形膜之另一例示性程序時序圖。
圖7展示根據本揭示內容之一實施例之使用一電漿活化CFD程序沈積一薄保形膜之另一例示性程序時序圖。
圖8展示根據本揭示內容之一實施例之作為裂解電漿子階段數目之一函數之例示性電漿活化CFD氧化矽膜之間的沈積速率之一比較。
圖9展示根據本揭示內容之一實施例之作為裂解電漿子階段數目之一函數之例示性電漿活化CFD氧化矽膜之間的崩潰電壓之一比較。
圖10展示根據本揭示內容之一實施例之作為裂解電漿子階段數目之一函數之例示性電漿活化CFD氧化矽膜之間的膜應力之一比較。
圖11展示根據本揭示內容之一實施例之另一例示性電漿活化CFD程序時序圖。
圖12展示包括根據本揭示內容之一實施例沈積之一薄保形介電膜之一矽通孔(TSV)之一例示性橫截面。
圖13展示根據本揭示內容之一實施例之在180℃下沈積之一例示性電漿活化CFD氧化矽膜與在180℃下所沈積之一例示性PECVD氧化矽膜之間一TSV中之底部角隅覆蓋率之一比較。
圖14展示根據本揭示內容之一實施例之作為縱橫比之一函數之在400℃下所沈積之具有不同數目個裂解電漿子階段之例示性電漿活化CFD氧化矽膜之間一TSV中之底部角隅覆蓋率之一比較。
圖15展示根據本揭示內容之一實施例之在180℃下所沈積之具有不同數目個裂解電漿子階段之例示性電漿活化CFD氧化矽膜之基板正面膜厚度相對於基板背面膜厚度之覆蓋率之一比較。
圖16展示根據本揭示內容之一實施例之用於使用一電漿活化CFD程序沈積一薄保形膜之一例示性處理站。
圖17展示根據本揭示內容之一實施例之包含複數個處理站及一控制器之一例示性處理工具。
圖18展示描繪根據本揭示內容之一實施例之微影圖案化之一方法之一實施例之一流程圖。
通常藉由在一整合製造程序中於一基板(諸如一矽晶圓)上沈積及圖案化一或多個薄膜而製造半導體器件。在一些整合程序中,沈積符合基板拓撲之薄膜可為有用。例如,可將一介電膜沈積於蝕刻至一矽基板中之一溝渠之側壁及底部上。該介電膜可將矽基板與隨後用以填充該溝渠之材料電絕緣且可將基板與填充材料實體隔離。
沈積薄膜之一些方法包含CVD、PECVD及ALD沈積方法。CVD及PECVD方法通常涉及反應物種之間的穩態氣相反應或表面反應(其等之產物係沈積膜)。膜之厚度與反應時間成比例地增大。然而,質量輸送現象亦可影像膜厚度。例如,CVD程序可在一溝渠之頂部處沈積比在該溝渠之底部處沈積之膜厚之一膜。隨著沈積繼續,該溝渠頂部之開口尺寸可變得愈來愈小,從而降低氣相輸送溝渠內之反應物及產物之能力。在一些實例中,一CVD程序可能無法在一溝渠之底部處沈積膜,此係因為所沈積的膜蓋住該溝渠之頂部。此外,因為一些器件可具有不同器件密度之區域,所以質量輸送效果可引起器件內及基板內厚度變動。此可使器件效能及/或良率降級。
解決此等問題之一些方法涉及ALD。與CVD(其中氣相反應係用以沈積膜)相比,ALD程序使用表面介質沈積反應以在逐層基礎上沈積膜。
在一例示性ALD程序中,使一基板表面曝露於一氣相膜反應物P1。P1之一些分子可在該基板表面之頂部上形成一凝相,包含經化學吸附及經物理吸附之P1。接著,將反應器抽空以移除氣相及經物理吸 附之P1,從而在該基板表面上留下經化學吸附之P1。隨後,引入一第二膜反應物P2以產生經化學吸附之P2。
提供至基板之熱能活化經化學吸附之P1與P2之間的表面反應,從而形成一膜層。最終,將反應器抽空以移除反應副產物及未經反應之P2,結束ALD循環。可包含額外的ALD循環以建置膜厚度。
因為典型的ALD反應通道使用表面介質熱分解程序,所以低溫ALD程序通常採用高反應性化學品。此等反應物可經功能化以包含容易自反應物消除之脫離基。因為此等脫離基係自母分子容易地分裂,所以反應物可具有一有限儲藏壽命且可在供應及/或排氣管道中容易地分解,從而潛在地引起小顆粒缺陷。此外,此等化學品之合成可為昂貴,潛在地提高器件之成本。
取決於P1及P2之曝露時間及黏著係數,每一ALD循環在理論上可沈積一單層膜。例如,一ALD循環可沈積具有介於二分之一埃與三埃之間之厚度之一膜層。因此,對於具有大於數奈米厚度之膜而言,ALD程序可為過長。此外,浸透其中一或多個反應物具有一低黏著係數之表面可為困難,從而潛在地浪費昂貴化學品及/或使膜沈積變慢。
因此,本文描述提供電漿活化CFD之程序及設備之實施例。例如,圖1展示使用電漿活化CFD沈積一薄保形膜之一方法100之一實施例之一流程圖。
方法100包括在102,在一第一階段中,遠離基板之一表面產生前驅體自由基並且將該等前驅體自由基吸附至該表面以形成表面活性物種。繼續,方法100包括在104,在一第一清除階段中,自處理站清除殘餘前驅體。繼續,方法100包括在106,在一第二階段中,將一反應性電漿供應至該表面,該反應性電漿經組態以與表面活性物種反應並產生薄保形膜。最終,方法100包括在108,在一第二清除階段中, 自處理站清除殘餘反應物。
圖2示意性地展示使用電漿活化CFD以沈積薄保形膜之方法之一實施例之一時序圖200。圖2中所描繪的實例包括一選用初始階段202、複數個沈積循環204及一選用後沈積階段222。
如圖2中所示,時序圖200包括從左至右以程序階段之一時間序列配置之一系列程序參數。然而,應了解,可以任何適當序列配置程序階段且在一些實施例中可省略一些階段。不同於上述ALD程序,在一裂解階段206期間提供之電漿能量活化膜前驅體之裂解。在裂解階段206期間形成之氣相前驅體自由基吸附至基板表面,形成可遷移以符合表面拓撲之表面活性物種。在一反應階段214期間提供之電漿能量活化表面活性物種之一或多個表面反應,從而產生一薄保形膜。
圖2中展示的電漿活化CFD程序參數除了包含高頻(HF)電漿及低頻(LF)電漿之功率狀態設定以外亦包含惰性、前驅體及反應物種之流動速率。然而,應了解,圖2中未展示的其他電漿活化CFD程序參數可隨時間而改變。其他例示性電漿活化CFD程序參數包含(但不限於)電漿功率及頻率、基板溫度、處理站壓力及處理站饋送及排氣管道硬體之各種溫度及壓力條件。
圖2中展示的實施例包含選用初始階段202。若包含,則初始階段202可調節處理站及基板以將基板轉移至處理站中及/或以供後續沈積。例如,在一些實施例中,初始階段202可包含各種壓力及溫度控制常式以將基板及/或處理站轉變至膜沈積條件。
如圖2中所示,初始階段202包含將一或多種惰性氣體以一經控制之速率供應至處理站。惰性氣體可個別地或以任何適當混合物形式包含各種非反應性氣體,諸如氮氣、氬氣、氦氣、氙氣及一氧化碳。惰性氣體可使冷凝水自基板表面解吸附。控制惰性氣體之流量可控制處理站內之壓力及/溫度。亦可將各種惰性氣體用作為用於輸送一或 多種前軀體或反應物之載氣及用於處理站及處理站排氣管道之一稀釋劑。
圖2展示惰性氣體係在下文更詳細描述之後續階段之各者中供應。例如,在一些實施例中,可在一電漿活化階段期間供應惰性氣體以幫助點燃及/或支援電漿。然而,應了解,在一些實施例中,不可在一或多個階段期間供應惰性氣體,且在一些實施例中,可完全省略惰性氣體。此外,雖然惰性氣體之流量係如圖2中所示般恆定,但在一些實施例中,惰性氣體之流量可改變。例如,在一些實施例中,增大一或多種惰性氣體之流量可相對減小一清除階段之持續時間。在一方案中,可根據處理站之各種處理氣體熱力學特性及/或各種幾何特性來調整惰性氣體之流動速率。
雖然圖2中展示的實施例未描繪選用初始階段202期間之一電漿之用途,但應了解,在一些實施例中,可使用電漿能量以在沈積之前處理基板表面。此電漿處理可清洗基板表面,此可為沈積薄保形膜而準備該表面。
接著,圖2中展示的實施例包含複數個沈積循環204。特定言之,圖2展示自一第一沈積循環204延伸至一第N沈積循環204N之N個沈積循環204,其中N係表示用於建置一所要厚度之一膜之任意適當數目個沈積循環204之一整數。雖然圖2描繪複數個沈積循環204,但在一些實施例中,電漿活化CFD程序可包含一單一沈積循環204。
每一沈積循環204包含裂解階段206之一例項。在裂解階段206期間,遠離基板表面藉由一裂解電漿產生前驅體自由基。在一方案中,前驅體自由基可在直接形成於基板表面上方之一電漿中產生。在另一方案中,前驅體自由基可藉由一遠端電漿產生且以氣相載送至基板表面。一旦形成,前驅體自由基便吸附至基板表面,從而形成表面活性物種。接著,表面活性物種可在基板表面上遷移,潛在地形成表面活 性物種之一實質保形層。
在裂解階段206期間將前驅體以一經控制之速率供應至處理站。雖然圖2中展示的方法100之實施例將前驅體流描繪為具有一恆定流動速率,但應了解,可在本揭示內容之範疇內採用至處理站之前驅體之任何適當經控制流量。在一額外實例中,可以一可變流動速率供應前驅體。除了存在前驅體以外,裂解電漿亦可包含一或多種非反應性電漿氣體,諸如上述之一或多種惰性氣體。
在一些實施例中,可將裂解階段206細分為兩個或兩個以上子階段。在圖2中展示的實施例中,裂解階段206係劃分為一選用預電漿前驅體子階段208及一裂解電漿子階段210。在一些實施例中,裂解階段206可包含裂解電漿子階段210之兩個或兩個以上例項,該等例項可經定序以形成如下文關於圖6更詳細描述之一脈衝模式裂解電漿。
在選用預電漿前驅體子階段208期間,在點燃電漿之前將前驅體以一經控制之速率供應至處理站。若在方法100中包含預電漿前驅體子階段208,則該預電漿前驅體子階段208可具有任何適當的持續時間。例如,在一方案中,預電漿前驅體子階段208可經定時使得在點燃電漿之前於處理站內穩定前驅體之流動速率。此可避免在電漿自由基產生期間的前驅體流動不穩定性。在另一方案中,預電漿前驅體子階段208可經定時以在點燃電漿之前對處理站充氣至一所要前驅體分壓。此可在點燃電漿時提供一所要濃度之前驅體自由基。
在裂解電漿子階段210期間點燃一裂解電漿。該裂解電漿經組態以將前驅體分子裂解成前驅體自由基。雖然在圖2中展示的實例中,前驅體之流動係在點燃裂解電漿之前,但應了解,在一些實施例中,可在前驅體開始流動至處理站之前點燃裂解電漿。在不脫離本揭示內容之範疇的情況中,裂解電漿子階段210可具有任何適當的持續時間。
在圖2中展示的實施例中,在裂解電漿子階段210期間所使用的裂解電漿包含經組態以在13.56MHz或更高下操作之一高頻電漿。不希望受理論束縛,在斷鍵程序期間,此等高頻電漿可比較低頻率電漿相對有效。然而,應了解,可採用任何適當的電漿頻率,諸如低頻電漿頻率及同時包含或以脈衝形式包含高頻及低頻之雙模式電漿。此外,在本揭示內容之範疇內可採用任何適當的電漿功率密度。例示性功率密度包含(但不限於)如在基板表面處量測之在0.05W/cm2至5W/cm2之範圍中之功率密度。
在其中前驅體包含原矽酸四乙酯(C8H20O4Si或TEOS)之一實例中,裂解電漿可使一或多個乙基取代基自母分子分裂,使得EtxSiOx-物種被吸附至基板表面。然而,應了解,可形成各種前驅體自由基。所形成的各種前驅體自由基之同一性可取決於程序變數,包含(但不限於)前驅體之同一性、前驅體之分壓、其他電漿氣體之分壓、處理站之總壓力、電漿功率及電漿頻率。此外,所形成的各種前驅體自由基之同一性可根據氣相物種之熱力學及動力學性質而改變。
在裂解電漿子階段210結束時熄滅裂解電漿。雖然圖2將裂解電漿之熄滅展示為與前驅體流之切斷同時發生,但應了解,在一些實施例中可採用前驅體流之適當非同時切斷。例如,在一非限制性方案中,可在熄滅裂解電漿之前切斷前驅體流使得殘餘前驅體可經活化且吸附至表面。
在一些實施例中,沈積循環204可包含用於自處理站移除殘餘物之一後前驅體清除階段212。清除處理站可避免前驅體與在反應階段期間供應之反應物之間之氣相反應。在圖2中展示的實例中,惰性氣體流在清除階段212期間經維持,從而清除處理站中之殘餘前驅體分子。後前驅體清除階段212可具有任何適當的持續時間。
在一些實施例中,後前驅體清除階段212可包含用於將處理站抽 空之一或多個抽空子階段(圖式中未展示),此可相對減少後前驅體清除階段212之持續時間。或者,應了解,在一些實施例中可省略後前驅體清除階段212。
每一沈積循環204包含反應階段214之一例項。在反應階段214期間,自一適當反應物產生之一反應性電漿將反應物自由基遞送至基板表面。該等反應物自由基與表面活性物種相互作用並產生一薄保形膜。在一些實施例中,可將反應階段214細分為兩個或兩個以上子階段。在圖2中展示的實施例中,反應階段214係劃分為一選用預電漿反應物子階段216及一反應性電漿子階段218。
在選用預電漿反應物子階段216期間,反應物係以一經控制之速率供應至處理站。若在方法100中包含預電漿反應物子階段216,則預電漿反應物子階段216可具有任何適當的持續時間。例如,在一方案中,預電漿反應物子階段216可經定時使得在點燃電漿之前於處理站內穩定反應物之流動速率。此可避免在電漿自由基產生期間之反應物流動不穩定性。在另一方案中,預電漿反應物子階段216可經定時以在點燃電漿之前對處理站充氣至一所要前驅體分壓。此可在點燃電漿時提供一所要濃度之前驅體自由基。
在反應性電漿子階段218期間點燃一反應性電漿,從而產生反應性自由基物種。雖然在圖2中展示的實例中,反應物之流動係在點燃反應性電漿之前,但應了解,在一些實施例中,可在反應物開始流動至處理站之前點燃反應性電漿。在不脫離本揭示內容之範疇的情況中,反應性電漿子階段218可具有任何適當的持續時間。
藉由反應性電漿形成之反應性自由基物種可吸附至基板之表面且擴散通過表面活性物種之經吸附層。該等反應性自由基物種可與表面活性物種反應或可促進表面活性物種之間之反應。例如,在由TEOS自由基及一反應性氧電漿沈積一薄保形氧化矽膜之一電漿活化 CFD程序中,反應性氧電漿可:潛在地使表面吸附甲矽烷氧基物種(例如,Et3O3Si、Et2O2Si及EtOSi)氧化以形成氧化矽;促進(O-Si-O)x寡聚物之間的交聯以延伸氧化矽網路;填充氧化矽晶格中之氧空位;及使乙基氧化。
在反應性電漿子階段218結束時熄滅反應性電漿。雖然圖2將反應性電漿之熄滅展示為與反應物流之切斷同時發生,但應了解,在一些實施例中可採用反應物流之適當非同時切斷。例如,在一非限制性方案中,可在熄滅反應性電漿之前切斷反應物流使得殘餘反應物可經活化且吸附至表面。
在圖2中展示的實施例中,反應性電漿係藉由使用經組態以在13.56MHz或更高下操作之一高頻電漿及經組態以在小於13.56MHz下操作之一低頻電漿而形成。然而,應了解,在反應階段214期間之一或多個電漿頻率之選擇可部分基於膜之一或多個所要物理特性及/或電特性。此外,在一些實施例中,反應階段214可包含脈衝模式反應性電漿,如下文關於圖7更詳細描述。
如上所述,在活化反應物斷鍵程序中,高頻電漿可比較低頻電漿相對有效。然而,在基板表面處提供一反應性電漿時,較低頻率電漿可相對有效。例如,一低頻電漿可產生一相對較高轟擊能量且具有一相對較高護皮電壓(sheath voltage)。不希望受理論束縛,在其中期望具有氧化物膜之一低濕式蝕刻速率之一例示性方案中,可使用一低頻氧電漿以將相對更多的氧遞送至基板表面。此可提供一相對更緻密膜及更壓縮應力膜。在其中期望減少氧化物膜中之碳污染之另一方案中,可使用一高頻電漿以產生原子氧之一相對較高密度。相對於一低頻電漿,此可清除相對較大量之表面鍵結碳。
應了解,在本揭示內容之範疇內,可在反應性電漿子階段218中採用任何適當的電漿功率密度。例示性功率密度包含(但不限於)如在 基板表面處量測之在0.05W/cm2至5W/cm2之範圍中之功率密度。
在一些實施例中,沈積循環204可包含一後反應物清除階段220。在後反應物清除階段220期間,可自處理站移除殘餘反應物。清除處理站可避免反應物與在一後續沈積循環204期間供應之前驅體之間之氣相反應。在圖2中展示的實例中,惰性氣體流在後反應物清除階段220期間經維持,從而清除處理站中之殘餘反應物分子。後反應物清除階段220可具有任何適當的持續時間。例如,在一些實施例中,後反應物清除階段220可根據處理站之滯留時間進行定時。
在一些實施例中,後反應物清除階段220可包含用於將處理站抽空之一或多個抽空子階段(圖式中未展示),此可相對減少後反應物清除階段220之持續時間。或者,應了解,在一些實施例中可省略後反應物清除階段220。
在一些實施例中,一或多個選用後沈積階段222可跟隨一或多個沈積循環204以調節經沈積膜。例如,後沈積階段222可對經沈積膜提供各種電漿及/或熱處理。將關於圖11詳細描述在後沈積階段222期間提供之膜處理之實例。因為擴散效應可定義一深度,超出該深度,一些電漿處理程序之結果被減少,所以可在後沈積階段222之後附加額外沈積循環204以建置額外膜厚度,接著附加額外後沈積階段222等。
在一些實施例中,後沈積階段222可針對一基板轉移程序而調節處理站及基板。例如,在一些實施例中,後沈積階段222可包含各種壓力及溫度控制常式以使基板及處理站轉變至適合將基板轉移至另一處理站或處理工具中所包含之一負載鎖之條件。如圖2中所示,在後沈積階段222之一部分期間供應一或多種惰性氣體。將惰性氣體供應至處理站可在處理站內提供壓力控制以為基板轉移作準備。雖然圖2中展示惰性氣體之一恆定供應,但應了解,在一些實施例中可採用任何適當的流動速率方案,包含一可變流動速率方案。在一些其他實施 例中,在後沈積階段222期間可不供應惰性氣體。
可使用上述方法以沈積各種薄保形膜。在一非限制性實例中,可使用一電漿活化CFD程序以由包含TEOS之一前驅體及包含氧之一反應物沈積氧化矽膜。在表1中提供例示性基於TEOS之氧化矽膜之例示性程序參數範圍。
在此實例中,藉由一裂解電漿產生之TEOS自由基吸附至基板表面,形成表面活性物種。此等表面活性物種在基板上形成表面活性物種之一實質上保形層。例示性表面活性物種可包含配置成凝相之多種(O-Si-O)x寡聚物。此等寡聚物之一些者可包含來自乙基配位基(ethyl ligands)之碳。
在一清除階段自處理站移除殘餘TEOS之後,一反應性氧電漿將氧自由基供應至基板表面。例如,可在氧電漿階段期間將原子氧供應至表面。氧自由基可擴散通過表面活性物種之保形層,與來自矽原子之懸掛鍵反應,佔用空的晶格點,並且與表面活性物種交聯以形成一保形氧化矽膜。藉由反應性電漿供應之氧自由基亦可使自TEOS釋放 之表面吸附碳氧化並得到釋放。在表2中提供由TEOS及氧形成之例示性SiO2膜之非限制性膜性質資訊。
應了解,在裂解階段期間可將任何適當的(若干)前驅體供應至處理站。因為表面活性物種係透過氣相電漿裂解而非透過如一ALD程序中之表面介質熱分解反應而形成,所以表面活性物種之多層可藉由擴大在裂解階段期間供應之前驅體之量而形成。相對於典型ALD程序之逐個單層方法,此可提供較快膜沈積。
前驅體之非限制性實例包含:原矽酸四乙酯(TEOS)及其類似物(例如Si(OR)4,其中R可為任何適當的烷基,諸如甲基、乙基、丙基等);烷氧基矽烷,諸如甲基三乙氧基矽烷(MTEOS)、甲基三甲氧基矽烷(MTMOS)、二甲基二甲氧基矽烷(DMDMOS)、三甲基甲氧基矽烷(TMMOS)、二甲基二乙氧基矽烷(DMDEOS);烷基矽烷,諸如四甲基矽烷(4MS);三甲基矽烷(3MS);二甲基矽烷(2MS);環矽氧烷,諸如2,4,6,8-四甲基環四矽氧烷(TOMCAT)、八甲基環四矽氧烷(OMCAT)、四乙烯基四甲基環四矽氧烷(TVTMCTS);炔基矽烷,諸如三甲基甲矽烷基乙炔(TMSA);及此等前驅體之混合物。
類似地,在反應階段期間可供應任何適當反應物以形成各種膜,包含氧化矽、氮化矽、碳化矽及硼化矽。此外,可藉由在反應階段期間供應適當混合反應物而沈積混合膜,且可藉由跨兩個或兩個以 上沈積循環而改變一反應物之一同一性或一反應物混合物之組分來沈積分級膜。因此,除了單一膜以外,亦可將本文描述之方法用於形成抗反射層之交替膜之堆疊或其他適當應用。
用於形成氧化矽膜之反應物之非限制性實例包含O3、O2、N2O、NO2、H2O、CO及CO2。用於形成氮化矽膜之反應物之非限制性實例包含N2、NH3、N2O、NO2、N2H2、MeN=NMe、H2N-NH2、N(Me)3、N(Et)3及NH2(t-Bu)。用於形成碳化矽膜之反應物之非限制性實例包含胺(伯、仲、叔)、CO、CO2、甲烷、乙烷、丙烷、乙烯、丙烯及乙炔。用於形成硼化矽膜之反應物之非限制性實例包含BH3、B2H6、硼烷-N,N-二異丙基胺、硼烷-三甲基胺、硼烷-二乙基胺、硼烷-吡啶、硼烷-叔丁基胺、硼烷-N,N-二乙苯胺及其等經稀釋溶液。
在一些CVD或PECVD氧化矽沈積程序中,可藉由氣相產生(O-Si-O)x寡聚物之表面組合來判定經沈積膜之材料性質。晶格失配及配位基污染可在沈積程序期間併入膜中。此可導致膜之電學降級及非所要的物理特性,諸如低膜應力、高濕式蝕刻速率及高膜多孔性。
相比之下,因為電漿活化CFD程序之反應性電漿可遞送反應性物種遍及表面活性物種之吸附層,表面活性物種之轉化分率可趨於一。此外,反應性物種可消除晶格失配並清除膜污染。因此,除了由電漿活化CFD程序所提供的保形性優點以外,電漿活化CFD程序亦可潛在地提供相對於藉由一些CVD或PECVD方法沈積之膜之相對較高品質膜。
作為此之一實例,圖3至圖5(下文詳細論述)圖解闡釋在180℃下所沈積之一例示性電漿活化CFD SiO2膜與在相同溫度下藉由基於TEOS之PECVD程序沈積之SiO2膜之一比較。圖3展示例示性電漿活化CFD膜及例示性PECVD膜之傅立葉變換紅外(FTIR)光譜之一比較300。電漿活化CFD FTIR光譜302在大約1065cm-1處展現SiO2峰值(其 比PECVD FTIR光譜304之SiO2峰值強)。因為峰值強度係與濃度成比例,所以比較300指示電漿活化CFD膜可包含一較高濃度的SiO2,表明電漿活化CFD膜可具有比PECVD膜高之密度及比PECVD膜少之缺陷晶格。
圖4展示例示性電漿活化CFD膜及例示性PECVD膜之洩漏電流之一比較400。使用一水銀探針在變化電場強度下執行洩漏電流量測。相較於PECVD洩漏電流跡線404,電漿活化CFD洩漏電流跡線402在一電場強度範圍內展現一較低更穩定洩漏電流。此表明電漿活化CFD膜可具有比PECVD膜少之污染及/或缺陷。
圖5展示例示性電漿活化CFD膜及例示性PECVD膜之應力漂移之一比較500。在連續幾天的空氣曝露期間所採取的應力量測指示電漿活化CFD膜之膜應力(藉由跡線502表示)比PECVD膜之膜應力(藉由跡線504表示)穩定。因此,電漿活化CFD膜可比PECVD膜較不易於受環境條件(諸如濕度)影響。
應了解,上述的電漿活化CFD程序係一非限制性實例,且上述程序之一些部分之適當變動及/或省略係在本揭示內容之範疇內。
例如,在一些實施例中,可藉由針對裂解階段調整一或多個程序參數來調整藉由一電漿活化CFD程序沈積之薄保形膜之一或多個物理及電學性質。在一些實施例中,與裂解電漿相關之程序參數可經調整以改變薄保形膜之沈積速率。例如,應了解,對於一些電漿而言,藉由電漿產生之各種前驅體自由基物種可具有不同物種壽命,使得一些前驅體自由基物種比其他者相對較快地分解。因此,對於一些前驅體自由基物種而言,一些自由基物種之一初始濃度可在消耗自由基壽命及吸附事件之前自一第一較高濃度減小至藉由產生與吸附之間之一穩態平衡形成之一較低平衡濃度。此外,因為所產生的前驅體自由基物種之橫截面可為電漿的電子溫度之一函數,所以由電子淬熄引起之 電漿的電子能量隨時間之變動可導致一些前驅體自由基之產生中之一時間相依變動。因此,針對一些前驅體自由基物種,經由淬熄之電漿電子能量之一衰減可隨時間而減少或終止該等物種之產生。
因此,在一些實施例中,裂解階段可包括散佈有一或多個非電漿子階段之兩個或兩個以上電漿子階段。在其中在電漿點燃期間及電漿點燃後不久前驅體自由基之一瞬態濃度超出在穩態電漿條件期間前驅體自由基之一濃度之條件下,此一方法可提供一相對較高濃度之前驅體自由基。
例如,圖6展示用於沈積一薄保形膜之一電漿活化CFD程序之一實施例之一時序圖600。如圖6中所示,時序圖600包括從左至右以程序階段之一時間序列配置之一系列程序參數。
在圖6中展示的實例中,裂解階段206包含複數個裂解電漿子階段210,在該等裂解電漿子階段210期間使用一高頻電漿以產生前驅體自由基。裂解電漿係在每一裂解電漿子階段210結束時熄滅。每一裂解電漿子階段210係藉由一預電漿前驅體子階段208(其間未產生電漿)而與一後續裂解電漿子階段210分離。因此,在圖6中展示的實例中,裂解電漿子階段210A係藉由預電漿前驅體子階段208B而與裂解電漿子階段210B分離。此配置提供一高頻電漿之一脈衝。
由複數個裂解電漿脈衝取代一單一連續裂解電漿提供經增加數目個電漿點燃事件。不希望受理論束縛,此可在整個裂解階段206提供一相對較高濃度之一些前驅體自由基,此可相對增大基板表面處之對應表面活性物種之一濃度。表面活性物種之一較高濃度繼而可潛在地導致一相對較高沈積速率。
此外,包含一或多個預電漿前驅體子階段208可為表面活性物種之表面遷移提供額外時間。此可減少或避免表面活性物種之不連續區域之形成,從而潛在地提供相對更多保形膜。
在本揭示內容之範疇內可採用裂解電漿脈衝之任何適當數目及持續時間。此外,雖然圖6中展示的實例包含在一裂解電漿子階段210之第一例項之前之一預電漿前驅體子階段208A,但應了解,一些實施例可採用一相反配置。
如上文在圖2之描述中所介紹,電漿活化CFD程序之一些實施例代替改變裂解階段或除了改變裂解階段以外亦可改變反應階段。例如,在一些實施例中,反應性電漿子階段之持續時間可經調整以調整物理及/或電學膜性質。
此外或替代地,在一些實施例中,反應階段可包含適當的電漿脈送方案。例如,雖然圖2中展示的例示性反應階段214描繪在反應性電漿子階段218期間同時使用高頻電漿與低頻電漿,但在一些實例中,高頻電漿及低頻電漿可具有一交替序列。因為類似於上文所解釋之原因,在反應階段期間提供額外電漿點燃事件可增大一些反應物自由基物種之濃度。
例如,圖7展示用於沈積一薄保形膜之一電漿活化CFD程序之一實施例之一時序圖700。如圖7中所示,時序圖700包括從左至右以程序階段之一時間序列配置之一系列程序參數。
在圖7中展示的實例中,反應階段214包括一序列之反應性電漿子階段218A至218C。如圖7中所示,一高頻電漿子階段218A之後係一低頻電漿子階段218B及另一高頻電漿子階段218C。此定序方法可相對增加高頻電漿子階段期間之原子氧物種之產生且相對增強低頻電漿子階段期間之該等物種至基板表面之遞送。
應了解,在一些實施例中,可藉由任何適當數目個高頻及低頻電漿子階段來延長圖7中展示的反應性電漿子階段218之例示性序列,且反應性電漿子階段218可具有任何適當的持續時間。此外,應了解,在一些實施例中,在反應階段214期間,包括一同時發生的高頻 電漿及低頻電漿之雙頻電漿子階段可與包括一高頻電漿或一低頻電漿之一單頻電漿子階段交替。
可使用上述之例示性裂解階段及反應階段以沈積各種薄保形膜。在一非限制性實例中,可使用一電漿活化CFD程序以由包含TEOS之一前驅體及包含氧之一反應物沈積氧化矽膜。在表3及表4中提供在180℃下由TEOS及氧之電漿活化CFD處理形成之SiO2膜之非限制性膜性質資訊。
圖8至圖10(下文詳細論述)圖解闡釋具有一或多個TEOS裂解電漿子階段及具有不同持續時間之氧電漿階段(如表3及表4中所闡述)之例 示性電漿活化CFD SiO2之間之比較。圖8至圖10中所呈現之電漿活化CFD膜係在180℃下使用各具有0.5秒之可變數目個TEOS裂解電漿子階段及使用各介於1秒與3秒之間之一單一氧反應性電漿子階段而沈積。
圖8展示上述之電漿活化CFD程序之一沈積速率比較800。沈積速率跡線802展示沈積速率對具有1秒氧反應性電漿子階段之電漿活化CFD程序之TEOS裂解電漿子階段的數目之相依性。沈積速率跡線804展示沈積速率對具有2秒氧反應性電漿子階段之電漿活化CFD程序之TEOS裂解電漿子階段的數目之相依性。跡線802與跡線804之一比較指示沈積速率係近似線性(取決於TEOS電漿子階段之數目),此表明程序係相對於裂解階段中產生的TEOS前驅體自由基之一濃度近似一階。跡線802與跡線804之一比較亦指示沈積速率係實質上獨立於反應性氧電漿子階段之持續時間。沈積速率資料點806(展示具有3秒氧反應性電漿子階段及5個TEOS裂解子階段之一電漿活化CFD程序之沈積速率)係與此等指示一致。
雖然例示性電漿活化CFD氧化矽膜之沈積速率看似實質上獨立於反應性氧電漿子階段之持續時間,但反應性氧電漿子階段之持續時間之變動可影響該等膜之電學性質。圖9展示上述電漿活化CFD程序之一崩潰電壓比較900。崩潰電壓跡線902展示崩潰電壓對具有1秒氧反應性電漿子階段之電漿活化CFD程序之TEOS裂解電漿子階段的數目之相依性。崩潰電壓跡線902指示擴大TEOS裂解電漿子階段之數目而不延長氧反應性電漿子階段之持續時間引起膜之崩潰電壓增大。崩潰電壓跡線904展示崩潰電壓對具有2秒氧反應性電漿子階段之電漿活化CFD程序之TEOS裂解電漿子階段的數目之相依性。崩潰電壓跡線904暗示可藉由延長反應性氧電漿之持續時間而恢復較低崩潰電壓值。崩潰電壓資料點906(展示具有3秒氧反應性電漿子階段及5個TEOS裂解電漿子階段之一電壓活化CFD程序之崩潰電壓)係與此等觀察一致。
反應性氧電漿子階段之持續時間的變動亦可影響上文論述的例示性電漿活化CFD氧化矽膜之物理性質。圖10展示上述電漿活化CFD程序之一膜應力比較1000。膜應力跡線1002展示膜應力對具有1秒氧反應性電漿子階段之電漿活化CFD程序之TEOS裂解電漿子階段的數目之相依性。膜應力跡線1002指示擴大TEOS裂解電漿子階段之數目而不延長氧反應性電漿子階段之持續時間可引起膜應力變得具較小壓縮性。膜應力跡線1004展示膜應力對具有2秒氧反應性電漿子階段之電漿活化CFD程序之TEOS裂解電漿子階段的數目之相依性。膜應力資料點1006展示具有3秒氧反應性電漿子階段及5個TEOS裂解電漿子階段之一電漿活化CFD程序之膜應力。膜應力跡線1004及膜應力資料點1006表明可藉由隨著TEOS裂解電漿子階段之數目的增大而延長反應性氧電漿之持續時間來達成更具壓縮性膜應力值。
雖然上述之膜沈積、電學及物理性質係與用於由TEOS及氧沈積氧化矽膜之一電漿活化CFD程序相關,但應了解,在不脫離本揭示內容之範疇的情況中,上述方法可用以由任何其他適當的前驅體及/或反應物沈積其他適當的膜。
在一些實施例中,亦可經由一或多個後沈積膜處理而達成物理及電學膜性質之調整。如在圖2之描述中所介紹,後沈積階段222可對經沈積膜提供各種電漿及/或熱處理。在一些實施例中,後沈積處理可包括使用電漿及/或熱活化反應物之進一步處理。在一些實施例中,包含摻雜劑雜原子之一處理反應物可經由自一摻雜反應物產生之一摻雜電漿而併入至經沈積膜中。因此,在其中已沈積氧化矽膜之一方案中,可使用含氮處理反應物以使用氮摻雜膜,從而潛在地產生氮氧化矽膜;可使用含碳處理反應物以使用碳摻雜膜,從而潛在地產生碳氧化矽膜;及可使用含硼處理反應物以使用硼摻雜膜,從而潛在地產生硼氧化矽膜。
例如,圖11展示用於沈積一薄保形膜之一電漿活化CFD程序之一實施例之一時序圖1100。如圖11中所示,時序圖1100包括從左至右以程序階段之一時間序列配置之一系列程序參數。
在圖11中展示的實例中,後沈積階段222包含一處理預電漿子階段1102及一序列之處理電漿子階段1104A至1104C。在處理預電漿子階段1102期間將一處理反應物及一惰性氣體以經控制速率供應至處理站。處理預電漿子階段1102可提供將處理站溫度及/或壓力調整至適當膜處理條件之一機會,且可提供使處理反應物流穩定之一機會。
雖然圖11將處理反應物及惰性氣體描繪為具有恆定流動速率,但應了解,在本揭示內容之範疇內可採用任何適當的經控制流動速率。在一實例中,可以一可變流動速率供應一處理反應物及/或一惰性氣體。
在圖11中展示的實例中,處理預電漿子階段1102之後係一序列之處理電漿子階段1104。如圖11中所示,一高頻處理電漿子階段1104A之後係一低頻處理電漿子階段1104B,接著係另一高頻處理電漿子階段1104C。對處理電漿子階段1104進行定序可相對增加高頻電漿子階段期間之處理反應物物種之產生且相對增強低頻電漿子階段期間之該等物種至基板表面之遞送。
應了解,在一些實施例中,可藉由任何適當數目個高頻處理電漿子階段及低頻處理電漿子階段來延長圖11中展示的處理電漿子階段1104之例示性序列,且處理電漿子階段1104可包括一高頻處理電漿子階段及一低頻處理電漿子階段之一單一序列。在一些實施例中,後沈積階段222可包括一單一處理電漿子階段1104,該單一處理電漿子階段1104包含一高頻處理電漿或一低頻處理電漿或包含同時發生的高頻處理電漿及低頻處理電漿。
在一些實施例中,在後沈積階段222期間,包括一同時發生的高 頻電漿及低頻電漿之一雙頻處理電漿子階段可與包括一高頻處理電漿或一低頻處理電漿之一單頻處理電漿子階段交替。此外,應了解,一或多個處理電漿子階段1104可具有任何適當的持續時間。
應了解,上述電漿活化CFD程序之實施例可用以在一整合製造流程內之各個點處沈積並處理薄保形膜。在一些實施例中,可使用電漿活化CFD程序以沈積薄保形介電層,諸如襯裏層、間隔層、蝕刻停止層、硬遮罩及抗反射層。在下文描述之一特定實施例中,可將經由一電漿活化CFD程序沈積之氧化矽膜用作為一矽通孔之一介電襯裏。
矽通孔(TSV)提供用以藉由將器件自複數個矽基板堆疊成三維整合器件而擴大半導體器件之處理能力之一方法。一般言之,可使用一或多個TSV以使已在一堆疊中經薄化並接合在一起之複數個晶粒互連。因此,一經封裝器件可包含使用TSV電互連成一單一三維器件之兩個或兩個以上傳統二維整合器件。
圖12展示在一矽基板1206之一頂面1204中包括一開口1202之一例示性TSV 1200。TSV 1200亦包含自頂面1204向內延伸之一側壁1208及一底部1210。在側壁1208與底部1210相接處形成複數個底部角隅1212。
可使用電漿蝕刻技術形成TSV。例如,可藉由矽基板1206之反應性離子蝕刻形成TSV 1200。使用一金屬(諸如銅)填充TSV,以影響經堆疊基板之電互連。因此,可使用銅(圖式中未展示)經由任何適當的金屬化技術(諸如電填充程序或無電金屬化程序)來填充TSV 1200。
因為銅在矽內容易遷移,所以TSV通常包含一介電襯裏層以使矽基板與一銅TSV電絕緣及物理絕緣。如圖12中所示,TSV 1200包含覆蓋側壁1208及底部1210之一薄介電層1214。
因為TSV通過積體電路架構並穿透矽基板,所以設計及/或製造規則可判定可將一TSV插入製造程序之何處。因此,應了解,可在前 端及/或後端製造程序內之各個點處形成TSV。後端程序通常遭受溫度上限以避免破壞金屬互連件。例如,一隔離層沈積期間之熱處理可在小於200℃下發生。因此,能夠在相對較低的溫度下形成並填充TSV之程序可在製造程序中獲得較廣泛認可。
因為一TSV延伸通過矽基板之厚度之一大部分,所以TSV可展現10:1或更大之縱橫比。例如,一TSV可具有大約6微米之一開口及大約60微米之一深度。一些ALD方法可受限於此類較大表面積上之沈積速率,從而導致過長沈積時間。用於沈積一介電隔離層之一些CVD方法可比一些ALD程序快,但一些CVD方法在此等縱橫比下可受輸送及動力學障礙妨礙,從而潛在導致TSV之底部角隅處之薄覆蓋。
因此,在一些實施例中,電漿活化CFD介電膜可作為一薄保形襯裏層而沈積於一TSV中。如本文中所使用,底部角隅覆蓋率係定義為以在一空場中(諸如在基板之頂面上)量測之膜厚度除在TSV之底部角隅處量測之膜厚度。因此,底部角隅覆蓋百分比愈高,TSV之底部處之膜愈厚,且因此膜愈保形。
圖13展示在不同TSV縱橫比下之TSV底部角隅覆蓋百分比之一比較1300。如圖13中所示,當相較於在180℃下使用一些基於TEOS之PECVD程序沈積之例示性SiO2膜(藉由跡線1304表示)時,在相同溫度下使用TEOS及氧沈積之例示性電漿活化CFD SiO2膜(藉由跡線1302表示)可針對至多10:1之縱橫比而始終提供較高底部角隅覆蓋率。如圖13中所示,在180℃下沈積之一例示性電漿活化CFD SiO2膜可在具有10:1縱橫比之一TSV中提供大約25%底部角隅覆蓋率。針對相同縱橫比,在180℃下沈積之一PECVD SiO2膜可提供僅大約6%之一底部角隅覆蓋率。
在一些實施例中,在較高溫度條件(如同在製造程序早期可能發生之條件)下,電漿活化CFD程序亦可提供比PECVD程序相對較佳之 階梯覆蓋。圖14展示作為縱橫比之一函數之具有不同數目個裂解電漿子階段之例示性電漿活化CFD SiO2膜之TSV底部角隅覆蓋百分比之一比較1400。圖14中所表示之各膜係在400℃下沈積。
雖然圖13及圖14之一比較展示底部角隅覆蓋率可隨著一些例示性電漿活化CFD SiO2膜之程序溫度的增大而減小。但圖14中呈現的資料表明可藉由增大沈積循環期間之裂解電漿子階段的數目而增大在400℃之底部角隅覆蓋率。如圖14中所示,針對具有10:1縱橫比之一TSV,底部角隅覆蓋率可自針對使用每一沈積循環期間之一單一裂解電漿階段而沈積之一例示性電漿活化CFD SiO2膜(以覆蓋率跡線1402展示)之大約9%增大至針對使用每一沈積循環期間之三個裂解電漿子階段而沈積之一例示性電漿活化CFD SiO2膜(以覆蓋率跡線1404展示)之大約12%。在每一沈積循環中包含5個裂解電漿子階段可使一些例示性電漿活化CFD SiO2膜之底部角隅覆蓋率增大至17%(以覆蓋率跡線1406展示)。因此,在一些實施例中,增大裂解電漿子階段之數目可相對增大經沈積膜之底部角隅覆蓋率。
在一些實施例中,包含兩個或兩個以上裂解電漿子階段亦可增大在較低程序溫度下之階梯覆蓋。圖15展示在180℃下沈積且具有不同數目個裂解電漿子階段之例示性電漿活化CFD氧化矽膜之基板正面膜厚度相對於基板背面膜厚度之覆蓋率之一比較1500。如本文中所使用,相對覆蓋率係定義為以正面邊緣厚度除局部背面膜厚度。
圖15展示作為一例示性300mm矽晶圓基板之一徑向位置之一函數之相對覆蓋率,其中150mm表示基板邊緣處之一位置且0mm表示基板中心之位置。在一些處理站中,一基板可擱置於一基板固持器上。一些處理氣體可在基板與基板固持器之間所存在的一小間隙內擴散,使得經沈積膜可環繞基板邊緣且沿著基板背面之一部分而向內延伸。因此,量測一背面膜自邊緣向內延伸之程度可近似一膜沈積程序 之階梯覆蓋特性。
在一些實施例中,增大每一沈積循環中之裂解電漿子階段之數目可增大背面膜沈積,使得更多膜可環繞基板邊緣且使得膜可自基板邊緣進一步向內延伸。如圖15中所示,小背面膜沈積可由在每一沈積循環中具有一單一裂解電漿子階段(如以跡線1502所展示)之一電漿活化CFD SiO2程序引起。繼續圖15中展示的實例,在一些實施例中,在每一沈積循環中包含兩個裂解電漿子階段(跡線1504)可在晶圓邊緣處產生額外背面膜。此外,圖15中所呈現的資料表明在一些實例中,在每一沈積循環中包含三個裂解電漿子階段(跡線1506)可增大邊緣處之背面膜沈積之厚度且可增大背面膜沈積之一內向延伸。繼續圖15中圖解闡釋之實例,在一些實施例中,可藉由在每一沈積循環中包含四個或五個裂解電漿子階段(分別係跡線1508及跡線1510)而進一步增大背面膜沈積之內向延伸。因此,在一些實施例中,包含兩個或兩個以上裂解電漿子階段可增大經沈積膜之階梯覆蓋。
可對任何適當的處理站執行上述沈積程序。例如,根據本發明,一適當處理站可包含用於完成處理操作之硬體及具有用以控制處理操作之指令之一系統控制器。在一些實施例中,硬體可包含一處理工具中所包含的一或多個處理站。
圖16示意性地展示一例示性處理站1600。為簡單起見,將處理站1600描繪為具有用於維持一低壓環境之一處理腔室本體1672之一獨立處理站。然而,應了解,一共同低壓處理工具環境中可包含複數個處理站1600。處理站1600包含用於提供處理氣體(諸如惰性氣體、前驅體、反應物及處理反應物)以遞送至處理站1600之一處理氣體遞送管線1674。在圖16中展示的實例中,一蓮蓬頭1678經包含以使處理氣體分佈於處理站1600內。基板1686係定位於蓮蓬頭1678下方,且係展示為擱置於藉由一基座1682支撐之一固持器1680上。在一些實施例 中,基座1682可經組態以繞著一垂直軸旋轉。此外或替代性地,基座1682可經組態以水平及/或垂直平移。
在一些實施例中,蓮蓬頭1678可為具有複數個氣體分佈孔集合之一雙重充氣室或多充氣室蓮蓬頭。例如,一第一氣體分佈孔集合可自一第一處理氣體遞送管線接收氣體且一第二氣體分佈孔集合可自一第二處理氣體遞送管線接收氣體。處理氣體之此實體隔離可提供用以減少由蓮蓬頭1678之處理氣體遞送管道上游中之不相容處理氣體之反應產生之小顆粒之方法。
蓮蓬頭1678及固持器1680與射頻(RF)電源供應器1688及匹配網路1690電通信以供電給電漿1692。電漿1692可藉由定位成鄰近於蓮蓬頭1678及固持器1680之一電漿護套1694而包含。雖然圖16描繪一電容耦合電漿,但可藉由任何適當的電漿源產生電漿1692。例如,電漿1692可包含一平行板電漿源、一電感耦合電漿源、一螺旋波電漿源、一電子迴旋共振電漿源、一磁控管增強型電漿源及一直流輝光放電電漿源之一或多者。
在圖16中展示的實施例中,RF電源供應器1688可提供任何適當頻率之RF功率。在一些實施例中,RF電源供應器1688可經組態以獨立於彼此控制高頻RF電源及低頻RF電源。例示性低頻RF功率可包含(但不限於)介於200kHz與1000kHz之間之頻率。例示性高頻RF功率可包含(但不限於)介於13.56MHz與80MHz之間之頻率。同樣地,RF電源供應器1688及匹配網路1690可以任何適當功率操作以形成電漿1692。適當功率之實例包含(但不限於)針對一高頻電漿之介於100W與3000W之間之功率及針對一低頻電漿之介於100W與10000W之間之功率。RF電源供應器1688可以任何適當的作用時間循環進行操作。適當的作用時間循環之實例包含(但不限於)介於5%與90%之間之作用時間循環。
在一些實施例中,可經由加熱器1684控制固持器1680之溫度。此外,在一些實施例中,可藉由蝶形閥1696或藉由任何其他適當的壓力控制器件而提供處理站1600之壓力控制。如圖16中所示,蝶形閥1696使藉由流體地耦合至處理站排氣管線1698之一真空泵(圖式中未展示)提供之真空節流。然而,在一些實施例中,亦可藉由改變引入至處理站1600之一或多種氣體之流動速率而調整處理站1600之壓力控制。
如上所述,一個多站處理工具中可包含一或多個處理站。
圖17展示具有一入站負載鎖1702及一出站負載鎖1704之一多站處理工具1700之一實施例之一示意圖。在大氣壓力下,一自動控制裝置1706經組態以經由大氣埠1710將基板自透過一縱槽1708負載之一卡匣而移動至入站負載鎖1702中。藉由自動控制裝置1706將一基板放置於入站負載鎖1702中之一負載鎖基板固持器1712上,關閉大氣埠1710,且對負載鎖進行抽氣。其中入站負載鎖1702包括一遠端電漿源,該基板可在被引入至一處理腔室1714中之前曝露於負載鎖中之一遠端電漿處理。此外,亦可在入站負載鎖1702中加熱基板以(例如)移除水分及經吸收氣體。
接著,打開至處理腔室1714之一腔室輸送埠1716,且另一自動控制裝置(圖式中未展示)將基板放置於用於處理之一第一處理站之一基座上之反應器中。雖然圖17中描繪的實施例包含負載鎖,但應了解,在一些實施例中可提供進入一處理站之一基板之直接入口。
在圖17中展示的實施例中,所描繪的處理腔室1714包括編號為1至4之四個處理站。圖17中描繪的每一站包含一處理站基板固持器(針對站1,以1718展示)及處理氣體遞送管線入口。在一些實施例中,可加熱一或多個處理站基板固持器1718。
在一些實施例中,每一處理站可具有不同或多個目的。例如, 一處理站可在一電漿活化CFD程序模式與PECVD程序模式之間切換。此外或替代性地,在一些實施例中,處理腔室1714可包含電漿活化CFD處理站及PECVD處理站之一或多個匹配對。在另一實例中,一處理站可在兩個或兩個以上膜類型之間切換,使得在相同處理腔室中可沈積不同膜類型之堆疊。
雖然所描繪的處理腔室1714包括四個站,但應瞭解,根據本揭示內容之一處理腔室可具有任何適當數目個站。例如,在一些實施例中,一處理腔室可具有五個或五個以上站,而在其他實施例中,一處理腔室可具有三個或三個以下站。
圖17亦描繪用於在處理腔室1714內轉移基板之一基板處置系統1790之一實施例。在一些實施例中,基板處置系統1790可在各種處理站之間及/或在一處理站與一負載鎖之間轉移基板。應了解,可採用任何適當的基板處置系統。非限制性實例包含基板旋轉盤及基板處置自動控制裝置。
圖17亦描繪一系統控制器1750之一實施例,該系統控制器1750經採用以控制處理工具1700之程序條件及硬體狀態使得裝置將執行根據本揭示內容之一方法。系統控制器1750可包含一或多個記憶體器件1756、一或多個大量儲存器件1754及一或多個處理器1752。處理器1752可包含一CPU或電壓、類比及/或數位輸入/輸出連接件、步進馬達控制器板等。
在一些實施例中,系統控制器1750控制處理工具1700的所有活動。系統控制器1750執行儲存於大量儲存器件1754中、載入至記憶體器件1756中且在處理器1752上執行之機器可讀取系統控制軟體1758。系統控制軟體1758可包含用於控制以下各者之指令:時序、氣體混合物、腔室及/或站壓力、腔室及/或站溫度、基板溫度、目標功率位準、RF功率位準、基板基座、卡盤及/或承座位置及藉由處理工具 1700執行之一特定程序之其他參數。可以任何適當的方式組態系統控制軟體1758。在一些實施例中,可寫入各種處理工具組件副常式或控制目的以控制處理工具組件之操作以執行各種處理工具程序。例如,在一些實施例中,系統控制器可操作各種閥、溫度控制器、電漿控制器及壓力控制器以調整裝置內之程序條件。此外,在一些實施例中,各種處理輸入之控制及/或供應(例如,處理氣體、電漿功率、加熱器功率等)可自共用源分佈至處理工具中所包含的複數個處理站。例如,在一些實施例中,一共用電漿產生器可將電漿功率供應至兩個或兩個以上處理站。在另一實例中,一共用電漿分佈歧管可將處理氣體供應至兩個或兩個以上處理站。
系統控制軟體1758可以任何適當的電腦可讀取程式化語言進行編碼。
在一些實施例中,系統控制軟體1758可包含用於控制上述各種參數之輸入/輸出控制(IOC)定序指令。例如,一電漿活化CFD程序之每一階段可包含藉由系統控制器1750執行之一或多個指令。用於設定一電漿活化CFD程序階段之程序條件之指令可包含於一對應電漿活化CFD配方階段中。在一些實施例中,電漿活化CFD配方階段可經循序配置使得一電漿活化CFD程序階段之所有指令與該程序階段同時執行。
在一些實施例中可採用儲存於與系統控制器1750相關聯之大量儲存器件1754及/或記憶體器件1756上之其他電腦軟體及/或程式。針對此目的之程式或程式區段之實例包含一基板定位程式、一處理氣體控制程式、一壓力控制程式、一加熱器控制程式及一電漿控制程式。
一基板定位程式可包含處理工具組件之程式碼,該等處理工具組件係用以將基板負載至處理站基板固持器1718上並且控制基板與處理工具1700之其他零件之間之間隔。
一處理氣體控制程式可包含用於控制氣體組合物及流動速率且視情況用於使氣體在沈積之前流入一或多個處理站以便穩定處理站中之壓力之碼。一壓力控制程式可包含用於藉由調節(例如)處理站之排氣系統中之一節流閥、流入處理站中之一氣流等而控制處理站中之壓力之碼。
一加熱器控制程式可包含用於控制至一加熱單元(其係用以加熱基板)之電流之碼。或者,加熱器控制程式可控制一熱傳氣體(諸如氦氣)至基板之遞送。
一電漿控制程式可包含用於設定施加至一或多個處理站中之處理電極之RF功率位準之碼。
在一些實施例中,可存在與系統控制器1750相關聯之一使用者介面。該使用者介面可包含一顯示螢幕、裝置及/或程序條件之圖形軟體顯示器及使用者輸入器件(諸如指標器件、鍵盤、觸控螢幕、麥克風等)。
在一些實施例中,藉由系統控制器1750調整之參數可與程序條件相關。非限制性實例包含處理氣體組合物及流動速率、溫度、壓力、電漿條件(諸如RF偏壓功率位準)、壓力、溫度等。此等參數係以配方的形式提供給使用者,且可利用使用者介面輸入該等參數。
可藉由系統控制器1750之類比及/或數位輸入連接件自各種處理工具感測器提供用於監測程序之信號。可在處理工具1700之類比及數位輸出連接件上輸出用於控制程序之信號。可經監測之處理工具感測器之非限制性實例包含質量流量控制器、壓力感測器(諸如壓力計)、熱電偶等。可將經適當程式化回饋及控制演算法與來自此等感測器之資料一起使用以維持程序條件。
系統控制器1750可提供用於實施上述沈積程序之程式指令。該等程式指令可控制多種程序參數,諸如DC功率位準、RF偏壓功率位 準、壓力、溫度等。指令可控制參數以根據本文描述的各種實施例而操作膜堆疊之原位沈積。
圖17亦展示一步進器1799之一實施例。步進器1799可用以使施覆至基板之一光阻劑曝露於適當光使得將一圖案轉印至光阻劑。在一些實施例中,步進器1799亦可包含其他微影圖案化程序(例如,步進器可經組態以使用光阻劑塗覆基板、使光阻劑固化且使光阻劑顯影)。或者,在一些實施例中,步進器1799可結合經組態以塗覆光阻劑、使光阻劑固化且顯影之一適當微影追蹤工具一起使用。因此,在一實例中,步進器1799可用以將一圖案轉印至包含藉由處理工具1700沈積之一薄保形膜之一基板。在另一實例中,步進器1799可用以在藉由處理工具1700沈積一薄保形膜之前將一圖案轉印至一基板。例如,一步進器可將一矽通孔之一圖案轉印至基板。可根據圖案在基板中蝕刻矽通孔,且隨後可使用藉由處理工具1700沈積之一薄保形膜塗覆該矽通孔之側壁及/或底部。
上述的各種硬體及方法實施例可結合微影圖案化工具或程序一起使用以(例如)製造半導體器件、顯示器、LED、光伏打面板及類似物。典型地,儘管不必要,然此等工具/程序將在一共同製造設施中一起使用或進行。
圖18展示微影圖案化之一方法1800之一實施例之一流程圖,可使用許多可能工具實現方法1800之每一步驟。如圖18中所示,方法1800包括在1802,使用(例如)旋塗或噴射工具將光阻劑施覆至一工件(亦即,基板)上。在1804,方法1800包括使用(例如)一熱板或火爐或其他適當固化工具使光阻劑固化。在1806,方法1800包括使用(例如)諸如一晶圓步進器之一工具將光阻劑曝露於可見光或UV光或X射線光。在1808,方法1800包括使用(例如)諸如一濕式清洗台或一噴射顯影液,使光阻劑顯影以便選擇性地移除光阻且藉此圖案化光阻劑。在
1810,方法1800包括藉由使用(例如)一乾式或電漿輔助蝕刻工具將光阻圖案轉印至一下伏膜或工件中。在1812,方法1800包括使用(例如)諸如一RF或微波電漿光阻剝離器之一工具移除光阻劑。在一些實施例中,可在施覆光阻劑之前沈積一可灰化硬遮罩層(諸如一非晶碳層)及另一適當硬遮罩(諸如一抗反射層)。
應瞭解,本文描述的組態及/或方法本質上係例示性的,且此等特定實施例或實例並非視為限制意義,此係因為許多變動係可行的。本文描述的特定常式或方法可表示任意數目個處理策略之一或多者。因而,所圖解闡釋的各種動作可以所圖解闡釋之序列執行,以其他序列執行、並行執行或在一些情況中可省略。同樣地,可改變上述程序之順序。
本揭示內容之標的包含本文揭示之各種程序、系統及組態及其它特徵、功能、動作及/或性質之所有新穎及不明顯組合及子組合以及其等之任何及所有等效物。

Claims (21)

  1. 一種在包含一處理站之一半導體處理裝置中用於在定位於該處理站中之一基板上形成一薄保形膜之方法,該方法包括:在一第一階段中:藉由在引入一前驅體流至該半導體處理裝置中之一電漿之前,僅使用一惰性氣體流以點燃該電漿以遠離(off)該基板之一表面產生前驅體自由基,該電漿經組態以將前驅體分子裂解為前驅體自由基,並接著將該等前驅體自由基吸附至該表面以形成表面活性物種(active species),其中該前驅體流包含矽酸乙酯(orthosilicate)、烷氧基矽烷(alkoxysilane)、烷基矽烷(alkylsilane)、環矽氧烷(cyclic siloxane)及炔基矽烷(alkynyl silane)中之至少一者;在一第一清除階段中,自該處理站清除殘餘前驅體自由基;在一第二階段中,將一反應性氧電漿供應至該表面,該反應性氧電漿經組態以與該表面活性物種反應並且產生該薄保形膜;及在一第二清除階段中,自該處理站清除殘餘反應物。
  2. 如請求項1之方法,其進一步包括在該第一階段期間形成表面活性物種之一實質上保形層。
  3. 如請求項1之方法,其中產生該等前驅體自由基進一步包括使用一高頻直接電漿產生氣相前驅體自由基。
  4. 如請求項1之方法,其進一步包括在該第一階段期間藉由調整一高頻電漿之一持續時間而調整該薄保形膜之一沈積速率。
  5. 如請求項1之方法,其進一步包括在該第一階段期間藉由調整一高頻電漿之脈衝數目而調整該薄保形膜之一沈積速率。
  6. 如請求項1之方法,其進一步包括在該第二階段期間藉由調整一電漿頻率及一電漿持續時間之一或多者而調整該薄保形膜之一電學性質及一物理性質之一或多者。
  7. 如請求項1之方法,其中該第二階段包括藉由同時使用一高頻電漿及一低頻電漿而產生該反應性氧電漿。
  8. 如請求項7之方法,其中產生該反應性氧電漿包括同時脈送(pulsing)該高頻電漿及該低頻電漿。
  9. 如請求項1之方法,其中該第二階段包括藉由交替脈送一高頻電漿及一低頻電漿而產生該反應性氧電漿。
  10. 如請求項1之方法,其進一步包括在一第三階段中使用藉由一摻雜電漿供應之一摻雜劑以摻雜該薄保形膜,其中該摻雜電漿係使用一高頻電漿及一低頻電漿之一或多者產生。
  11. 如請求項1之方法,其中該前驅體流包括原矽酸四乙酯(tetraethyl orthosilicate)。
  12. 一種在包含一處理站之一半導體處理裝置中用於在定位於該處理站中之一基板上形成一薄保形膜之方法,該方法包括:在一第一階段中:遠離該基板之一表面產生前驅體自由基,並將該等前驅體自由基吸附至該表面以形成表面活性物種;在一第一清除階段中,自該處理站清除殘餘反應物;在一第二階段中,將一反應性電漿供應至該表面,該反應性電漿經組態以與該表面活性物種反應並且產生該薄保形膜,其中該反應性電漿係藉由交替脈送一高頻電漿及一低頻電漿而產生;及在一第二清除階段中,自該處理站清除殘餘反應物。
  13. 一種填充一整合半導體器件基板中之一矽通孔之方法,該矽通 孔包括該整合半導體器件基板之一第一表面中之一開口、自該第一表面向內延伸之一側壁,及一底部,該方法包括:藉由在引入一前驅體流至該半導體處理裝置中之一電漿之前,僅使用一惰性氣體流以點燃該電漿以遠離該基板之一表面產生前驅體自由基,該電漿經組態以將前驅體分子裂解為前驅體自由基,並接著將該等前驅體自由基吸附至該表面以形成表面活性物種;其中該前驅體流包含矽酸乙酯(orthosilicate)、烷氧基矽烷(alkoxysilane)、烷基矽烷(alkylsilane)、環矽氧烷(cyclic siloxane)及炔基矽烷(alkynyl silane)中之至少一者;形成覆蓋該矽通孔之該側壁及底部之一薄保形介電層,其中形成該薄保形介電層包括:在一第一階段中,遠離該基板產生前驅體自由基並且將該等前驅體自由基吸附於該矽通孔之該側壁及底部上,在一第一清除階段中,自該處理站清除殘餘前驅體,在一第二階段中,將一反應性氧電漿供應至該矽通孔之該側壁及底部,該反應性氧電漿經組態以與吸附於該矽通孔之該側壁及底部上之該等前驅體自由基反應,及在一第二清除階段中,自該處理站清除殘餘反應物;及使用一導電金屬填充該矽通孔。
  14. 如請求項13之方法,其中形成該薄保形介電層進一步包括在該矽通孔之一底部角隅中沈積該薄保形介電層,使得該薄保形介電層之一底部角隅厚度係該薄保形介電層之一空場厚度之至少大約10%,其中該矽通孔具有至少大約10:1之一縱橫比。
  15. 如請求項13之方法,其中該等前驅體自由基係由包括原矽酸四乙酯(tetraethyl orthosilicate)之一前驅體產生。
  16. 如請求項15之方法,其中該反應性氧電漿包括原子氧自由基。
  17. 如請求項16之方法,其中形成該薄保形介電層進一步包括形成具有至少大約-131兆帕(MPa)之一壓縮應力及至少大約1.46之一折射率之一薄保形介電層。
  18. 如請求項13之方法,其中該第一階段包括使用一高頻電漿以產生該等前驅體自由基,且其中該第二階段包括使用一高頻電漿及一低頻電漿之一或多者以產生該反應性物種。
  19. 如請求項1、12或13中之任一項之方法,該方法進一步包括:將光阻劑施覆至該基板;經由一步進器使該光阻劑曝露於光;使用一圖案以圖案化該光阻劑並且將該圖案轉印至該基板;及自該基板選擇性地移除該光阻劑。
  20. 一種半導體處理裝置,其包括:一處理站;一基板固持器,其係安置於該處理站之內部,該基板固持器經組態以支撐一基板;及一電漿源,其係流體地耦合至該處理站;及一系統控制器,其經組態以執行記憶體中所保存的指令,該等指令包括用於執行如請求項1、12或13中之任一項之方法的指令。
  21. 一種半導體處理系統,其包括:一步進器工具;及一處理工具,其包括:一處理站,一基板固持器,其係安置於該處理站之內部,該基板固持 器經組態以支撐該基板,一電漿源,其係流體地耦合至該處理站,及一系統控制器,其經組態以執行記憶體中所保存的指令,該等指令包括用於執行如請求項1、12或13中之任一項之方法的指令。
TW105130207A 2010-09-23 2011-09-22 保形膜之電漿活化沈積 TWI623976B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US12/889,132 US8101531B1 (en) 2010-09-23 2010-09-23 Plasma-activated deposition of conformal films
US12/889,132 2010-09-23
US13/011,569 2011-01-21
US13/011,569 US8524612B2 (en) 2010-09-23 2011-01-21 Plasma-activated deposition of conformal films

Publications (2)

Publication Number Publication Date
TW201701354A TW201701354A (zh) 2017-01-01
TWI623976B true TWI623976B (zh) 2018-05-11

Family

ID=45871084

Family Applications (2)

Application Number Title Priority Date Filing Date
TW100134208A TWI563565B (en) 2010-09-23 2011-09-22 Plasma-activated deposition of conformal films
TW105130207A TWI623976B (zh) 2010-09-23 2011-09-22 保形膜之電漿活化沈積

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW100134208A TWI563565B (en) 2010-09-23 2011-09-22 Plasma-activated deposition of conformal films

Country Status (6)

Country Link
US (2) US8524612B2 (zh)
KR (1) KR101913443B1 (zh)
CN (1) CN103119695B (zh)
SG (1) SG188537A1 (zh)
TW (2) TWI563565B (zh)
WO (1) WO2012040317A2 (zh)

Families Citing this family (402)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
SG192967A1 (en) 2011-03-04 2013-09-30 Novellus Systems Inc Hybrid ceramic showerhead
US8637410B2 (en) * 2011-04-08 2014-01-28 Applied Materials, Inc. Method for metal deposition using hydrogen plasma
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US9478437B2 (en) * 2011-06-01 2016-10-25 Applied Materials, Inc. Methods for repairing low-k dielectrics using carbon plasma immersion
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8394718B1 (en) * 2011-09-12 2013-03-12 International Business Machines Corporation Methods of forming self-aligned through silicon via
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6538300B2 (ja) * 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US8912091B2 (en) 2013-01-10 2014-12-16 International Business Machines Corporation Backside metal ground plane with improved metal adhesion and design structures
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9255326B2 (en) * 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
TWI627305B (zh) * 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
US10297442B2 (en) * 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
KR102376429B1 (ko) 2013-12-18 2022-03-17 램 리써치 코포레이션 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치
US9305840B2 (en) * 2013-12-21 2016-04-05 Macronix International Co., Ltd. Cluster system for eliminating barrier overhang
US9290843B2 (en) 2014-02-11 2016-03-22 Lam Research Corporation Ball screw showerhead module adjuster assembly for showerhead module of semiconductor substrate processing apparatus
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9797042B2 (en) * 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10431451B2 (en) 2014-08-22 2019-10-01 Lam Research Corporation Methods and apparatuses for increasing reactor processing batch size
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR101663958B1 (ko) 2014-12-08 2016-10-12 삼성전자주식회사 자기 메모리 소자의 제조방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
CN104459420B (zh) * 2014-12-30 2017-07-28 中国科学院微电子研究所 一种tsv孔内介质层的电学性能检测方法
US9472392B2 (en) 2015-01-30 2016-10-18 Applied Materials, Inc. Step coverage dielectric
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9748093B2 (en) 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US20160329206A1 (en) * 2015-05-08 2016-11-10 Lam Research Corporation Methods of modulating residual stress in thin films
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
KR102426960B1 (ko) * 2015-10-15 2022-08-01 주식회사 테스 플라즈마를 이용하여 실리콘 산화막을 형성하는 방법
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10533251B2 (en) 2015-12-31 2020-01-14 Lam Research Corporation Actuator to dynamically adjust showerhead tilt in a semiconductor processing apparatus
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US20170314129A1 (en) 2016-04-29 2017-11-02 Lam Research Corporation Variable cycle and time rf activation method for film thickness matching in a multi-station deposition system
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US20180047692A1 (en) 2016-08-10 2018-02-15 Amkor Technology, Inc. Method and System for Packing Optimization of Semiconductor Devices
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
KR102241937B1 (ko) * 2016-11-25 2021-04-20 주식회사 원익아이피에스 반도체 소자의 갭필 방법
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) * 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10190216B1 (en) 2017-07-25 2019-01-29 Lam Research Corporation Showerhead tilt mechanism
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
KR102675485B1 (ko) 2017-08-04 2024-06-17 램 리써치 코포레이션 수평 표면들 상에 SiN의 선택적인 증착
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10763108B2 (en) * 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10460930B2 (en) * 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102447467B1 (ko) * 2018-01-18 2022-09-26 주성엔지니어링(주) 기판 처리 방법
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN108531890B (zh) * 2018-04-27 2020-06-16 华南理工大学 一种金属氧化物透明导电薄膜的制备方法及其产品和用途
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
WO2020006313A1 (en) * 2018-06-29 2020-01-02 Lam Research Corporation Oxidative conversion in atomic layer deposition processes
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11220424B2 (en) 2018-08-09 2022-01-11 Honeywell International Inc. Methods for increasing aspect ratios in comb structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US12060636B2 (en) * 2018-09-21 2024-08-13 Lam Research Corporation Method for conditioning a plasma processing chamber
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
JP7487189B2 (ja) 2018-10-19 2024-05-20 ラム リサーチ コーポレーション 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10704143B1 (en) * 2019-01-25 2020-07-07 Asm Ip Holding B.V. Oxide film forming method
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
WO2020198502A1 (en) 2019-03-28 2020-10-01 Lam Research Corporation Etch stop layer
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
CN113785381A (zh) 2019-04-30 2021-12-10 朗姆研究公司 用于极紫外光刻抗蚀剂改善的原子层蚀刻及选择性沉积处理
KR20210150606A (ko) 2019-05-01 2021-12-10 램 리써치 코포레이션 변조된 원자 층 증착
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11430654B2 (en) 2019-11-27 2022-08-30 Applied Materials, Inc. Initiation modulation for plasma deposition
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210135004A (ko) 2020-01-15 2021-11-11 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) * 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US12094709B2 (en) 2021-07-30 2024-09-17 Applied Materials, Inc. Plasma treatment process to densify oxide layers
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023112171A1 (ja) * 2021-12-14 2023-06-22 日本電信電話株式会社 シリコンボライド膜の形成方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090278224A1 (en) * 2008-05-07 2009-11-12 Asm Genitech Korea Ltd. Methods of forming an amorphous silicon thin film
US20120028454A1 (en) * 2010-04-15 2012-02-02 Shankar Swaminathan Plasma activated conformal dielectric film deposition

Family Cites Families (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04364320A (ja) 1991-06-07 1992-12-16 Mitsubishi Electric Corp 脱調検出回路
TW201848B (zh) * 1991-11-08 1993-03-11 Advanced Micro Devices Inc
US5223443A (en) * 1992-02-19 1993-06-29 Integrated Device Technology, Inc. Method for determining wafer cleanliness
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
KR100721503B1 (ko) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
WO2002080244A2 (en) 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
WO2003076678A2 (en) 2002-03-08 2003-09-18 Sundew Technologies, Llc Ald method and apparatus
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
KR100472777B1 (ko) 2002-06-26 2005-03-10 동부전자 주식회사 박막 적층 방법
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US7264849B2 (en) * 2003-07-11 2007-09-04 Optisolar, Inc. Roll-vortex plasma chemical vapor deposition method
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US7790633B1 (en) * 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7422636B2 (en) * 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
US7829159B2 (en) * 2005-12-16 2010-11-09 Asm Japan K.K. Method of forming organosilicon oxide film and multilayer resist structure
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7435684B1 (en) * 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090057665A (ko) 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US7745346B2 (en) * 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
CN102197459A (zh) 2008-10-27 2011-09-21 应用材料股份有限公司 三元化合物的气相沉积方法
JP5293168B2 (ja) * 2008-12-25 2013-09-18 富士通株式会社 レジスト組成物及びそれを用いた半導体装置の製造方法
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP2011023718A (ja) * 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US8278224B1 (en) * 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8343881B2 (en) * 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8101531B1 (en) * 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090278224A1 (en) * 2008-05-07 2009-11-12 Asm Genitech Korea Ltd. Methods of forming an amorphous silicon thin film
US20120028454A1 (en) * 2010-04-15 2012-02-02 Shankar Swaminathan Plasma activated conformal dielectric film deposition

Also Published As

Publication number Publication date
TW201214563A (en) 2012-04-01
CN103119695B (zh) 2016-08-17
CN103119695A (zh) 2013-05-22
SG188537A1 (en) 2013-04-30
KR101913443B1 (ko) 2018-10-30
WO2012040317A2 (en) 2012-03-29
US20120077349A1 (en) 2012-03-29
US8524612B2 (en) 2013-09-03
TWI563565B (en) 2016-12-21
TW201701354A (zh) 2017-01-01
KR20130115261A (ko) 2013-10-21
WO2012040317A3 (en) 2012-06-21
US20130319329A1 (en) 2013-12-05

Similar Documents

Publication Publication Date Title
TWI623976B (zh) 保形膜之電漿活化沈積
KR102628080B1 (ko) 실리콘 옥사이드를 증착하기 위한 방법들
KR102628605B1 (ko) 평탄한 실리콘막들의 pecvd 성막
US10580690B2 (en) Staircase encapsulation in 3D NAND fabrication
KR102570744B1 (ko) 반도체 디바이스 제조시 고품질 실리콘 옥사이드 막들의 저온 형성
CN107799390B (zh) 用于半导体图案化应用的高干法蚀刻速率材料
US20230203646A1 (en) Conformal deposition of silicon carbide films using heterogeneous precursor interaction
US8101531B1 (en) Plasma-activated deposition of conformal films
CN109023311B (zh) 通过脉冲低频射频功率获得高选择性和低应力碳硬膜
KR20230039625A (ko) 저온 ald 막들을 위한 챔버 언더코팅 준비 방법
US7745346B2 (en) Method for improving process control and film conformality of PECVD film
TWI512136B (zh) 伴隨持續的電漿之pecvd多重步驟處理方法
TW201546314A (zh) 用以降低金屬氧化物與金屬氮化物膜中的表面粗糙度之射頻循環清洗
TW201708597A (zh) 使用碳基膜之間隙填充
TW201840463A (zh) 用於進階圖案化之軟著陸奈米層
US11848199B2 (en) Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill