KR20130115261A - 컨포멀 막들의 플라즈마 활성화된 성막 - Google Patents

컨포멀 막들의 플라즈마 활성화된 성막 Download PDF

Info

Publication number
KR20130115261A
KR20130115261A KR1020137010291A KR20137010291A KR20130115261A KR 20130115261 A KR20130115261 A KR 20130115261A KR 1020137010291 A KR1020137010291 A KR 1020137010291A KR 20137010291 A KR20137010291 A KR 20137010291A KR 20130115261 A KR20130115261 A KR 20130115261A
Authority
KR
South Korea
Prior art keywords
plasma
phase
substrate
precursor
reactive
Prior art date
Application number
KR1020137010291A
Other languages
English (en)
Other versions
KR101913443B1 (ko
Inventor
밍 리
후 캉
만디암 스리람
아드리엔 라부아
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/889,132 external-priority patent/US8101531B1/en
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20130115261A publication Critical patent/KR20130115261A/ko
Application granted granted Critical
Publication of KR101913443B1 publication Critical patent/KR101913443B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

플라즈마 활성화된 컨포멀막 성막 (CFD) 프로세스들을 사용하여 컨포멀 박막을 성막하는 방법 및 하드웨이가 본 명세서에 기재된다. 일 예에 있어서, 컨포멀 박막을 형성하는 방법은, 제 1 페이즈에서, 기판의 표면에서 떨어져서 전구체 라디칼들을 생성하는 단계 및 기판에 전구체 라디칼들을 흡착시켜 표면 활성종들을 형성하는 단계; 제 1 퍼지 페이즈에서, 프로세스 스테이션으로부터 잔류 전구체를 퍼지하는 단계; 제 2 페이즈에서, 표면에 반응성 플라즈마를 공급하는 단계로서, 반응성 플라즈마는 표면 활성종들과 반응하고 컨포멀 박막을 생성하도록 구성되는, 반응성 플라즈마를 공급하는 단계; 및 제 2 퍼지 페이즈에서, 프로세스 스테이션으로부터 잔류 반응물을 퍼지하는 단계를 포함한다.

Description

컨포멀 막들의 플라즈마 활성화된 성막{PLASMA-ACTIVATED DEPOSITION OF CONFORMAL FILMS}
관련 출원들의 상호 참조
본 개시물은, 발명의 명칭이 "PLASMA-ACTIVATED DEPOSITION OF CONFORMAL FILMS" 이고 2011년 1월 21일에 출원된, 공동 계류중이며 공동 양도된 미국 특허출원 제 13/011,569 호 (Attorney Docket No. NVLS003619CP1_NOVLP416X1US), 및 발명의 명칭이 "PLASMA-ACTIVATED DEPOSITION OF CONFORMAL FILMS" 이고 2010년 9월 23일에 출원된, 공동 양도된 미국 특허출원 제 12/889,132 호 (Attorney Docket No. NVLS003619_ NOVLP416US) 에 대해 우선권을 주장한다. 종래의 출원들의 개시물들은 본 개시물에서 부분으로서 고려되며 참조로서 통합된다.
반도체 디바이스들의 다양한 박막 층들은 원자층증착 (ALD), 화학기상증착 (CVD) 또는 플라즈마 강화 화학기상증착 (PECVD) 프로세스들에 의해 성막될 수 있다. 일부 조건들 하에서, 일부 ALD 프로세스들은 기판을 포화시킬 수 없어서, 기판 상에 불완전한 막성막, 막 아일랜딩, 및 막 두께 변동을 유도할 수 있다. 유사하게, 일부 조건들 하에서, 일부 CVD 및 PECVD 프로세스들은 대량 수송이 제한될 수 있고, 고 애스팩트비 디바이스 구조들을 커버할 수 없을 수도 있다.
불완전한 막 성막을 해결하기 위한 일부 접근들은, ALD 프로세스들에서 막 전구체에 의해 기판 표면을 포화시키기 위한 더 긴 도우징 시간들과, CVD 및 PECVD 프로세스들에서 대량 수송 효율을 강화시키기 위한 더 낮은 압력들을 포함할 수 있다. 그러나, 연장된 도우징 시간들 및/또는 낮은 압력에서의 동작은 프로세스 툴 쓰루풋을 감소시켜, 제조 라인을 지원하기 위한 부가적인 프로세스 툴들의 설치 및 보수를 요구할 수 있다. 또한, 그러한 접근들에 의해 제조된 막들은 불충분한 디바이스 성능을 제공하는 물리적, 화학적 또는 전기적 특성들을 가질 수 있다.
따라서, 플라즈마 활성화된 컨포멀막 성막 (CFD) 프로세스들을 사용하여 컨포멀 박막들을 성막하는 방법들 및 하드웨어의 다양한 실시형태들이 본 명세서에 기재된다. 일 실시형태에 있어서, 기판을 프로세싱하는 방법이 기재된다. 예를 들어, 방법은, 기판에 포토레지스트를 도포하는 단계, 스텝퍼를 통해 포토레지스트를 광에 노광하는 단계, 패턴에 의해 포토레지스트를 패터닝하고 그 패턴을 기판에 전사하는 단계, 및 기판으로부터 포토레지스트를 선택적으로 제거하는 단계를 포함할 수 있다. 방법은, 반도체 프로세싱 장치의 프로세스 스테이션 내부에 기판을 배치하는 단계를 더 포함할 수 있다. 방법은, 프로세스 스테이션의 제 1 페이즈에서, 기판의 표면과 떨어져서 전구체 라디칼들을 생성하는 단계, 및 표면에 전구체 라디칼들을 흡착시켜 표면 활성종들을 형성하는 단계를 더 포함할 수 있다. 방법은, 프로세스 스테이션의 제 1 퍼지 페이즈에서, 프로세스 스테이션으로부터 잔류 전구체를 퍼지하는 단계를 더 포함할 수 있다. 방법은, 프로세스 스테이션의 제 2 페이즈에서, 표면에 반응성 플라즈마를 공급하는 단계를 더 포함할 수 있으며, 반응성 플라즈마는 표면 활성종들과 반응하고 컨포멀 박막을 생성하도록 구성된다. 방법은, 프로세스 스테이션의 제 2 퍼지 페이즈에서, 프로세스 스테이션으로부터 잔류 반응물을 퍼지하는 단계를 더 포함할 수 있다.
이러한 개요는 이하 상세한 설명에서 더 설명되는 개념들의 선택을 간략화된 형태로 소개하기 위해 제공된다. 이 개요는 청구되는 청구물의 주요 피쳐들 또는 본질적인 피쳐들을 식별하려는 것도 아니며, 청구되는 청구물의 범위를 한정하기 위해 사용되는 것도 아니다. 또한, 청구되는 청구물은 본 개시물의 임의의 부분에서 주시되는 임의의 또는 모든 단점들을 해결하는 구현들에 한정되지 않는다.
도 1은 본 개시물의 일 실시형태에 따라 플라즈마 활성화된 컨포멀막 성막 (CFD) 프로세스를 사용하여 컨포멀 박막을 성막하는 방법을 도시하는 플로우 챠트를 나타낸다.
도 2는 본 개시물의 일 실시형태에 따른 일 예의 플라즈마 활성화된 CFD 프로세스 타이밍 다이어그램을 나타낸다.
도 3은 본 개시물의 일 실시형태에 따른 일 예의 플라즈마 활성화된 CFD 실리콘 산화물막과 일 예의 PECVD 실리콘 산화물막 사이의 푸리에 변환 적외선 스펙트럼들의 비교를 나타낸다.
도 4는 본 개시물의 일 실시형태에 따른 일 예의 플라즈마 활성화된 CFD 실리콘 산화물막과 일 예의 PECVD 실리콘 산화물막 사이의 누설 전류의 비교를 나타낸다.
도 5는 본 개시물의 일 실시형태에 따른 일 예의 플라즈마 활성화된 CFD 실리콘 산화물막과 일 예의 PECVD 실리콘 산화물막 사이의 스트레스 드리프트 (stress drift) 의 비교를 나타낸다.
도 6은 본 개시물의 일 실시형태에 따라 플라즈마 활성화된 CFD 프로세스를 사용하여 컨포멀 박막을 성막하는 다른 예의 프로세스 타이밍 다이어그램을 나타낸다.
도 7은 본 개시물의 일 실시형태에 따라 플라즈마 활성화된 CFD 프로세스를 사용하여 컨포멀 박막을 성막하는 다른 예의 프로세스 타이밍 다이어그램을 나타낸다.
도 8은 본 개시물의 일 실시형태에 따라 다수의 크랙킹 플라즈마 서브페이즈들의 함수로서 예시의 플라즈마 활성화된 CFD 실리콘 산화물막들 사이의 성막 레이트의 비교를 나타낸다.
도 9는 본 개시물의 일 실시형태에 따라 다수의 크랙킹 플라즈마 서브페이즈들의 함수로서 예시의 플라즈마 활성화된 CFD 실리콘 산화물막들 사이의 브레이크다운 전압의 비교를 나타낸다.
도 10은 본 개시물의 일 실시형태에 따라 다수의 크랙킹 플라즈마 서브페이즈들의 함수로서 예시의 플라즈마 활성화된 CFD 실리콘 산화물막들 사이의 막 스트레스의 비교를 나타낸다.
도 11은 본 개시물의 일 실시형태에 따른 다른 예의 플라즈마 활성화된 CFD 프로세스 타이밍 다이어그램을 나타낸다.
도 12는 본 개시물의 일 실시형태에 따라 성막된 컨포멀 유전체 박막을 포함하는 쓰루 실리콘 비아 (TSV) 의 일 예의 단면을 나타낸다.
도 13은 본 개시물의 일 실시형태에 따라 180℃ 에서 성막된 일 예의 플라즈마 활성화된 CFD 실리콘 산화물막과 180℃ 에서 성막된 일 예의 PECVD 실리콘 산화물막 사이의 TSV 에서 저부 코너 커버리지의 비교를 나타낸다.
도 14는 본 개시물의 일 실시형태에 따른 애스팩트 비의 함수로서 상이한 수의 크랙킹 플라즈마 서브페이즈들을 갖는 400℃ 에서 성막된 예시의 플라즈마 활성화된 CFD 실리콘 산화물막들 사이의 TSV에서 저부 코너 커버리지의 비교를 나타낸다.
도 15는 본 개시물의 일 실시형태에 따라 상이한 수의 크랙킹 플라즈마 서브페이즈들을 갖는 180℃ 에서 성막된 예시의 플라즈마 활성화된 CFD 실리콘 산화물막들에 대하여 기판 후면막 두께에 대한 기판 전면막 두께의 커버리지의 비교를 나타낸다.
도 16은 본 개시물의 일 실시형태에 따라 플라즈마 활성화된 CFD 프로세스를 사용하여 컨포멀 박막을 성막하는 일 예의 프로세스 스테이션을 나타낸다.
도 17은 본 개시물의 일 실시형태에 따른 복수의 프로세스 스테이션들 및 제어기를 포함하는 일 예의 프로세스 툴을 나타낸다.
도 18은 본 개시물의 일 실시형태에 따른 리소그라피 패터닝 방법의 일 실시형태를 도시하는 플로우 챠트를 나타낸다.
반도체 디바이스들은 집적화된 제조 프로세스에서, 실리콘 웨이퍼와 같은 기판 상에 하나 이상의 막들을 성막하고 패터닝함으로써 일반적으로 제조된다. 일부 집적화된 프로세스들에 있어서, 기판 토포그래피에 맞추는 박막들을 성막하는 것이 유용할 수 있다. 예를 들어, 유전체막은 실리콘 내부에 식각된 트렌치의 측벽들 및 저부 상에 성막될 수 있다. 유전체막은 후속 트렌치를 충진하기 위해 사용되는 재료와 실리콘 기판을 전기적으로 절연시킬 수 있으며 충진제 재료와 기판을 물리적으로 격리시킬 수 있다.
박막들을 성막하는 일부 접근들은 CVD, PECVD, 및 ALD 성막 방법들을 포함한다. CVD 및 PECVD 접근들은 일반적으로 반응종들 사이에서 정상 상태 가스 상 (gas phase) 또는 표면 반응들을 수반하며, 그 산물이 성막된 막이다. 막의 두께는 반응 시간에 비례하여 증가한다. 그러나, 대량 수송 현상은 또한 막 두께에 영향을 미칠 수 있다. 예를 들어, CVD 프로세스들은 트렌치의 저부에서 보다 트렌치의 상부에서 더 두꺼운 막을 성막할 수 있다. 성막이 지속됨에 따라, 트렌치 상부의 개구 치수가 점차적으로 더 작아지게 될 수 있어서, 가스 상의 능력을 감소시켜 트렌치 내부에서 반응물들 및 산물들을 수송한다. 일부 예들에 있어서, CVD 프로세스는 트렌치의 저부에서 막을 성막할 수 없을 수도 있는데, 이는 성막된 막이 트렌치의 상부를 차단하기 때문이다. 또한, 일부 디바이스들은 상이한 디바이스 밀도의 영역들을 가질 수 있기 때문에, 대량 수송 효과들이 디바이스 내 및 기판 내 두께 변동을 야기할 수도 있다. 이는 디바이스 성능 및/또는 수율을 저감시킬 수 있다.
이러한 문제들을 해결하는 일부 접근들은 ALD를 수반한다. 가스 상 반응들이 사용되어 막들을 성막하는 CVD와 대조적으로, ALD 프로세스들은 표면 중재된 성막 반응들을 사용하여 층 마다에 기초하여 막들을 성막한다.
일 예의 ALD 프로세스에 있어서, 기판 표면은 가스 상 막 반응물 (P1) 에 노출된다. P1의 일부 분자들은 기판 표면 최상부에 화학흡착되고 물리흡착된 P1을 포함하는 응축된 상을 형성한다. 그 후, 반응기가 배기되어 가스 상 및 물리흡착된 P1을 제거하여, 기판 표면 상에 화학흡착된 P1을 남긴다. 후속하여, 제 2 막 반응물 P2가 화학흡착된 P2를 생성하도록 도입된다.
기판에 제공된 열 에너지는 화학흡착된 P1 과 P2 사이의 표면 반응들을 활성화하여, 막층을 형성한다. 마지막으로, 반응기가 배기되어 반응 부산물들 및 반응되지 않은 P2를 제거하여, ALD 사이클을 종료한다. 부가적인 ALD 사이클들이 막 두께를 축적하기 위해 포함될 수 있다.
통상의 ALD 반응 채널들은 표면 중재된 열 분해 프로세스들을 사용하기 때문에, 저압 ALD 프로세스들은 일반적으로 고반응성 케미컬들을 채용한다. 이러한 반응물들은 반응물로부터 쉽게 제거되는 이탈기들을 포함하도록 기능화될 수 있다. 이러한 이탈기들은 부모 분자로부터 쉽게 쪼개지기 때문에, 반응물은 한정된 자기 수명을 가질 수 있으며 공급 및/또는 배출 배관에서 쉽게 분해할 수 있어서, 잠재적으로 작은 파티클 결함들을 야기한다. 또한, 이러한 케미컬들의 합성은 비용이 비싸서, 잠재적으로 디바이스의 코스트를 상승시킬 수 있다.
P1 및 P2의 노출 시간들 및 스티킹 (sticking) 계수들에 의존하여, 각 ALD 사이클은, 이론적으로, 막의 모노층을 성막할 수 있다. 예를 들어, 하나의 ALD 사이클은 1/2 내지 3 Å 두께의 막의 층을 성막할 수 있다. 이에 따라, ALD 프로세스들은 수 나노미터 두께 초과의 막들에 대해 너무 길 수 있다. 게다가, 하나 이상의 반응물들이 낮은 스티킹 계수를 갖는 표면을 포화시키는 것이 어려울 수 있어서, 잠재적으로 비용이 높은 케미컬들을 소모시키고 및/또는 막 성막을 느리게 한다.
따라서, 본 명세서에서는 플라즈마 활성화된 CFD에 대해 프로세스들 및 장비를 제공하는 실시형태들이 개시된다. 예를 들어, 도 1은 플라즈마 활성화된 CFD를 사용하여 컨포멀 박막을 성막하는 방법 (100) 의 일 실시형태에 대한 플로우 챠트를 나타낸다.
방법 (100) 은, 102에서, 제 1 페이즈에서, 기판의 표면에서 떨어져 전구체 라디칼들을 생성하고 전구체 라디칼들을 표면에 흡착시켜 표면 활성화 종들을 형성하는 단계를 포함한다. 계속해서, 방법 (100) 은, 104에서, 제 1 퍼지 페이즈에서, 프로세스 스테이션으로부터 잔류 전구체를 퍼지하는 단계를 포함한다. 계속해서, 방법 (100) 은, 106에서, 제 2 페이즈에서, 그 표면에 반응성 플라즈마를 공급하는 단계를 포함하며, 여기서 반응성 플라즈마는 표면 활성종들과 반응하고 컨포멀 박막을 생성하도록 구성된다. 마지막으로, 방법 (100) 은, 108에서, 제 2 퍼지 페이즈에서, 프로세스 스테이션으로부터 잔류 반응물을 퍼지하는 단계를 포함한다.
도 2는 플라즈마 활성화된 CFD를 사용하여 컨포멀 박막들을 성막하는 방법의 일 실시형태에 대한 타이밍 다이어그램 (200) 을 개략적으로 나타낸다. 도 2에 도시된 예는 선택적 초기 페이즈 (202), 복수의 성막 사이클들 (204) 및 선택적 포스트 성막 페이즈 (222) 를 포함한다.
도 2에 나타낸 바와 같이, 타이밍 다이어그램 (200) 은 좌측으로부터 우측으로 진행하는 프로세스 페이즈들의 시간적인 순서로 배열된 일련의 프로세스 파라미터들을 포함한다. 그러나, 프로세스 페이즈들은 임의의 적절한 순서로 배열될 수 있으며 일부 페이즈들은 일부 실시형태들에서 생략될 수 있음을 알게 된다. 상술한 ALD 프로세스와 달리, 크랙킹 페이즈 (206) 동안 제공된 플라즈마 에너지는 막 전구체의 크랙킹을 활성화한다. 크랙킹 페이즈 (206) 동안 형성된 가스 상 전구체 라디칼들은 기판 표면에 흡착하여, 기판 토포그래피와 맞추도록 이동할 수 있는 표면 활성종들을 형성한다. 반응성 페이즈 (214) 동안 제공된 플라즈마 에너지는 표면 활성종들의 하나 이상의 표면 반응들을 활성화하여, 컨포멀 박막을 생성한다.
도 2에 나타낸 플라즈마 활성화된 CFD 프로세스 파라미터들은 고주파 (HF) 및 저주파 (LF) 플라즈마에 대한 전력 상태 설정들에 부가하여, 비활성 성분, 전구체 및 반응물 종들의 유량들을 포함한다. 그러나, 도 2에 나타내지 않은 다른 플라즈마 활성화된 CFD 프로세스 파라미터들이 시간에 따라 변화할 수 있음을 알게 된다. 다른 예시의 플라즈마 활성화된 CFD 프로세스 파라미터들은, 플라즈마 전력 및 주파수, 기판 온도, 프로세스 스테이션 압력, 및 프로세스 스테이션 공급 및 배출 배관 하드웨어에 대한 다양한 온도 및 압력을 포함하지만 이에 한정되지 않는다.
도 2에 나타낸 실시형태들은 선택적인 초기 페이즈 (202) 를 포함한다. 포함되는 경우, 초기 페이즈 (202) 는 프로세스 스테이션 내부로의 및/또는 후속 성막을 위한 기판 전달을 위해 프로세스 스테이션 및 기판을 컨디션할 수 있다. 예를 들어, 일부 실시형태들에 있어서, 초기 페이즈 (202) 는 기판 및/또는 프로세스 스테이션을 막 성막 조건들로 이행하도록 다양한 압력 및 온도 제어 루틴들을 포함할 수 있다.
도 2에 나타낸 바와 같이, 초기 페이즈 (202) 는 제어된 레이트에서 프로세스 스테이션으로 하나 이상의 비활성 가스들을 공급하는 것을 포함한다. 비활성 가스들은 질소, 아르곤, 헬륨, 제논 및 일산화탄소와 같은 다양한 비반응성 가스들을 개별적으로 또는 임의의 적절한 혼합물로 포함할 수 있다. 비활성 가스들은 기판 표면으로부터 응축된 물을 제거할 수 있다. 비활성 가스들의 플로우를 제어하면, 프로세스 스테이션 내에서 압력 및/또는 온도를 제어할 수 있다. 또한, 다양한 비활성 가스들이 하나 이상의 전구체들 또는 반응물들을 이송하는 캐리어 가스들로서 그리고 프로세스 스테이션 및 프로세스 스테이션 배출 배관에 대한 희석제로서 사용될 수 있다.
도 2는 이하 더 상세하게 설명되는 후속 페이즈들의 각각에 비활성 가스들이 공급되는 것을 나타낸다. 예를 들어, 일부 실시형태들에 있어서, 플라즈마 활성화 페이즈 동안 비활성 가스들이 공급되어 플라즈마의 점화 및/또는 유지를 보조할 수 있다. 그러나, 일부 실시형태들에 있어서, 하나 이상의 페이즈들 동안 비활성 가스들이 공급되지 않을 수도 있고, 일부 실시형태들에 있어서, 비활성 가스들이 전체적으로 생략될 수도 있음을 알게 된다. 또한, 일부 실시형태들에 있어서, 도 2에 나타낸 바와 같이, 비활성 가스들의 플로우가 일정하지만, 비활성 가스들의 플로우가 변화할 수도 있다. 예를 들어, 일부 실시형태들에 있어서, 하나 이상의 비활성 가스들의 플로우를 증가시키면 퍼지 페이즈의 기간을 비교적 감소시킬 수 있다. 일 시나리오에서, 비활성 가스들의 유량은 다양한 프로세스 가스 열역학적 특성들 및/또는 프로세스 스테이션의 다양한 기하학적 특성들에 따라 조정될 수 있다.
도 2에 나타낸 실시형태는 선택적 초기 페이즈 (202) 동안 플라즈마의 사용을 도시하지 않았지만, 일부 실시형태들에 있어서, 성막 전에 기판 표면을 처리하기 위해 플라즈마 에너지가 사용될 수 있음을 알게 된다. 이러한 플라즈마 처리는 기판 표면을 세정할 수 있으며, 이는 컨포멀 박막의 성막을 위한 표면을 준비할 수 있다.
다음, 도 2에 나타낸 실시형태는 복수의 성막 사이클들 (204) 을 포함한다. 구체적으로, 도 2는 제 1 성막 사이클 (204) 에서 제 N 성막 사이클 (204N) 까지 연장하는 N 성막 사이클들 (204) 을 나타내며, 여기서 N은 원하는 두께의 막을 축적하기 위한 임의의 적절한 수의 성막 사이클들 (204) 을 나타내는 정수이다. 도 2는 복수의 성막 사이클들 (204) 을 도시하지만, 일부 실시형태들에서, 플라즈마 활성화된 CFD 프로세스가 단일 성막 사이클 (204) 을 포함할 수 있다.
각 성막 사이클 (204) 은 크랙킹 페이즈 (206) 의 경우를 포함한다. 크랙킹 페이즈 (206) 동안, 전구체 라디칼들은 크랙킹 플라즈마에 의해 기판 표면에서 떨어져 생성된다. 일 시나리오에서, 전구체 라디칼들은 기판 표면 위에 직접 형성된 플라즈마에서 생성될 수 있다. 다른 시나리오에서, 전구체 라디칼들은 리모트 플라즈마에 의해 생성될 수 있고 기판 표면에 가스 상으로 반송될 수 있다. 일단 형성되면, 전구체 라디칼들은 기판의 표면에 흡착하여, 표면 활성종들을 형성한다. 그 후, 표면 활성종들은 기판 표면 상에서 이동할 수 있어서, 잠재적으로 표면 활성종들의 실질적인 컨포멀 흡착층을 형성한다.
전구체는 크랙킹 페이즈 (206) 동안 제어된 레이트로 프로세스 스테이션에 공급된다. 도 2에 나타낸 방법 (100) 의 실시형태는 일정한 유량을 갖는 것으로서 전구체 플로우를 도시하고 있지만, 프로세스 스테이션으로의 전구체의 임의의 적절한 제어된 플로우가 본 개시물의 범위 내에서 채용될 수 있음을 알게 된다. 부가적인 일 예에 있어서, 전구체는 가변성 유량으로 공급될 수 있다. 전구체의 존재에 부가하여, 크랙킹 플라즈마는 상술한 하나 이상의 비활성 가스들과 같은 하나 이상의 비반응성 플라즈마 가스들을 포함할 수 있다.
일부 실시형태들에 있어서, 크랙킹 페이즈 (206) 는 2 이상의 서브페이즈들로 세분화될 수 있다. 도 2에 나타낸 실시형태에 있어서, 크랙킹 페이즈 (206) 는 선택적 프리 플라즈마 전구체 서브페이즈 (208) 및 크랙킹 플라즈마 서브페이즈 (210) 로 분할된다. 일부 실시형태들에 있어서, 크랙킹 페이즈 (206) 는 크랙킹 플라즈마 서브페이즈 (210) 의 2 이상의 경우들을 포함할 수 있는데, 이는 하기의 도 6에 관하여 더 상세하게 설명되는 바와 같이 펄스형 모드 크랙킹 플라즈마를 형성하도록 순서화될 수 있다.
선택적 프리 플라즈마 전구체 서브페이즈 (208) 동안, 플라즈마의 점화 전에 제어된 레이트에서 프로세스 스테이션으로 전구체가 공급된다. 방법 (100) 에 포함되는 경우, 프리 플라즈마 전구체 서브페이즈 (208) 는 임의의 적절한 기간을 가질 수 있다. 예를 들어, 일 시나리오에서, 프리 플라즈마 전구체 서브페이즈 (208) 는, 플라즈마의 점화 전에 프로세스 스테이션 내에서 전구체의 유량이 안정화되도록 타이밍될 수 있다. 이는 플라즈마 라디칼 생성 동안 전구체 플로우 불안정성을 회피할 수 있다. 다른 시나리오에서, 프리 플라즈마 전구체 서브페이즈 (208) 는 플라즈마의 점화 전에 전구체의 원하는 부분 압력으로 프로세스 스테이션을 차징하도록 타이밍될 수 있다. 이는 플라즈마가 점화되면, 전구체 라디칼들의 원하는 농도를 제공할 수 있다.
크랙킹 플라즈마는 크랙킹 플라즈마 서브페이즈 (210) 동안 점화된다. 크랙킹 플라즈마는 전구체 분자를 전구체 라디칼들로 크랙킹하도록 구성된다. 도 2에 나타낸 예에서는 전구체의 플로우가 크랙킹 플라즈마의 점화에 선행하지만, 일부 실시형태들에 있어서, 전구체가 프로세스 스테이션으로 플로우를 시작하기 전에 크랙킹 플라즈마가 점화될 수도 있음을 알게 된다. 크랙킹 플라즈마 페이즈 (210) 는 본 개시물의 범위를 벗어나지 않으면서 임의의 적절한 기간을 가질 수 있다.
도 2에 나타낸 실시형태에 있어서, 크랙킹 플라즈마 서브페이즈 (210) 동안 사용된 크랙킹 플라즈마는 13.56MHz 이상에서 동작하도록 구성된 고주파 플라즈마를 포함한다. 이론에 의해 제한되기를 바라지 않으면서, 이러한 고주파 플라즈마들은 저주파 플라즈마들보다 결합 분리 프로세스들에서 비교적 더 효과적일 수 있다. 그러나, 저주파 플라즈마 주파수들, 및 동시에 또는 펄스들로 고주파 및 저주파를 포함하는 듀얼 모드 플라즈마와 같은 임의의 적절한 플라즈마 주파수가 채용될 수 있다. 또한, 임의의 적절한 플라즈마 전력 밀도가 본 개시물의 범위 내에서 채용될 수 있다. 예시의 전력 밀도들은 기판 표면에서 측정될 때, 0.05-5 W/㎠의 범위의 전력 밀도들을 포함하지만 이에 한정되지 않는다.
전구체가 테트라에틸 오르토실리케이트 (C8H20O4Si 또는 TEOS) 를 포함하는 일 예에 있어서, 크랙킹 플라즈마는 부모 분자로부터 하나 이상의 에틸 치환기들을 쪼갤 수 있어서, EtxSiOx - 종들이 기판 표면에 흡착될 수 있다. 그러나, 다양한 전구체 라디칼들이 형성될 수 있음을 알게 된다. 형성된 다양한 전구체들의 식별자들은, 전구체의 식별자, 전구체의 부분 압력, 다른 플라즈마 가스들의 부분 압력, 프로세스 스테이션의 전체 압력, 플라즈마 전력 및 플라즈마 주파수를 포함하지만, 이에 한정되지 않는 프로세스 변수들에 의존할 수 있다. 또한, 형성된 다양한 전구체 라디칼들의 식별자들은 가스 상 종들의 열역학적 및 운동학적 특성들에 따라 변화할 수 있다.
크랙킹 플라즈마는 크랙킹 플라즈마 서브페이즈 (210) 의 끝에서 소멸된다. 도 2는 전구체 플로우의 셧오프 (shutoff) 와 동시에 발생하는 크랙킹 플라즈마의 소멸을 나타내지만, 일부 실시형태들에서 전구체 플로우의 동시 발생하지 않는 적절한 셧오프가 채용될 수 있음을 알게 된다. 예를 들어, 한정이 아닌 일 시나리오에 있어서, 잔류 전구체가 활성화되고 표면에 흡착할 수 있도록 크랙킹 플라즈마를 소멸하기 전에 전구체 플로우가 셧오프 될 수도 있다.
일부 실시형태들에 있어서, 성막 사이클 (204) 은 프로세스 스테이션으로부터 잔류물을 제거하는 포스트 전구체 퍼지 페이즈 (212) 를 포함할 수 있다. 프로세스 스테이션을 퍼지하면, 반응성 페이즈 동안 공급된 반응물과 전구체 사이에서 가스 상 반응들을 회피할 수 있다. 도 2에 나타낸 예에 있어서, 비활성 가스의 플로우는 퍼지 페이즈 (212) 동안 유지되어, 잔류 전구체 분자들의 프로세스 스테이션을 퍼지한다. 포스트 전구체 퍼지 페이즈 (212) 는 임의의 적절한 기간을 가질 수 있다.
일부 실시형태들에 있어서, 포스트 전구체 퍼지 페이즈 (212) 는 프로세스 스테이션을 배기하기 위한 하나 이상의 배기 서브페이즈들 (미도시) 을 포함할 수 있으며, 이는 포스트 전구체 퍼지 페이즈 (212) 의 기간을 비교적 감소시킬 수 있다. 대안으로, 일부 실시형태들에 있어서, 포스트 전구체 퍼지 페이즈 (212) 가 생략될 수도 있음을 알게 된다.
각 성막 사이클 (204) 은 반응성 페이즈 (214) 의 경우를 포함한다. 반응성 페이즈 (214) 동안, 적절한 반응물로부터 생성된 반응성 플라즈마가 반응물 라디칼들을 기판 표면으로 전달한다. 반응물 라디칼들은 표면 활성종들과 상호작용하고 컨포멀 박막을 생성한다. 일부 실시형태들에 있어서, 반응성 페이즈 (214) 는 2 이상의 서브페이즈들로 세분화될 수 있다. 도 2에 나타낸 실시형태에서, 반응성 페이즈 (214) 는 선택적 프리 플라즈마 반응물 서브페이즈 (216) 및 반응성 플라즈마 서브페이즈 (218) 로 나눠진다.
선택적 프리 플라즈마 반응물 서브페이즈 (216) 동안, 제어된 레이트에서 프로세스 스테이션으로 반응물이 공급된다. 방법 (100) 에 포함되는 경우, 프리 플라즈마 반응물 서브페이즈 (216) 는 임의의 적절한 기간을 가질 수 있다. 예를 들어, 일 시나리오에서, 프리 플라즈마 반응물 서브페이즈 (216) 는 플라즈마의 점화 전에 프로세스 스테이션 내에서 반응물의 유량이 안정화되도록 타이밍될 수 있다. 이는 플라즈마 라디칼 생성 동안 반응물 플로우 불안정성을 회피할 수 있다. 다른 시나리오에 있어서, 프리 플라즈마 반응물 서브페이즈 (216) 는 플라즈마의 점화 전에 전구체의 원하는 부분 압력으로 프로세스 스테이션을 차징하도록 타이밍될 수 있다. 이는 플라즈마가 일단 점화되면 전구체 라디칼들의 원하는 농도를 제공할 수 있다.
반응성 플라즈마는 반응성 플라즈마 서브페이즈 (218) 동안 점화되어, 반응성 라디칼 종들을 생성한다. 도 2에 나타낸 예에서 반응물의 플로우가 반응성 플라즈마의 점화에 선행하지만, 일부 실시형태들에 있어서, 프로세스 스테이션으로 반응물이 플로우하기 시작하기 전에 반응성 플라즈마가 점화될 수도 있다. 반응성 플라즈마 서브페이즈 (218) 는 본 개시물의 범위를 벗어나지 않으면서 임의의 적당한 기간을 가질 수 있다.
반응성 플라즈마에 의해 형성된 반응성 라디칼종들은 기판의 표면에 흡착할 수 있고 표면 활성종들의 흡착된 층을 통해 확산할 수 있다. 반응성 라디칼종들은 표면 활성종들과 반응할 수도 있고 또는 표면 활성종들 사이에서 반응을 용이하게 할 수도 있다. 예를 들어, TEOS 라디칼들과 반응성 산소 플라즈마로부터 컨포멀 실리콘 산화물 박막을 성막하는 플라즈마 활성화된 CFD 프로세스에서, 반응성 산소 플라즈마는 표면 흡착된 실록시 종들 (예를 들어, Et3O3Si, Et2O2Si, 및 EtOSi) 을 잠재적으로 산화시켜 실리콘 산화물을 형성할 수도 있고, (O-Si-O)x 올리고머들 사이의 가교를 용이하게 하여 실리콘 산화물 네트워크를 연장할 수도 있고, 실리콘 산화물 격자에서 산소 빈격자 (vacancy) 를 채울 수도 있으며, 에틸기들을 산화할 수도 있다.
반응성 플라즈마는 반응성 플라즈마 서브페이즈 (218) 의 끝에서 소멸된다. 도 2는 반응물 플로우의 셧오프와 동시에 발생하는 반응성 플라즈마의 소멸을 나타내지만, 일부 실시형태들에 있어서 반응물 플로우의 동시 발생하지 않는 적절한 셧오프가 채용될 수도 있음을 알게 된다. 예를 들어, 한정이 아닌 일 시나리오에 있어서, 반응물 플로우는 잔류 반응물이 활성화되고 기판에 흡착될 수 있도록 반응성 플라즈마의 소멸 전에 셧오프될 수도 있다.
도 2에 나타낸 실시형태에 있어서, 13.56MHz 이상에서 동작하도록 구성된 고주파 플라즈마 및 13.56MHz 미만에서 동작하도록 구성된 저주파 플라즈마를 사용하여 반응성 플라즈마가 형성된다. 그러나, 반응성 페이즈 (214) 동안 하나 이상의 플라즈마 주파수들의 선택은 막의 하나 이상의 원하는 물리적 및/또는 전기적 특성들에 부분적으로 기초할 수 있음을 알게 된다. 또한, 하기에서 도 7과 관련하여 보다 상세하게 설명되는 바와 같이, 일부 실시형태들에 있어서, 반응성 페이즈 (214) 는 펄스형 모드 반응성 플라즈마를 포함할 수 있다.
상술한 바와 같이, 고주파 플라즈마가 저주파 플라즈마보다 활성화 반응 결합 분기 프로세스들에서 비교적 더 효과적일 수 있다. 그러나, 저주파 플라즈마가 기판 표면에 반응성 플라즈마를 제공하는데 있어서 비교적 더 효과적일 수 있다. 예를 들어, 저주파 플라즈마는 비교적 더 높은 충격 에너지를 생성할 수도 있고 비교적 더 높은 시스 전압을 가질 수 있다. 이론에 의해 제한되기를 바라지 않으면서, 산화물막에 대한 낮은 습식 식각 레이트를 갖는 것이 바람직한 일 예의 시나리오에 있어서, 저주파 산소 플라즈마가 기판 표면에 비교적 더 많은 산소를 전달하기 위해 사용될 수 있다. 이는 비교적 더 조밀한 막 및 더 압축적으로 스트레스받는 막을 제공할 수 있다. 산화물막에서 탄소 오염을 감소시키는 것이 바람직한 다른 시나리오에서, 비교적 더 높은 원자 산소의 밀도를 생성하기 위해 고주파 플라즈마가 사용될 수 있다. 이는 저주파 플라즈마에 비해 표면 경계 탄소의 비교적 더 많은 양을 소기 (scavenge) 할 수 있다.
임의의 적절한 플라즈마 전력 밀도가 본 개시물의 범위 내에서 반응성 플라즈마 서브페이즈 (218) 에 채용될 수 있다. 예시의 전력 밀도들은 기판 표면에서 측정될 때 0.05-5W/㎠ 의 범위의 전력 밀도들을 포함하지만, 이에 한정되지 않는다.
일부 실시형태들에 있어서, 성막 사이클 (204) 은 포스트 반응물 퍼지 페이즈 (220) 를 포함할 수 있다. 잔류 반응물은 포스트 반응물 퍼지 페이즈 (220) 동안 프로세스 스테이션으로부터 제거될 수 있다. 프로세스 스테이션을 퍼지하면, 후속 성막 사이클 (204) 동안 공급된 전구체와 반응물 사이에서 가스 상 반응들을 회피할 수 있다. 도 2에 나타낸 예에서, 비활성 가스의 플로우는 포스트 반응물 퍼지 페이즈 (220) 동안 유지되어, 잔류 반응물 분자들의 프로세스 스테이션을 퍼지한다. 포스트 반응물 퍼지 페이즈 (220) 는 임의의 적절한 기간을 가질 수 있다. 예를 들어, 일부 실시형태들에서, 포스트 반응물 퍼지 페이즈 (220) 는 프로세스 스테이션의 상주 시간에 따라 타이밍될 수 있다.
일부 실시형태들에 있어서, 포스트 반응물 퍼지 페이즈 (220) 는 프로세스 스테이션을 배기하는 하나 이상의 배기 서브페이즈 (미도시) 를 포함할 수 있으며, 이는 포스트 반응물 퍼지 페이즈 (220) 의 기간을 비교적 감소시킬 수 있다. 대안으로, 일부 실시형태들에서 포스트 반응물 퍼지 페이즈 (220) 가 생략될 수도 있음을 알게 된다.
일부 실시형태들에 있어서, 성막된 막을 컨디션하기 위해 하나 이상의 성막 사이클들 (204) 다음에 하나 이상의 선택적 포스트 성막 페이즈들 (222) 이 수행될 수 있다. 예를 들어, 포스트 성막 페이즈 (222) 는 성막된 막에 대해 다양한 플라즈마 및/또는 열처리들을 제공할 수 있다. 도 11에 관하여 상세하게 설명되는 바와 같이, 막 처리들의 예들이 포스트 성막 페이즈 (222) 동안 제공된다. 확산 효과들은 일부 플라즈마 처리 프로세스들의 결과들이 감소되는 깊이를 초과하여 정의할 수 있기 때문에, 부가적인 성막 사이클들 (204) 이 부가적인 막 두께를 축적하도록 포스트 성막 페이즈 (222) 이후에 첨부될 수 있으며, 그 다음 부가포스트 성막 페이즈들 (222) 등이 수행된다.
일부 실시형태들에 있어서, 포스트 성막 페이즈 (222) 는 기판 전달 프로세스를 위해 프로세스 스테이션 및 기판을 컨디션할 수 있다. 예를 들어, 일부 실시형태들에 있어서, 포스트 성막 페이즈 (222) 는 프로세스 툴에 포함된 다른 프로세스 스테이션으로 또는 로드록으로 기판을 전달하기에 적절한 조건들로 기판 및 프로세스 스테이션을 이행하도록 다양한 압력 및 온도 제어 루틴들을 포함할 수도 있다. 도 2에 나타낸 바와 같이, 하나 이상의 비활성 가스들이 포스트 성막 페이즈 (222) 의 일 부분 동안 공급된다. 프로세스 스테이션으로 비활성 가스를 공급하면, 기판 전달을 위한 준비 시 프로세스 스테이션 내의 압력 제어를 제공할 수 있다. 도 2에서는 비활성 성분의 일정한 공급을 나타내지만, 다양한 플로우 레이트 스킴을 포함하는 임의의 적절한 플로우 레이트 스킴이 일부 실시형태들에 채용될 수 있음을 알게 된다. 일부 실시형태들에 있어서, 포스트 성막 페이즈 (222) 동안 어떠한 비활성 성분도 공급되지 않을 수도 있다.
상술한 방법은 다양한 컨포멀 박막들을 성막하기 위해 사용될 수 있다. 한정이 아닌 일 예에 있어서, 플라즈마 활성화된 CFD 프로세스는 TEOS를 포함하는 전구체 및 산소를 포함하는 반응물로부터 실리콘 산화물막을 성막하기 위해 사용될 수 있다. 예시의 TEOS 계 실리콘 산화물막들에 대한 예시의 프로세스 파라미터 범위들이 표 1에 제공된다.
Figure pct00001
본 예에 있어서, 크랙킹 플라즈마에 의해 생성된 TEOS 라디칼들은 기판 표면에 흡착하여 표면 활성종들을 형성한다. 이 표면 활성종들은 기판 상에 표면 활성종들의 실질적으로 컨포멀층을 형성한다. 예시의 표면 활성종들은 응축된 상으로 배열된 다양한 (O-Si-O)x 올리고머들을 포함할 수 있다. 이 올리고머들의 일부는 에틸 리간드들로부터 탄소를 포함할 수 있다.
퍼지 페이즈가 프로세스 스테이션으로부터 잔류 TEOS를 제거한 후, 반응성 산소 플라즈마는 기판 표면에 산소 라디칼들을 공급한다. 예를 들어, 산소 플라즈마 페이즈 동안 원자 산소가 표면에 공급될 수 있다. 산소 라디칼들은 표면 활성종들의 컨포멀층을 통해 확산할 수 있어서, 실리콘 원자들로부터의 댕글링 본드들과 반응하고, 빈 격자 사이트들을 점유하며, 표면 활성종들을 가교하여 컨포멀 실리콘 산화물막을 형성한다. 또한, 반응성 플라즈마에 의해 공급된 산소 라디칼들은 TEOS 로부터 유리된 표면 흡착된 탄소를 산화하고 유리할 수도 있다. TEOS 및 산소로부터 형성된 예시의 SiO2 막들에 대한 한정이 아닌 막특성 정보가 표 2에 제공된다.
Figure pct00002
크랙킹 페이즈 동안 임의의 적절한 전구체 또는 전구체들이 프로세스 스테이션에 공급될 수 있음을 알게 된다. 표면 활성 종들은 ALD 프로세스에서와 같이 표면 중개된 열 분해 반응들 대신 가스 상 플라즈마 크랙킹을 통해 형성되기 때문에, 표면 활성종들의 다중층들은 크랙킹 페이즈 동안 공급된 전구체의 양을 연장함으로써 형성될 수 있다. 이는 통상의 ALD 프로세스들의 모노층 마다의 접근에 비해 더 빠른 막 성막을 제공할 수 있다.
전구체의 한정이 아닌 예들은, 테트라에틸 오르토실리케이트 (TEOS) 및 그 유사물들 (예를 들어, Si(OR)4, 여기서 R은 임의의 적절한 알킬기, 예컨대 메틸기, 에틸기, 프로필기 등일 수 있다); 알콕시실란, 예컨대 메틸트리에톡시실란 (MTEOS), 메틸트리메톡시실란 (MTMOS), 디메틸디메톡시실란 (DMDMOS), 트리메틸메톡시실란 (TMMOS), 디메틸디에톡시실란 (DMDEOS); 알킬실란, 예컨대 테트라메틸실란 (4MS); 트리메틸실란 (3MS); 디메틸실란 (2MS); 환형 실록산, 예컨대 2,4,6,8-테트라메틸시클로테트라실록산 (TOMCAT), 옥타메틸시클로테트라실록산 (OMCAT), 테트라비닐테트라메틸시클로테트라실록산 (TVTMCTS); 알키닐실란, 예컨대 트리메틸실릴아세틸렌 (TMSA); 및 이 전구체들의 혼합물을 포함한다.
유사하게, 임의의 적절한 반응물이 반응성 페이즈 동안 공급되어, 실리콘 산화물, 실리콘 질화물, 실리콘 탄화물 및 실리콘 붕소화물을 포함하는 다양한 막들을 형성할 수 있다. 또한, 혼합된 막들은 반응성 페이즈 동안 적절하게 혼합된 반응물들을 공급함으로써 성막될 수 있으며, 그레이드된 (graded) 막들은 2 이상의 성막 사이클들에 걸쳐 반응물 혼합물의 조성 또는 반응물의 식별자를 변화시킴으로써 성막될 수 있다. 이에 따라, 단일막들 이외에, 본 명세서에 기재된 방법들은 반사방지층들 또는 다른 적절한 응용물들에 대한 교번 막들의 스택을 형성하기 위해 사용될 수 있다.
실리콘 산화물막들을 형성하는 반응물들의 한정이 아닌 예들은 O3, O2, N2O, NO2, H2O, CO 및 CO2 를 포함한다. 실리콘 질화물막들을 형성하는 반응물들의 한정이 아닌 예들은, N2, NH3, N2O, NO2, N2H2, MeN=NMe, H2N-NH2, N(Me)3, N(Et)3, 및 NH2(t-Bu)를 포함한다. 실리콘 탄화물막들을 형성하는 반응물들의 한정이 아닌 예들은, 아민 (1차, 2차, 3차), CO, CO2, 메탄, 에탄, 프로판, 에틸렌, 프로필렌 및 에틴을 포함한다. 실리콘 붕소화물막들을 형성하는 반응물들의 한정이 아닌 예들은, BH3, B2H6, 보란-N,N-디이소프로필아민, 보란-트리메틸아민, 보란-디에틸아민, 보란-피리딘, 보란-t-부틸아민, 보란-N-N,디에틸아닐린, 및 그 희석 용액을 포함한다.
일부 CVD 또는 PECVD 실리콘 산화물 성막 프로세스들에 있어서, 성막된 막의 재료 특성들은 가스 상 생성된 (O-Si-O)x 올리고머들의 표면 어셈블리에 의해 결정될 수 있다. 격자 부정합들 및 리간드 오염들이 성막 프로세스 동안 막 내부로 결합될 수도 있다. 이는 막의 전기적 열화를 유도할 수도 있고, 낮은 막 스트레스, 높은 습식 식각 레이트 및 높은 막 다공성과 같은, 원치 않는 물리적 특성들을 유도할 수도 있다.
대조적으로, 플라즈마 활성화된 CFD 프로세스들의 반응성 플라즈마는 표면 활성종들의 흡착된 층 전체에 걸쳐 반응성 종들을 전달할 수 있기 때문에, 표면 활성종들의 부분 변환이 통합될 수 있다. 게다가, 반응성 종들은 격자 부정합을 치유할 수도 있고 막 오염들을 소기할 수도 있다. 따라서, 플라즈마 활성화된 CFD 프로세스들에 의해 제공된 컨포멀성 이점들에 부가하여, 플라즈마 활성화된 CFD 프로세스들은 일부 CVD 또는 PECVD 접근들에 의해 성막된 것에 대하여 비교적 더 높은 품질의 막들을 잠재적으로 제공할 수 있다.
이러한 것의 일 예로서, 하기에서 상세하게 논의되는 도 3 내지 도 5는, 180℃ 에서 성막된 일 예의 플라즈마 활성화된 CFD SiO2막과, 동일한 온도에서 TEOS 계 PECVD 프로세스에 의해 성막된 SiO2막의 비교를 도시한다. 도 3은 예시의 플라즈마 활성화된 CFD막과 예시의 PECVD막에 대한 푸리에 변환 적외선 (FTIR) 스펙트럼들의 비교 (300) 를 나타낸다. 플라즈마 활성화된 CFD FTIR 스펙트럼 (302) 은 PECVD FTIR 스펙트럼 (304) 보다 더 강한 대략 1065 ㎝- 1 에서 SiO2 피크를 나타낸다. 피크 강도는 농도에 비례하기 때문에, 비교 (300) 는 플라즈마 활성화된 CFD막이 더 높은 농도의 SiO2를 포함할 수 있음을 표시하여, 플라즈마 활성화된 CFD 막이 PECVD막 보다 더 높은 밀도 및 더 적은 결함성 격자를 가질 수 있음을 제시한다.
도 4는 예시의 플라즈마 활성화된 CFD 막과 예시의 PECVD 막에 대한 누설 전류의 비교 (400) 를 나타낸다. 변화하는 전계 강도들에서의 누설 전류 측정들이 수은 프로브를 사용하여 수행되었다. 플라즈마 활성화된 CFD 누설 전류 트레이스 (402) 는, PECVD 누설 전류 트레이스 (404) 에 비해 범위의 전계 강도에 걸쳐 더 낮고, 보다 안정한 누설 전류를 나타낸다. 이는 플라즈마 활성화된 CFD 막이 PECVD 막보다 오염이 적고 및/또는 결함성이 적을 수 있음을 제시한다.
도 5는 예시의 플라즈마 활성화된 CFD 막과 예시의 PECVD 막에 대한 스트레스 드리프트의 비교 (500) 를 나타낸다. 연속적인 공기 노출 동안 취해진 스트레스 측정치들은 트레이스 (502) 에 의해 나타낸 플라즈마 활성화된 CFD 막에 대한 막 스트레스가 트레이스 (504) 에 의해 나타낸 PECVD 막에 대한 막 스트레스보다 더 안정하다는 것을 표시한다. 이에 따라, 플라즈마 활성화된 CFD 막이 PECVD 막보다 습도와 같은 분위기 조건들에 덜 민감할 수 있다.
상술한 플라즈마 활성화된 CFD 프로세스는 한정이 아닌 예시이며, 본 개시물의 범위 내에서 상술한 프로세스의 일부 부분들의 적절한 변형 및/또는 생략이 있음을 알게 된다.
예를 들어, 일부 실시형태들에 있어서, 플라즈마 활성화된 CFD 프로세스에 의해 성막된 컨포멀 박막의 물리적 및 전기적 특성들의 하나 이상이 크랙킹 페이즈에 대한 하나 이상의 프로세스 파라미터들을 조정함으로써 조정될 수 있다. 일부 실시형태들에 있어서, 크랙킹 플라즈마와 관련된 프로세스 파라미터들은 컨포멀 박막의 성막 레이트를 변화시키도록 조정될 수 있다. 예를 들어, 일부 플라즈마들에 대하여, 플라즈마에 의해 생성된 전구체 라디칼들의 다양한 종들이 상이한 종들 수명들을 가질 수 있어서, 일부 전구체 라디칼종들이 다른 것들보다 비교적 더 빨리 분해할 수 있음을 알게 된다. 따라서, 일부 전구체 라디칼 종들에 대하여, 일부 라디칼 종들의 초기 농도는 수명 및 흡착 이벤트들에 의한 라디칼들의 소모 전에 초기의 높은 농도로부터 생성 및 흡착 사이의 정상 상태 밸런스에 의해 형성된 더 낮은 평형 농도로 감소할 수 있다. 또한, 생성된 전구체 라디칼 종들의 단면이 플라즈마의 전자 온도의 함수일 수 있기 때문에, 전자 퀀칭 (quenching) 에 의해 야기되는 시간 경과에 따른 플라즈마의 전자 에너지에서의 변동이 일부 전구체 라디칼들의 생성에서 시간 의존성 변동을 유도할 수 있다. 이로써, 일부 전구체 라디칼종들에 대하여, 퀀칭을 통한 플라즈마 전자 에너지에서의 감소가 시간 경과에 따른 그러한 종들의 생성을 감소 또는 중단시킬 수 있다.
따라서, 일부 실시형태들에 있어서, 크랙킹 페이즈는 하나 이상의 비플라즈마 서브페이즈들 사이에 배치된 2 이상의 플라즈마 서브페이즈들을 포함할 수 있다. 이러한 접근은 플라즈마 점화 동안 및 직후의 전구체 라디칼들의 과도 농도가 정상 상태 플라즈마 조건들 동안 전구체 라디칼들의 농도를 초과하는 조건들 하에서 전구체 라디칼들의 비교적 높은 농도를 제공할 수 있다.
예를 들어, 도 6은 컨포멀 박막의 성막을 위한 플라즈마 활성화된 CFD 프로세스들의 일 실시형태에 대한 타이밍 다이어그램 (600) 을 나타낸다. 도 6에 나타낸 바와 같이, 타이밍 다이어그램 (600) 은 좌측에서 우측으로 진행하는 프로세스 페이즈들의 시간적 순서로 배열된 일련의 프로세스 파라미터들을 포함한다.
도 6에 나타낸 예에 있어서, 크랙킹 페이즈 (206) 는 전구체 라디칼들을 생성하기 위해 고주파 플라즈마가 사용되는 동안 복수의 크랙킹 플라즈마 서브페이즈들 (210) 을 포함한다. 크랙킹 플라즈마는 각각의 크랙킹 플라즈마 서브페이즈 (210) 의 끝에서 소멸된다. 각각의 크랙킹 플라즈마 서브페이즈 (210) 는 플라즈마가 생성되지 않는 동안, 프리 플라즈마 전구체 서브페이즈 (208) 에 의해 후속 크랙킹 플라즈마 서브페이즈 (210) 와 분리된다. 이에 따라, 도 6에 나타낸 예에 있어서, 크랙킹 플라즈마 서브페이즈 (210A) 는 프리 플라즈마 전구체 서브페이즈 (208B) 에 의해 크랙킹 플라즈마 서브페이즈 (210B) 와 분리된다. 이러한 배열은 고주파 플라즈마의 펄스를 제공한다.
단일의 연속 크랙킹 플라즈마에 대해 복수의 크랙킹 플라즈마 펄스들을 치환하면 증가된 수의 플라즈마 점화 이벤트들을 제공한다. 이론에 의해 제한되기를 바라지 않으면서, 이는 크랙킹 페이즈 (206) 전체에 걸쳐 일부 전구체 라디칼들의 비교적 높은 농도를 제공할 수 있어서, 기판 표면에서 대응하는 표면 활성종들의 농도를 비교적 증가시킬 수 있다. 결국, 표면 활성종들의 더 높은 농도가 비교적 더 높은 성막 레이트를 잠재적으로 유도할 수 있다.
게다가, 하나 이상의 프리 플라즈마 전구체 서브페이즈 (208) 의 포함은 표면 활성종들의 표면 이동을 위한 부가적인 시간을 제공할 수 있다. 이는 표면 활성종들의 비연속적인 도메인들의 형성을 감소하거나 회피할 수 있어서, 비교적 더 컨포멀한 막들을 잠재적으로 제공한다.
크랙킹 플라즈마 펄스들의 임의의 적절한 개수 및 기간은 본 개시물의 범위 내에서 채용될 수 있다. 또한, 도 6에 나타낸 예는 크랙킹 플라즈마 서브페이즈 (210) 의 첫번째 경우 이전에 프리 플라즈마 전구체 서브페이즈 (208A) 를 포함하지만, 일부 실시형태들은 반대의 배열을 채용할 수 있음을 알게 된다.
상기 도 2의 설명에서 도입된 바와 같이, 플라즈마 활성화된 CFD 프로세스들의 일부 실시형태들은 크랙킹 페이즈에서 변화하는 것에 부가하여 또는 변화하는 것 대신 반응성 페이즈를 변화시킬 수도 있다. 예를 들어, 일부 실시형태들에 있어서, 반응성 플라즈마 서브페이즈의 기간은 물리적 및/또는 전기적 막 특성들을 조정하도록 조정될 수 있다.
부가적으로 또는 대안으로, 일부 실시형태들에 있어서, 반응성 페이즈는 적절한 플라즈마 펄싱 스킴들을 포함할 수 있다. 예를 들어, 도 2에 나타낸 예시의 반응성 페이즈 (214) 는 반응성 플라즈마 서브페이즈 (218) 동안 고주파 및 저주파 플라즈마의 동시 사용을 도시하지만, 일부 실시형태들에 있어서, 고주파 및 저주파 플라즈마가 교번 순서를 가질 수도 있다. 상기 설명된 것들과 유사한 이유들로, 반응성 페이즈 동안 부가 플라즈마 점화 이벤트들을 제공하면 일부 반응물 라디칼 종들의 농도를 증가시킬 수 있다.
예를 들어, 도 7은 컨포멀 박막을 성막하기 위한 플라즈마 활성화된 CFD 프로세스의 일 실시형태에 대한 타이밍 다이어그램 (700) 을 나타낸다. 도 7에 나타낸 바와 같이, 타이밍 다이어그램 (700) 은 좌측에서 우측으로 진행하는 프로세스 페이즈들의 시간적 순서로 배열된 일련의 프로세스 파라미터들을 포함한다.
도 7에 나타낸 예에 있어서, 반응성 페이즈 (214) 는 반응성 플라즈마 서브페이즈들 (218A-C) 의 순서를 포함한다. 도 7에 나타낸 바와 같이, 고주파 플라즈마 서브페이즈 (218A) 다음에 저주파 플라즈마 서브페이즈 (218B) 및 다른 고주파 플라즈마 서브페이즈 (218C) 가 수행된다. 이러한 순서화된 접근들은 고주파 플라즈마 서브페이즈들 동안 원자 산소종들의 생성을 비교적 증가시킬 수 있으며, 저주파 프라즈마 서브페이즈들 동안 기판 표면으로의 그러한 종들의 전달을 비교적 강화시킨다.
일부 실시형태들에 있어서, 도 7에 나타낸 반응성 플라즈마 서브페이즈들 (218) 의 예시의 순서는 고주파 및 저주파 플라즈마 서브페이즈들의 임의의 적절한 수에 의해 연장될 수 있으며, 반응성 플라즈마 서브페이즈들 (218) 은 임의의 적절한 기간을 가질 수도 있음을 알게 된다. 또한, 일부 실시형태들에 있어서, 동시에 발생하는 고주파 및 저주파 플라즈마를 포함하는 듀얼 주파수 플라즈마 서브페이즈는 반응성 페이즈 (214) 동안 고주파 및 저주파 플라즈마 중 어느 하나를 포함하는 단일주파수 플라즈마 서브페이즈와 교번할 수 있다.
상술한 예시의 크랙킹 페이즈들 및 반응성 페이즈들은 다양한 컨포멀 박막들을 성막하기 위해 사용될 수 있다. 한정이 아닌 일 예에 있어서, 플라즈마 활성화된 CFD 프로세스가 TEOS 를 포함하는 전구체 및 산소를 포함하는 반응물로부터 실리콘 산화물막을 성막하기 위해 사용될 수 있다. 180℃ 에서 TEOS와 산소의 플라즈마 활성화된 CFD 프로세싱으로부터 형성된 SiO2 막들에 대한 한정이 아닌 막 특성 정보는 표 3 및 표 4에 제공된다.
Figure pct00003
Figure pct00004
이하 상세하게 논의되는 도 8 내지 도 10은, 표 3 및 표 4에 제시된 바와 같이 상이한 기간들의 산소 플라즈마 페이즈들을 갖고 하나 이상의 TEOS 크랙킹 플라즈마 서브페이즈들을 갖는 예시의 플라즈마 활성화된 CFD SiO2 사이의 비교들을 도시한다. 도 8 내지 도 10에 제시된 플라즈마 활성화된 CFD 막들은 각각 0.5초의 TEOS 크랙킹 플라즈마 서브페이즈들의 변화하는 수를 사용하여 그리고 각각 1 내지 3초 사이의 단일 산소 반응성 플라즈마 서브페이즈를 사용하여 180℃에서 성막되었다.
도 8은 상술한 플라즈마 활성화된 CFD 프로세스에 대한 성막 레이트 비교 (800) 를 나타낸다. 성막 레이트 트레이스 (802) 는 1초 산소 반응성 플라즈마 서브페이즈를 갖는 플라즈마 활성화된 CFD 프로세스들에 대하여 TEOS 크랙킹 플라즈마 서브페이즈들의 수에 대한 성막 레이트의 의존성을 나타낸다. 성막 레이트 트레이스 (804) 는 2초 산소 반응성 플라즈마 서브페이즈를 갖는 플라즈마 활성화된 CFD 프로세스들에 대하여 TEOS 크랙킹 플라즈마 서브페이즈들의 수에 대한 성막 레이트의 의존성을 나타낸다. 트레이스들 (802 및 804) 의 비교는, 성막 레이트가 TEOS 플라즈마 서브페이즈들의 수에 대략 선형으로 의존하는 것을 표시하여, 크랙킹 페이즈에서 생성되는 TEOS 전구체 라디칼들의 농도에 관하여 프로세스가 대략 1차인 것을 제시한다. 또한, 트레이스들 (802 및 804) 의 비교는, 성막 레이트가 반응성 산소 플라즈마 서브페이즈의 기간에 실리적으로 의존하지 않는다는 것을 표시한다. 3초 산소 반응성 플라즈마 서브페이즈 및 5개의 TEOS 크랙킹 서브페이즈들을 갖는 플라즈마 활성화된 CFD 프로세스에 대한 성막 레이트를 나타내는 성막 레이트 데이터 포인트 (806) 는, 이 표시들과 일치한다.
예시의 플라즈마 활성화된 CFD 실리콘 산화물막들에 대한 성막 레이트가 반응성 산소 플라즈마 서브페이즈의 기간에 실질적으로 의존하지 않는 것을 나타내지만, 반응성 산소 플라즈마 서브페이즈의 기간의 변동은 그러한 막들의 전기적 특성들에 영향을 미칠 수 있다. 도 9는 상술한 플라즈마 활성화된 CFD 프로세스들에 대한 브레이크다운 전압 비교 (900) 를 나타낸다. 브레이크다운 전압 트레이스 (902) 는 1초 산소 반응성 플라즈마 서브페이즈를 갖는 플라즈마 활성화된 CFD 프로세스들에 대하여 TEOS 크랙킹 플라즈마 서브페이즈들의 수에 대한 브레이크다운 전압의 의존성을 나타낸다. 브레이크다운 전압 트레이스 (902) 는, 산소 반응성 플라즈마 서브페이즈들의 기간을 연장하지 않으면서 TEOS 크랙킹 플라즈마 서브페이즈들의 수를 연장하면 막들의 브레이크다운 전압의 증가를 야기하는 것을 표시한다. 브레이크다운 전압 트레이스 (904) 는 2초 산소 반응성 플라즈마 서브페이즈를 갖는 플라즈마 활성화된 CFD 프로세스들에 대하여 TEOS 크랙킹 플라즈마 서브페이즈들의 수에 대한 브레이크다운 전압의 의존성을 나타낸다. 브레이크다운 전압 트레이스 (904) 는 반응성 산소 플라즈마의 기간을 연장함으로써 더 낮은 브레이크전압 값들이 회복될 수 있음을 제시한다. 3초 산소 반응성 플라즈마 서브페이즈 및 5개의 TEOS 크랙킹 플라즈마 서브페이즈들을 갖는 플라즈마 활성화된 CFD 프로세스에 대한 브레이크다운 전압을 나타내는 브레이크다운 전압 데이터 포인트 (906) 는 이러한 관찰들과 일치한다.
또한, 반응성 산소 플라즈마 서브페이즈의 기간의 변동이 상기 논의된 예시의 플라즈마 활성화된 CFD 실리콘 산화물막들의 물리적 특성들에 영향을 미칠 수 있다. 도 10은 상술한 플라즈마 활성화된 CFD 프로세스들에 대한 막 스트레스 비교 (1000) 를 나타낸다. 막 스트레스 트레이스 (1002) 는 1초 산소 반응성 플라즈마 서브페이즈를 갖는 플라즈마 활성화된 CFD 프로세스들에 대하여 TEOS 크랙킹 플라즈마 서브페이즈들의 수에 대한 막 스트레스의 의존성을 나타낸다. 막 스트레스 트레이스 (1002) 는 산소 반응성 플라즈마 서브페이즈들의 기간을 연장하지 않으면서 TEOS 크랙킹 플라즈마 서브페이즈들의 수를 연장하면 막 스트레스가 덜 압축적이게 될 수 있음을 표시한다. 막 스트레스 트레이스 (1004) 는 2초 산소 반응성 플라즈마 서브페이즈를 갖는 플라즈마 활성화된 CFD 프로세스들에 대하여 TEOS 크랙킹 플라즈마 서브페이즈들의 수에 대한 막 스트레스의 의존성을 나타낸다. 막 스트레스 데이터 포인트 (1006) 은 3초 산소 반응성 플라즈마 서브페이즈 및 5개의 TEOS 크랙킹 플라즈마 서브페이즈들을 갖는 플라즈마 활성화된 CFD 프로세스에 대한 막 스트레스를 나타낸다. 막 스트레스 트레이스 (1004) 및 막 스트레스 데이터 포인트 (1006) 는, TEOS 크랙킹 플라즈마 서브페이즈들의 수가 증가함에 따라 반응성 산소 플라즈마의 기간을 연장함으로써 보다 압축적인 막 스트레스 값들이 달성될 수 있음을 제시한다.
상술한 막 성막, 전기적 및 물리적 특성들이 TEOS 및 산소로부터 실리콘 산화물막을 성막하는 플라즈마 활성화된 CFD 프로세스와 관련되지만, 상술한 접근들은 본 개시물의 범위를 벗어나지 않으면서 임의의 다른 적절한 전구체들 및/또는 반응물들로부터 다른 적절한 막들의 성막에 적용될 수 있음을 알게 된다.
또한, 일부 실시형태들에 있어서, 하나 이상의 포스트 성막 막 처리들을 통해, 물리적 및 전기적 막 특성들의 조정이 달성될 수 있다. 도 2의 설명에서 도입된 바와 같이, 포스트 성막 페이즈 (222) 는 성막된 막에 다양한 플라즈마 및/또는 열 처리들을 제공할 수 있다. 일부 실시형태들에 있어서, 포스트 성막 처리는 플라즈마 및/또는 열적으로 활성화된 반응물에 의한 추가 처리를 포함할 수 있다. 일부 실시형태들에 있어서, 도펀트 헤테로원자들을 포함하는 처리 반응물은 도핑 반응물로부터 생성된 도핑 플라즈마를 통해 성막된 막으로 결합될 수 있다. 이에 따라, 실리콘 산화물막이 성막된 일 시나리오에 있어서, 질소 함유 처리 반응물이 질소로 막을 도핑하기 위해 사용되어 잠재적으로 실리콘 산질화물막을 생성할 수 있으며; 탄소 함유 처리 반응물이 탄소로 막을 도핑하기 위해 사용되어 잠재적으로 실리콘 산화탄화물막을 생성할 수 있으며; 붕소 함유 처리 반응물이 붕소로 막을 도핑하기 위해 사용되어 잠재적으로 실리콘 산화붕소화물막을 생성할 수 있다.
예를 들어, 도 11은 컨포멀 박막을 성막하는 플라즈마 활성화된 CFD 프로세스의 일 실시형태에 대한 타이밍 다이어그램 (1100) 을 나타낸다. 도 11에 나타낸 바와 같이, 타이밍 다이어그램 (1100) 은 좌측에서 우측으로 진행하는 프로세스 페이즈들의 시간적 순서로 배열된 일련의 프로세스 파라미터들을 포함한다.
도 11에 나타낸 예에 있어서, 포스트 성막 페이즈 (222) 는 처리 프리플라즈마 서브페이즈 (1102) 및 처리 플라즈마 서브페이즈들 (1104A-C) 의 일 순서를 포함한다. 처리 반응물 및 비활성 가스는 처리 프리플라즈마 서브페이즈 (1102) 동안 제어된 레이트들로 프로세스 스테이션에 공급된다. 처리 프리플라즈마 서브페이즈 (1102) 는 적절한 막 처리 조건들로 조정되도록 프로세스 스테이션 온도 및/또는 압력에 기회를 제공할 수도 있고, 안정화를 위해 처리 반응물의 플로우에 기회를 제공할 수도 있다.
도 11은 일정한 플로우 레이트들을 갖는 것으로서 처리 반응물 및 비활성 가스를 도시하지만, 본 개시물의 범위 내에서 임의의 적절한 제어된 플로우가 채용될 수 있음을 알게 된다. 일 예에 있어서, 처리 반응물 및/또는 비활성 성분은 가변성 플로우 레이트로 공급될 수 있다.
도 11에 나타낸 예에 있어서, 처리 프리플라즈마 서브페이즈 (1102) 에 이어 처리 플라즈마 서브페이즈들 (1104) 의 일 순서가 수행된다. 도 11에 나타낸 바와 같이, 고주파 처리 플라즈마 서브페이즈 (1104A) 다음에 저주파 처리 플라즈마 서브페이즈 (1104B) 가 수행되며, 그 다음에는 다른 고주파 처리 플라즈마 서브페이즈 (1104C) 가 수행된다. 처리 플라즈마 서브페이즈들 (1104) 을 순서화하면 고주파 플라즈마 서브페이즈 동안 처리 반응물 종들의 생성을 비교적 증가시킬 수 있으며 저주파 플라즈마 서브페이즈들 동안 기판 표면으로의 그러한 종들의 전달을 비교적 강화시킬 수 있다.
일부 실시형태들에 있어서, 도 11에 나타낸 처리 플라즈마 서브페이즈들 (1104) 의 예시의 순서가 고주파 및 저주파 처리 플라즈마 서브페이즈들의 임의의 적절한 수에 의해 연장될 수도 있고, 또는 처리 플라즈마 서브페이즈들 (1104) 이 고주파 및 저주파 처리 플라즈마 서브페이즈들의 단일 순서를 포함할 수도 있음을 알게 된다. 일부 실시형태들에 있어서, 포스트 성막 페이즈 (222) 는 고주파 또는 저주파 처리 플라즈마를 포함하는 단일 처리 플라즈마 서브페이즈 (1104), 또는 동시에 발생하는 고주파 및 저주파 플라즈마 처리를 포함할 수 있다.
일부 실시형태들에 있어서, 동시 발생하는 고주파 및 저주파 플라즈마를 포함하는 듀얼 주파수 처리 플라즈마 서브페이즈가 포스트 성막 페이즈 (222) 동안 고주파 또는 저주파 처리 플라즈마 중 어느 하나를 포함하는 단일 주파수 처리 플라즈마 서브페이즈와 교번될 수 있다. 또한, 하나 이상의 처리 플라즈마 서브페이즈들 (1104) 이 임의의 적절한 기간을 가질 수도 있음을 알게 된다.
상술한 플라즈마 활성화된 CFD 프로세스들의 실시형태들이 집적된 제조 프로세스 플로우 내의 다양한 포인트들에서 컨포멀 박막들을 성막하고 처리하기 위해 사용될 수 있음을 알게 된다. 일부 실시형태들에 있어서, 플라즈마 활성화된 CFD 프로세스들이, 라이너층, 스페이서층, 식각 정지층, 하드마스크 및 반사방지층과 같은 컨포멀 유전체 박층을 성막하기 위해 사용될 수 있다. 하기에서 설명되는 하나의 특정 실시형태에 있어서, 플라즈마 활성화된 CFD 프로세스를 통해 성막된 실리콘 산화물막은 쓰루 실리콘 비아에 대한 유전체 라이너로서 사용될 수 있다.
쓰루 실리콘 비아 (TSV) 는 복수의 실리콘 기판들로부터 3차원 집적된 디바이스로 디바이스들을 적층함으로써 반도체 디바이스들의 프로세싱 용량을 확장하는 것에 대한 접근을 제공한다. 일반적으로, 하나 이상의 TSV는 박형화되고 스택으로 함께 접합되는 복수의 다이를 상호접속하기 위해 사용될 수 있다. 이에 따라, 패키지된 디바이스는 TSV를 사용하여 단일의 3차원 디바이스에 전기적으로 접속된 2 이상의 일반적인 2차원 집적된 디바이스들을 포함할 수 있다.
도 12는 실리콘 기판 (1206) 의 상부 표면 (1204) 에서 개구 (1202) 를 포함하는 일 예의 TSV (1200) 를 나타낸다. 또한, TSV (1200) 은 상부 표면 (1204) 및 저부 (1210) 로부터 내측으로 연장하는 측벽 (1208) 을 포함한다. 복수의 저부 코너들 (1212) 이 측벽 (1208) 과 저부 (1210) 가 만나는 곳에 형성된다.
TSV는 플라즈마 식각 기술들을 사용하여 형성될 수 있다. 예를 들어, TSV (1200) 은 실리콘 기판 (1206) 의 반응성 이온 식각에 의해 형성될 수 있다. TSV는 구리와 같은 금속으로 충진되어 적층된 기판들의 전기적 상호접속을 일으킨다. 이에 따라, TSV (1200) 는 임의의 적절한 금속화 기술, 예컨대 전자충진 프로세스 또는 무전해 금속화 프로세스를 통해 구리 (미도시) 로 충진될 수 있다.
구리는 실리콘 내에서 쉽게 이동하기 때문에, TSV는 일반적으로 구리 TSV와 실리콘 기판을 전기적으로 및 물리적으로 절연시키기 위해 유전체 라이너층을 포함한다. 도 12에 나타낸 바와 같이, TSV (1200) 는 측벽 (1208) 및 저부 (1210) 를 피복하는 유전체 박층 (1214) 을 포함한다.
TSV 는 집적된 회로 아키텍쳐를 통과하고 실리콘 기판을 관통하기 때문에, 디자인 및/또는 제조룰들은 제조 프로세스에서 TSV가 삽입될 수 있는 곳을 결정할 수 있다. 이에 따라, TSV가 프론트 엔드 및/또는 백엔드 제조 프로세스 내의 다양한 포인트들에서 형성될 수 있음을 알게 된다. 일반적으로, 백엔드 프로세스들은 금속 상호접속을 손상시키는 것을 회피하기 위해 천정 온도로 처리된다. 예를 들어, 아이솔레이션층 성막 동안의 열적 프로세싱이 200℃ 미만에서 발생될 수 있다. 이에 따라, 비교적 낮은 온도에서 TSV를 형성하고 충진할 수 있는 프로세스들은 제조 프로세스들에서 넓은 수용성을 얻을 수 있다.
TSV는 실리콘 기판의 두께의 실질적 부분을 통해 연장할 수 있기 때문에, TSV가 10:1 이상의 애스팩트비를 나타낼 수 있다. 예를 들어, TSV는 대략 6 마이크론의 개구 및 대략 60 마이크론의 깊이를 가질 수 있다. 일부 ALD 접근들은 이러한 넓은 표면에 걸쳐 성막 레이트에 의해 제한되어 매우 긴 성막 시간들을 유도할 수 있다. 유전체 아이솔레이션층을 성막하기 위한 일부 CVD 접근들은 일부 ALD 프로세스들보다 더 빠를 수 있지만, 일부 CVD 접근들은 이러한 애스팩트비에서 수송 및 움직이는 장벽들에 의해 방해받을 수 있어서, TSV의 저부 코너에서 얇은 커버리지를 잠재적으로 유도할 수 있다.
따라서, 일부 실시형태들에 있어서, 플라즈마 활성화된 CFD 유전체막들이 TSV에서 컨포멀 라이너 박층으로써 성막될 수 있다. 본 명세서에서 사용되는 바와 같이, 저부 코너 커버리지는 기판의 상부 표면 상에서와 같은 개방 필드에서 막 측정의 두께에 의해 분할된 TSV의 저부 코너에서 측정된 막의 두께로서 정의된다. 이에 따라, 저부 코너 커버리지 비율이 더 높아질 수록, TSV 의 저부에서의 막이 더 두꺼워지며, 이로써 막은 더 컨포멀하게 된다.
도 13은 상이한 TSV 애스팩트비들에서 TSV 저부 코너 커버리지 비율들의 비교 (1300) 를 나타낸다. 도 13에 나타낸 바와 같이, 트레이스 (1302) 로 나타낸 180℃ 에서 TEOS 및 산소를 사용하여 성막된 예시의 플라즈마 활성화된 CFD SiO2 막들은, 트레이스 (1304) 로 나타낸 일부 TEOS계 PECVD 프로세스들에 의해 동일한 온도에서 성막된 예시의 SiO2막들과 비교할 때, 10:1 까지의 애스팩트비에 대해 더 높은 저부 코너 커버리지를 지속적으로 제공할 수 있다. 도 13에 나타낸 바와 같이, 180℃에서 성막된 일 예의 플라즈마 활성화된 CFD SiO2막은 10:1 애스팩트비를 갖는 TSV에 대략 25% 저부 코너 커버리지를 제공할 수 있다. 동일한 애스팩트비에 대하여, 180℃에서 성막된 PECVD SiO2 막은 대략 6%의 저부 코너 커버리지만을 제공할 수 있다.
일부 실시형태들에 있어서, 플라즈마 활성화된 CFD 프로세스들은 또한 제조 프로세스에서 조기에 발생할 수 있는 것들과 같은 높은 온도 조건들 하에서 PECVD 프로세스들보다 비교적 더 우수한 스텝 커버리지를 제공할 수 있다. 도 14는 애스팩트비의 함수로서 크랙킹 플라즈마 서브페이즈들의 상이한 수들을 갖는 예시의 플라즈마 활성화된 CFD SiO2막들에 대한 TSV 저부 코너 커버리지 비율들의 비교 (1400) 를 나타낸다. 도 14에 나타낸 각각의 막은 400℃에서 성막되었다.
도 13 및 도 14의 비교가, 일부 예시의 플라즈마 활성화된 CFD SiO2 막의 온도가 증가함에 따라 저부 코너 커버리지가 감소할 수 있는 것을 나타내지만, 도 14에 제시된 데이터는 성막 사이클들 동안 크랙킹 플라즈마 서브페이즈들의 수를 증가시킴으로써 400℃에서 저부 코너 커버리지가 증가될 수 있음을 제시한다. 도 14에 나타낸 바와 같이, 10:1 애스팩트비를 갖는 TSV에 대하여, 저부 코너 커버리지는 각각의 성막 사이클 동안 단일의 크랙킹 플라즈마 페이즈를 사용하여 성막된 일 예의 플라즈마 활성화된 CFD SiO2막에 대하여 대략 9% (커버리지 트레이스 (1402) 로 나타냄) 에서 각각의 성막 사이클 동안 3개의 크랙킹 플라즈마 서브페이즈들을 사용하여 성막된 일 예의 플라즈마 활성화된 CFD SiO2막에 대해 대략 12% (커버리지 트레이스 (1404) 로 나타냄) 까지 증가할 수 있다. 각각의 성막 사이클에서 5개의 크랙킹 플라즈마 서브페이즈들을 포함하면 일부 예시의 플라즈마 활성화된 CFD SiO2막들에 대하여 저부 코너 커버리지를 대략 17% (커버리지 트레이스 (1406) 으로 나타냄) 까지 증가시킬 수 있다. 이에 따라, 일부 실시형태들에 있어서, 크랙킹 플라즈마 서브페이즈들의 수를 증가시키면 성막된 막의 저부 코너 커버리지를 비교적 증가시킬 수 있다.
일부 실시형태들에 있어서, 2 이상의 크랙킹 플라즈마 서브페이즈들을 포함하면 더 낮은 프로세스 온도들에서 스텝 커버리지를 또한 증가시킬 수 있다. 도 15는 180℃에서 성막되고 크랙킹 플라즈마 서브페이즈들의 상이한 수를 갖는 예시의 플라즈마 활성화된 CFD 실리콘 산화물막들에 대하여 기판 후면측 막 두께에 대한 기판 전면측 막 두께의 커버리지의 비교 (1500) 를 나타낸다. 본 명세서에서 사용되는 바와 같이, 상대적인 커버리지는 전면 측 에지 두께에 의해 분할된 국부적인 후면측 막두께로서 정의된다.
도 15는 일 예의 300㎜ 실리콘 웨이퍼 기판 상에 방사형 위치의 함수로서 상대적인 커버리지를 나타내며, 여기서 150㎜ 는 기판의 에지에서의 위치를 나타내고 0㎜ 는 기판의 중앙의 위치를 나타낸다. 일부 프로세스 스테이션들에 있어서, 기판은 기판 홀더 상에 놓일 수 있다. 일부 프로세스 가스들이 기판과 기판 홀더 사이에 존재하는 작은 갭 내에서 확산할 수 있어서 성막된 막이 기판 에지 주위를 감쌀 수 있고 기판 후면측의 일 부분을 따라 내측으로 연장할 수 있다. 이에 따라, 후면측 막이 에지로부터 내측으로 연장하는 정도를 측정하면 막 성막 프로세스의 스텝 커버리지 특성들을 근사화할 수 있다.
일부 실시형태들에 있어서, 각각의 성막 사이클에서 크랙킹 플라즈마 서브페이즈들의 수를 증가시키면 후면측 막 성막을 증가시킬 수 있어서, 기판 에지 주위를 막이 더 감쌀 수 있으며 또한 기판 에지로부터 막이 더 멀리 연장할 수 있다. 도 15에 나타낸 바와 같이, 적은 후면측 막 성막은 트레이스 (1502) 로 나타낸 바와 같이, 각각의 성막 사이클에서 단일의 크랙킹 플라즈마 서브페이즈를 갖는 플라즈마 활성화된 CFD SiO2 프로세스들을 야기할 수 있다. 도 15에 나타낸 예에 계속해서, 각각의 성막 사이클에서 2개의 크랙킹 플라즈마 서브페이즈들 (트레이스 1504) 을 포함하면 일부 실시형태들에 있어서 웨이퍼 에지에 부가적인 후면측 막을 양산할 수 있다. 또한, 도 15에 나타낸 데이터는, 일부 예들에 있어서, 각각의 성막 사이클에서 3개의 크랙킹 플라즈마 서브페이즈들 (트레이스 1506) 을 포함하면, 에지에서 후면측 막 성막의 두께를 증가시킬 수 있으며 후면측 막 성막의 내측으로의 연장을 증가시킬 수 있다. 도 15에 나타낸 예에 계속해서, 일부 실시형태들에 있어서, 후면측 막 성막의 내측으로의 연장은 각각의 성막 사이클에서 4 또는 5개의 크랙킹 플라즈마 서브페이즈들 (각각, 트레이스들 1508 및 1510) 을 포함하는 것에 의해 더욱 증가될 수 있다. 이에 따라, 일부 실시형태들에 있어서, 2 이상의 크랙킹 플라즈마 서브페이즈들을 포함하면 성막된 막에 대하여 스텝 커버리지를 증가시킬 수 있다.
상술한 성막 프로세스들은 임의의 적절한 프로세스 스테이션 상에서 수행될 수 있다. 예를 들어, 적절한 프로세스 스테이션은 프로세싱 동작들을 달성하기 위한 하드웨어 및 본 발명에 따른 프로세스 동작들을 제어하기 위한 명령들을 갖는 시스템 제어기를 포함할 수 있다. 일부 실시형태들에 있어서, 하드웨어는 프로세스 툴에 포함된 하나 이상의 프로세스 스테이션들을 포함할 수 있다.
도 16은 일 예의 프로세스 스테이션 (1600) 을 개략적으로 나타낸다. 간략화를 위해, 프로세스 스테이션 (1600) 은 저압 환경을 유지하기 위해 프로세스 챔버 바디 (1672) 를 갖는 독립형 프로세스 스테이션으로서 도시된다. 그러나, 복수의 프로세스 스테이션들 (1600) 이 통상의 저압 프로세스 툴 환경에 포함될 수도 있음을 알게 된다. 프로세스 스테이션 (1600) 은, 프로세스 스테이션 (1600) 으로의 전달을 위해, 비활성 가스들, 전구체들, 반응물들 및 처리 반응물들과 같은 프로세스 가스들을 제공하는 프로세스 가스 전달 라인 (1674) 을 포함한다. 도 16에 나타낸 예에 있어서, 샤워 헤드 (1678) 가 프로세스 스테이션 (1600) 내에서 프로세스 가스들을 분산시키기 위해 포함된다. 기판 (1686) 은 샤워 헤드 (1678) 아래에 위치되고 페데스탈 (1682) 에 의해 지지되는 홀더 (1680) 상에 놓여있는 것을 나타낸다. 일부 실시형태들에 있어서, 페데스탈 (1682) 은 수직축 주위를 회전하도록 구성될 수 있다. 부가적으로 또는 대안으로, 페데스탈 (1682) 은 수평으로 및/또는 수직으로 옮겨지도록 구성될 수 있다.
일부 실시형태들에 있어서, 샤워 헤드 (1678) 는 복수의 세트의 가스 분배 홀들을 갖는 듀얼 플래늄 또는 멀티 플래늄 샤워 헤드일 수 있다. 예를 들어, 제 1 세트의 가스 분배 홀들은 제 1 프로세스 가스 전달 라인으로부터 가스를 수용할 수 있고, 제 2 세트의 가스 분배 홀들은 제 2 프로세스 가스 전달 라인으로부터 가스를 수용할 수 있다. 이러한 프로세스 가스들의 물리적 격리는 샤워 헤드 (1678) 의 프로세스 가스 전달 배관 업스트림에서 양립할 수 없는 프로세스의 반응으로부터 발생되는 작은 파티클을 감소시키는 접근을 제공할 수 있다.
샤워 헤드 (1678) 및 홀더 (1680) 는 플라즈마 (1692) 에 전력을 공급하기 위해 매칭 네트워크 (1690) 및 RF 전력 공급기 (1688) 와 전기적으로 통신한다. 플라즈마 (1692) 는 샤워 헤드 (1678) 및 홀더 (1680) 에 인접하여 위치된 플라즈마 시스 (1694) 에 의해 포함될 수 있다. 도 16이 용량 결합형 플라즈마를 도시하지만, 플라즈마 (1692) 는 임의의 적절한 플라즈마 소스에 의해 발생될 수 있다. 예를 들어, 플라즈마 (1692) 는 평행판 플라즈마 소스, 유도 결합형 플라즈마 소스, 헬리콘파 플라즈마 소스, 전자 사이클론 공명 플라즈마 소스, 마그네트론 강화형 플라즈마 소스 및 직류 전류 글로우 방전 플라즈마 소스 중 하나 이상을 포함할 수 있다.
도 16에 나타낸 실시형태에 있어서, RF 전력 공급기 (1688) 는 임의의 적절한 주파수의 RF 전력을 제공할 수 있다. 일부 실시형태들에 있어서, RF 전력 공급기 (1688) 는 고주파 RF 전력 소스 및 저주파 RF 전력 소스를 서로 독립적으로 제어하도록 구성될 수 있다. 저주파 RF 전력들의 예는, 200kHz 내지 1000kHz 의 주파수들을 포함할 수 있지만, 이에 한정되지 않는다. 예시의 고주파 RF 전력들은 13.56 MHz 내지 80MHz 의 주파수들을 포함할 수 있지만, 이에 한정되지 않는다. 마찬가지로, RF 전력 공급기 (1688) 및 매칭 네트워크 (1690) 는 플라즈마 (1692) 를 형성하도록 임의의 적절한 전력에서 동작될 수 있다. 적절한 전력들의 예들은, 고주파 플라즈마에 대한 100W 내지 3000W의 전력들 및 저주파 플라즈마에 대한 100W 내지 10000W의 전력들을 포함하지만, 이에 한정되지 않는다. RF 전력 공급기 (1688) 는 임의의 적정한 듀티 사이클로 동작될 수 있다. 적절한 듀티 사이클의 예들은, 5% 내지 90% 의 듀티 사이클을 포함하지만, 이에 한정되지 않는다.
일부 실시형태들에 있어서, 홀더 (1680) 는 히터 (1684) 를 통해 온도 제어될 수 있다. 또한, 일부 실시형태들에 있어서, 프로세스 스테이션 (1600) 에 대한 압력 제어는 버터플라이 밸브 (1696) 또는 임의의 다른 적절한 압력 제어 디바이스에 의해 제공될 수 있다. 도 16에 나타낸 바와 같이, 버터플라이 밸브 (1696) 는 프로세스 스테이션 배출 라인 (1698) 에 유체 커플링된 진공 펌프 (미도시) 에 의해 제공된 진공을 조절 (throttle) 한다. 그러나, 일부 실시형태들에 있어서, 프로세스 스테이션 (1600) 의 압력 제어는 프로세스 스테이션 (1600) 으로 도입되는 하나 이상의 가스들의 유량을 변화시킴으로써 또한 조절될 수도 있다.
상술한 바와 같이, 하나 이상의 프로세스 스테이션들은 다중 스테이션 프로세스 툴에 포함될 수 있다.
도 17은 인바운드 로드록 (1702) 및 아웃바운드 로드록 (1704) 을 갖는 다른 다중 스테이션 프로세싱 툴 (1700) 의 일 실시형태의 개략도를 나타낸다. 로봇 (1706) 은, 대기 압력에서, 포드 (1708) 를 통해 로딩되는 카세트로부터 대기 포트 (1710) 를 통해 인바운드 로드록 (1702) 으로 기판들을 이동하도록 구성된다. 기판은 인바운드 로드록 (1702) 내의 로드록 기판 홀더 (1712) 상에 로봇 (1706) 에 의해 배치되고, 대기 포트 (1710) 은 폐쇄되며, 로드록은 펌프 다운된다. 인바운드 로드록 (1702) 이 리모트 플라즈마 소스를 포함하는 경우, 기판은 프로세싱 챔버 (1714) 로 도입되기 전에 로드록에서 리모트 플라즈마 처리에 대해 노출될 수 있다. 게다가, 기판은 또한 인바운드 로드록 (1702) 내에서 가열될 수도 있어서, 또한 예를 들어 수분 및 흡착된 가스들을 제거한다.
다음, 프로세싱 챔버 (1714) 에 대한 챔버 이송 포트 (1716) 가 개방되고, 다른 로봇 (미도시) 이 프로세싱을 위한 제 1 프로세스 스테이션의 페데스탈 상의 반응기 내부로 기판을 배치한다. 도 17에 나타낸 실시형태는 로드록들을 포함하지만, 일부 실시형태들에 있어서, 프로세스 스테이션으로의 기판의 직접적인 진입이 제공될 수도 있음을 알게된다.
도시된 프로세싱 챔버 (1714) 는 도 17에 나타낸 실시형태에서 1 내지 4로 넘버링된 4개의 프로세스 스테이션들을 포함한다. 도 17에 도시된 각각의 스테이션은 프로세스 스테이션 기판 홀더 (스테이션 1에 대해 1718로 도시됨) 및 프로세스 가스 전달 라인 인렛들을 포함한다. 일부 실시형태들에 있어서, 하나 이상의 프로세스 스테이션 기판 홀더들 (1718) 은 가열될 수 있다.
일부 실시형태들에 있어서, 각각의 프로세스 스테이션은 상이하거나 복수의 목적들을 가질 수 있다. 예를 들어, 프로세스 스테이션은 플라즈마 활성화된 CFD 및 PECVD 프로세스 모드 사이에서 전환 가능할 수 있다. 부가적으로 또는 대안으로, 일부 실시형태들에 있어서, 프로세싱 챔버 (1714) 는 플라즈마 활성화된 CFD 및 PECVD 프로세스 스테이션들의 하나 이상의 매칭된 쌍들을 포함할 수 있다. 다른 예에 있어서, 프로세스 스테이션은 2 이상의 막 유형들 사이에서 전환 가능할 수 있어서, 상이한 막 유형들의 스택들이 동일한 프로세스 챔버 내에서 성막될 수 있다.
도시된 프로세싱 챔버 (1714) 는 4개의 스테이션들을 포함하지만, 본 개시물에 따른 프로세싱 챔버가 임의의 적절한 수의 스테이션들을 가질 수 있음을 이해하게 된다. 예를 들어, 일부 실시형태들에 있어서, 프로세싱 챔버는 5개 이상의 스테이션들을 가질 수 있지만, 다른 실시형태들에서 프로세싱 챔버는 3개 이하의 스테이션들을 가질 수 있다.
또한, 도 17은 프로세싱 챔버 (1714) 내에서 기판들을 전달하기 위한 기판 핸들링 시스템 (1790) 의 일 실시형태를 도시한다. 일부 실시형태들에 있어서, 기판 핸들링 시스템 (1790) 은 다양한 프로세스 스테이션들 사이 및/또는 프로세스 스테이션과 로드록 사이에서 기판들을 전달하도록 구성될 수 있다. 임의의 적절한 기판 핸들링 시스템이 채용될 수 있음을 알게 된다. 한정이 아닌 예들은 기판 캐러셀들 (carousels) 및 기판 핸들링 로봇들을 포함한다.
또한, 도 17은 장치가 본 개시물에 따른 방법을 수행하게 되도록 프로세싱 툴 (1700) 의 하드웨어 상태들 및 프로세스 조건들을 제어하기 위해 채용된 시스템 제어기 (1750) 의 일 실시형태를 도시한다. 시스템 제어기 (1750) 는 하나 이상의 메모리 디바이스들 (1756), 하나 이상의 대용량 저장 디바이스들 (1754), 및 하나 이상의 프로세서들 (1752) 을 포함할 수 있다. 프로세서 (1752) 는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 접속들, 스텝퍼 모토 제어기 보드들 등을 포함할 수 있다.
일부 실시형태들에 있어서, 시스템 제어기 (1750) 는 프로세싱 툴 (1700) 의 모든 동작들을 제어한다. 시스템 제어기 (1750) 는 대용량 저장 디바이스 (1754) 에 저장되고, 메모리 디바이스 (1756) 로 로딩되며 프로세서 (1752) 상에서 실행되는 머신 판독가능 시스템 제어 소프트웨어 (1758) 를 실행한다. 시스템 제어 소프트웨어 (1758) 는 타이밍, 가스들의 혼합, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 기판 온도, 타겟 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 포지션, 및 프로세싱 툴 (1700) 에 의해 수행되는 특정 프로세스의 다른 파라미터들을 제어하기 위한 명령들을 포함할 수 있다. 시스템 제어 소프트웨어 (1758) 는 임의의 적절한 방식으로 구성될 수 있다. 일부 실시형태들에 있어서, 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 오브젝트들이 다양한 프로세스 툴 프로세스들을 수행하기 위해 프로세스 툴 컴포넌트들의 동작을 제어하도록 기록될 수 있다. 예를 들어, 일부 실시형태들에 있어서, 시스템 제어기는 다양한 밸브들, 온도 제어기들, 플라즈마 제어기들 및 압력 제어기들을 동작하여 장치 내의 프로세스 조건들을 조정할 수 있다. 또한, 일부 실시형태들에 있어서, 다양한 프로세스 입력들 (예를 들어, 프로세스 가스들, 플라즈마 전력, 히터 전력 등) 의 제어 및/또는 공급은 공유 소스들로부터 프로세스 툴 내에 포함된 복수의 프로세스 스테이션들로 분배될 수 있다. 예를 들어, 일부 실시형태들에 있어서, 공유 플라즈마 발생기는 2 이상의 프로세스 스테이션들에 플라즈마 전력을 공급할 수 있다. 다른 예에 있어서, 공유 가스 분배 매니폴드는 2 이상의 프로세스 스테이션들에 프로세스 가스들을 공급할 수 있다.
시스템 제어 소프트웨어 (1758) 는 임의의 적절한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수 있다.
일부 실시형태들에 있어서, 시스템 제어 소프트웨어 (1758) 는 상술한 다양한 파라미터들을 제어하기 위한 입력/출력 제어 (IOC) 시퀀싱 명령들을 포함할 수 있다. 예를 들어, 플라즈마 활성화된 CFD 프로세스들의 각각의 페이즈는 시스템 제어기 (1750) 에 의해 실행을 위한 하나 이상의 명령들을 포함할 수 있다. 플라즈마 활성화된 CFD 프로세스 페이즈에 대한 프로세스 조건들을 설정하기 위한 명령들이 대응하는 플라즈마 활성화된 CFD 레시피 페이즈에 포함될 수 있다. 일부 실시형태들에 있어서, 플라즈마 활성화된 CFD 레시피 페이즈들은 순차적으로 배열되어서, 플라즈마 활성화된 CFD 프로세스 페이즈에 대한 모든 명령들이 그 프로세스 페이즈와 동시에 실행된다.
시스템 제어기 (1750) 와 연관된 대용량 저장 디바이스 (1754) 및/또는 메모리 디바이스 (1756) 상에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시형태들에 채용될 수 있다. 이러한 목적을 위한 프로그램들의 예들 또는 프로그램들의 섹션들은 기판 포지셔닝 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 프로세스 스테이션 기판 홀더 (1718) 상으로 기판을 로딩하기 위해 그리고 기판과 프로세싱 툴 (1700) 의 다른 부분들 사이의 스페이싱을 제어하기 위해 사용되는 프로세스 툴 컴포넌트들을 위한 프로그램 코드를 포함할 수 있다.
프로세스 가스 제어 프로그램은 가스 조성 및 유량을 제어하고, 옵션으로 프로세스 스테이션에서의 압력을 안정화하기 위해 성막 전에 하나 이상의 프로세스 스테이션들로 가스를 플로우 하기 위한 코드를 포함할 수 있다. 압력 제어 프로그램은, 예를 들어 프로세스 스테이션의 배출 시스템에서의 스로틀 (throttle) 밸브, 프로세스 스테이션으로의 가스 플로우 등을 조절함으로써 프로세스 스테이션에서의 압력을 제어하기 위한 코드를 포함할 수 있다.
히터 제어 프로그램은 기판을 가열하기 위해 사용되는 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수 있다. 대안으로, 히터 제어 프로그램은 기판으로의 열 전달 가스 (예컨대, 헬륨) 의 전달을 제어할 수 있다.
플라즈마 제어 프로그램은 하나 이상의 프로세스 스테이션들에서 프로세스 전극들에 인가되는 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수 있다.
일부 실시형태들에 있어서, 시스템 제어기 (1750) 과 연관된 사용자 인터페이스가 있을 수 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이, 및 포인팅 디바이스, 키보드, 터치 스크린, 마이크로폰과 같은 사용자 입력 디바이스들을 포함할 수 있다.
일부 실시형태들에 있어서, 시스템 제어기 (1750) 에 의해 조정되는 파라미터들은 프로세스 조건들과 관련될 수 있다. 한정이 아닌 예들은 프로세스 가스 조성 및 유량들, 온도, 압력, 플라즈마 조건들 (예컨대, RF 바이어스 전력 레벨들), 압력, 온도 등을 포함한다. 이러한 파라미터들은, 사용자 인터페이스를 사용하여 입력될 수 있는 레시피의 형태로 사용자에게 제공될 수 있다.
프로세스를 모니터링하는 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (1750) 의 아날로그 및/또는 디지털 입력 접속들에 의해 제공될 수 있다. 프로세스를 제어하는 신호들은 프로세싱 툴 (1700) 의 아날로그 및 디지털 출력 접속들 상으로 출력될 수 있다. 모니터링될 수 있는 프로세스 툴 센서들의 한정이 아닌 예들은 질량 유량 제어기들, 압력 센서들 (예컨대, 마노미터들), 열전쌍들 등을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들이 이러한 센서들로부터의 데이터와 함께 사용되어 프로세스 조건들을 유지할 수 있다.
시스템 제어기 (1750) 는 상술한 성막 프로세스들을 구현하기 위한 프로그램을 제공할 수 있다. 프로그램 명령들은, DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도 등과 같은 다양한 프로세스 파라미터들을 제어할 수 있다. 명령들은 본 명세서에 기재된 다양한 실시형태들에 따라 막 스택들의 인 시튜 성막을 동작하기 위해 파라미터들을 제어할 수 있다.
또한, 도 17은 스텝퍼 (1799) 의 일 실시형태를 나타낸다. 스텝퍼 (1799) 는 패턴이 포토레지스트에 전사되도록 기판에 도포된 포토레지스트를 적절한 광에 노광하기 위해 사용될 수 있다. 일부 실시형태들에 있어서, 스텝퍼 (1799) 는 또한 다른 리소그라피 패터닝 프로세스들 (예를 들어, 스텝퍼는 포토레지스트로 기판을 코팅하고, 포토레지스트를 경화하며, 포토레지스트를 현상하도록 구성될 수 있다) 을 포함할 수 있다. 대안으로, 일부 실시형태들에 있어서, 스텝퍼 (1799) 는 포토레지스트를 코팅하고 경화하며 현상하도록 구성된 적절한 리소그라피 트랙 툴과 조합하여 사용될 수도 있다. 이에 따라, 일 예에 있어서, 스텝퍼 (1799) 는 프로세싱 툴 (1700) 에 의해 성막된 컨포멀 박막을 포함하는 기판에 패턴을 전사하기 위해 사용될 수 있다. 다른 예에 있어서, 스텝퍼 (1799) 는, 프로세싱 툴 (1700) 에 의해 컨포멀 박막이 성막되기 전에 기판에 패턴을 전사하기 위해 사용될 수 있다. 예를 들어, 스텝퍼는 쓰루 실리콘 비아에 대한 패턴을 기판에 전사할 수 있다. 쓰루 실리콘 비아는 패턴에 따라 기판에 식각될 수 있으며, 후속하여 쓰루 실리콘 비아의 측벽들 및/또는 저부가 프로세싱 툴 (1700) 에 의해 성막된 컨포멀 박막에 의해 코팅될 수 있다.
상술한 다양한 하드웨어 및 방법 실시형태들은, 예를 들어 반도체 디바이스, 디스플레이, LED, 광기전 패널 등에 대하여, 리소그라피 패터닝 툴들 또는 프로세스들과 함께 사용될 수 있다. 일반적으로, 필수는 아니지만, 이러한 툴들/프로세스들은 통상의 제조 설비에서 함께 사용되거나 수행되게 된다.
도 18은, 리소그라피 패터닝의 방법 (1800) 의 일 실시형태의 플로우챠트를 나타내며, 각 단계는 다수의 가능한 툴들에 의해 인에이블된다. 도 18에 나타낸 바와 같이, 방법 (1800) 은, 1802에서, 예를 들어 스핀 온 또는 스프레이 온 툴을 사용하여 워크피스 (즉, 기판) 상에 포토레지스트를 도포하는 단계를 포함한다. 1804에서, 방법 (1800) 은, 예를 들어 핫 플레이트 또는 노 또는 다른 적절한 경화 툴을 사용하여 포토레지스트를 경화하는 단계를 포함한다. 1806에서, 방법 (1800) 은, 예를 들어 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시 또는 UV 또는 x - 레이 광에 대해 포토레지스트를 노광하는 단계를 포함한다. 1808에서, 방법 (1800) 은, 예를 들어 습식 벤치 또는 스프레이 현상기와 같은 툴을 사용하여 포토레지스트를 선택적으로 제거함으로써 포토레지스트를 패터닝하도록 포토레지스트를 현상하는 단계를 포함한다. 1810에서, 방법 (1800) 은, 예를 들어 건식 또는 플라즈마 보조 식각 툴을 사용함으로써 하부막 또는 워크피스에 레지스트 패턴을 전사하는 단계를 포함한다. 1812에서, 방법 (1800) 은, 예를 들어 RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 포토레지스트를 제거하는 단계를 포함한다. 일부 실시형태들에 있어서, 애싱가능한 하드 마스크층 (예컨대, 비정질 탄소층) 및 다른 적절한 하드 마스크 (예컨대, 반사방지층) 가 포토레지스트를 도포하기 전에 성막될 수 있다.
본 명세서에 기재된 구성들 및/또는 접근들은 사실상 예시이며, 이러한 특정 실시형태들 또는 예들은 많은 변형들이 가능하기 때문에, 제한적인 의미로 고려되지 않아야 함을 이해해야 한다. 본 명세서에 기재된 특정 루틴들 또는 방법들은 임의의 수의 프로세싱 전략들 중 하나 이상을 나타낼 수 있다. 이로써, 도시되는 다양한 동작들은 도시된 순서로, 다른 순서들로, 동시에 또는 생략된 일부 경우들로 수행될 수 있다. 마찬가지로, 상술한 프로세스들의 순서는 변경될 수 있다.
본 개시물의 청구물은 다양한 프로세스들, 시스템들 및 구성들의 모든 신규하고 비자명한 결합들 및 서브결합들과, 본 명세서에 개시된 다른 피쳐들, 기능들, 동작들 및/또는 특성들 뿐만 아니라 그 모든 등가물들을 포함한다.

Claims (23)

  1. 프로세스 스테이션을 포함하는 반도체 프로세싱 장치에서, 상기 프로세스 스테이션에 위치된 기판 상에 컨포멀 (conformal) 박막을 형성하는 방법으로서,
    제 1 페이즈에서,
    상기 기판의 표면에서 떨어져서 전구체 라디칼들을 생성하는 단계; 및
    상기 표면에 상기 전구체 라디칼들을 흡착시켜 표면 활성종들을 형성하는 단계,
    제 1 퍼지 페이즈에서, 상기 프로세스 스테이션으로부터 잔류 전구체를 퍼지하는 단계;
    제 2 페이즈에서, 상기 표면에 반응성 플라즈마를 공급하는 단계로서, 상기 반응성 플라즈마는 상기 표면 활성종들과 반응하고 상기 컨포멀 박막을 생성하도록 구성되는, 상기 반응성 플라즈마를 공급하는 단계; 및
    제 2 퍼지 페이즈에서, 상기 프로세스 스테이션으로부터 잔류 반응물을 퍼지하는 단계를 포함하는, 컨포멀 박막의 형성 방법.
  2. 제 1 항에 있어서,
    상기 제 1 페이즈 동안, 표면 활성종들의 실질적으로 컨포멀 층을 형성하는 단계를 더 포함하는, 컨포멀 박막의 형성 방법.
  3. 제 1 항에 있어서,
    상기 전구체 라디칼들을 생성하는 단계는, 고주파 직류 플라즈마에 의해 가스 상 (gas phase) 의 전구체 라디칼들을 생성하는 단계를 더 포함하는, 컨포멀 박막의 형성 방법.
  4. 제 1 항에 있어서,
    상기 제 1 페이즈 동안, 고주파 플라즈마의 기간을 조정함으로써 상기 컨포멀 박막에 대한 성막 레이트를 조정하는 단계를 더 포함하는, 컨포멀 박막의 형성 방법.
  5. 제 1 항에 있어서,
    상기 제 1 페이즈 동안, 고주파 플라즈마의 펄스들의 수를 조정함으로써, 상기 컨포멀 박막에 대한 성막 레이트를 조정하는 단계를 더 포함하는, 컨포멀 박막의 형성 방법.
  6. 제 1 항에 있어서,
    상기 제 2 페이즈 동안, 플라즈마 주파수 및 플라즈마 기간 중 하나 이상을 조정함으로써, 상기 컨포멀 박막의 전기적 특성 및 물리적 특성 중 하나 이상을 조정하는 단계를 더 포함하는, 컨포멀 박막의 형성 방법.
  7. 제 1 항에 있어서,
    상기 제 2 페이즈는, 고주파 플라즈마 및 저주파 플라즈마를 동시에 사용함으로써 상기 반응성 플라즈마를 생성하는 단계를 포함하는, 컨포멀 박막의 형성 방법.
  8. 제 7 항에 있어서,
    상기 반응성 플라즈마를 생성하는 단계는, 상기 고주파 플라즈마 및 상기 저주파 플라즈마를 동시에 펄싱하는 단계를 포함하는, 컨포멀 박막의 형성 방법.
  9. 제 1 항에 있어서,
    상기 제 2 페이즈는, 고주파 플라즈마 및 저주파 플라즈마를 교대로 펄싱함으로써 상기 반응성 플라즈마를 생성하는 단계를 포함하는, 컨포멀 박막의 형성 방법.
  10. 제 1 항에 있어서,
    제 3 페이즈에서, 도핑 플라즈마에 의해 공급된 도펀트로 상기 컨포멀 박막을 도핑하는 단계를 더 포함하고, 상기 도핑 플라즈마는 고주파 플라즈마 및 저주파 플라즈마 중 하나 이상에 의해 생성되는, 컨포멀 박막의 형성 방법.
  11. 반도체 프로세싱 장치로서,
    프로세싱 스테이션;
    상기 프로세싱 스테이션의 내측에 배치되고, 기판을 지지하도록 구성된, 기판 홀더;
    상기 프로세싱 스테이션에 유체 커플링된 플라즈마 소스; 및
    메모리에 유지된 명령들을 실행하도록 구성된 시스템 제어기를 포함하고,
    상기 명령들은,
    제 1 페이즈에서,
    상기 기판의 표면에서 떨어져서 크랙킹 플라즈마에 의해 전구체 라디칼들을 생성하는 명령들, 및
    상기 표면에 상기 전구체 라디칼들을 공급하는 명령들로서, 상기 전구체 라디칼들은 상기 표면 상에 표면 활성종들의 컨포멀 층을 형성하는, 상기 전구체 라디칼들을 공급하는 명령들;
    제 1 퍼지 페이즈에서, 상기 프로세싱 스테이션으로부터 잔류 전구체를 퍼지하는 명령들;
    제 2 페이즈에서,
    반응성 플라즈마에 의해 반응성 종들을 생성하는 명령들, 및
    상기 반응성 종들이 상기 표면 활성종들과 반응하도록 상기 표면에 상기 반응성 종들을 공급하여 컨포멀막 층을 형성하는 명령들; 및
    제 2 퍼지 페이즈에서, 상기 프로세싱 스테이션으로부터 잔류 반응물을 퍼지하는 명령들을 포함하는, 반도체 프로세싱 장치.
  12. 제 11 항에 있어서,
    상기 플라즈마 소스는, 고주파 직류 플라즈마 소스 및/또는 저주파 직류 플라즈마 소스를 포함하는, 반도체 프로세싱 장치.
  13. 제 11 항에 있어서,
    상기 플라즈마 소스는, 평행판 플라즈마 소스, 유도 결합형 플라즈마 소스, 헬리콘파 (helicon wave) 플라즈마 소스, 전자 사이클로트론 공명 플라즈마 소스, 마그네트론 강화 플라즈마 소스, 및 직류 전류 글로우 방전 플라즈마 소스 중 하나 이상을 포함하는, 반도체 프로세싱 장치.
  14. 제 11 항에 있어서,
    제 3 페이즈에서,
    고주파 플라즈마 및 저주파 플라즈마 중 하나 이상을 사용하여 상기 표면 위로 도핑 플라즈마를 생성하는 명령들로서, 상기 도핑 플라즈마는 도펀트를 포함하는, 상기 도핑 플라즈마를 생성하는 명령들; 및
    상기 컨포멀막 층을 상기 도펀트로 도핑하는 명령들을 더 포함하는, 반도체 프로세싱 장치.
  15. 집적된 반도체 디바이스 기판에서 쓰루 실리콘 비아를 충진하는 방법으로서,
    상기 쓰루 실리콘 비아는 상기 집적된 반도체 디바이스 기판의 제 1 표면 내의 개구, 상기 제 1 표면으로부터 내측으로 연장하는 측벽, 및 저부를 포함하고,
    상기 쓰루 실리콘 비아를 충진하는 방법은,
    상기 쓰루 실리콘 비아의 측벽 및 저부를 피복하는 컨포멀 유전체 박층을 형성하는 단계; 및
    상기 쓰루 실리콘 비아를 도전성 금속으로 충진하는 단계를 포함하고,
    상기 컨포멀 유전체 박층을 형성하는 단계는,
    제 1 페이즈에서, 상기 기판에서 떨어져서 전구체 라디칼들을 생성하는 단계, 및 상기 쓰루 실리콘 비아의 상기 측벽 및 저부 상에 상기 전구체 라디칼들을 흡착시키는 단계,
    제 1 퍼지 페이즈에서, 프로세스 스테이션으로부터 잔류 전구체를 퍼지하는 단계,
    제 2 페이즈에서, 상기 쓰루 실리콘 비아의 상기 측벽 및 저부에 반응성 플라즈마를 공급하는 단계로서, 상기 반응성 플라즈마는 상기 쓰루 실리콘 비아의 측벽 및 저부 상에 흡착된 상기 전구체 라디칼들과 반응하도록 구성되는, 상기 반응성 플라즈마를 공급하는 단계; 및
    제 2 퍼지 페이즈에서, 상기 프로세스 스테이션으로부터 잔류 반응물을 퍼지하는 단계를 포함하는, 쓰루 실리콘 비아의 충진 방법.
  16. 제 15 항에 있어서,
    상기 컨포멀 유전체 박층을 형성하는 단계는, 상기 컨포멀 유전체 박층의 저부 코너 두께가 상기 컨포멀 유전체 박층의 개방 필드 두께의 적어도 대략 10% 이도록, 상기 쓰루 실리콘 비아의 저부 코너에서 상기 컨포멀 유전체 박층을 성막하는 단계를 더 포함하고,
    상기 쓰루 실리콘 비아는 적어도 대략 10:1의 애스팩트 비를 갖는, 쓰루 실리콘 비아의 충진 방법.
  17. 제 15 항에 있어서,
    상기 전구체 라디칼들은 테트라에틸 오르토실리케이트를 포함하는 전구체로부터 생성되는, 쓰루 실리콘 비아의 충진 방법.
  18. 제 17 항에 있어서,
    상기 반응성 플라즈마는 원자 산소 라디칼들을 포함하는, 쓰루 실리콘 비아의 충진 방법.
  19. 제 18 항에 있어서,
    상기 컨포멀 유전체 박층을 형성하는 단계는, 적어도 대략 -131MPa의 압축 스트레스 및 적어도 대략 1.46의 굴절률을 갖는 컨포멀 유전체 박층을 형성하는 단계를 더 포함하는, 쓰루 실리콘 비아의 충진 방법.
  20. 제 15 항에 있어서,
    상기 제 1 페이즈는, 고주파 플라즈마를 사용하여 상기 전구체 라디칼들을 생성하는 단계를 포함하고,
    상기 제 2 페이즈는, 고주파 플라즈마 및 저주파 플라즈마 중 하나 이상을 사용하여 반응성 종들을 생성하는 단계를 포함하는, 쓰루 실리콘 비아의 충진 방법.
  21. 제 1 항에 있어서,
    상기 기판에 포토레지스트를 도포하는 단계;
    스텝퍼를 통해 상기 포토레지스트를 광에 노광하는 단계;
    상기 포토레지스트를 패턴에 의해 패터닝하고 상기 패턴을 상기 기판에 전사하는 단계; 및
    상기 기판으로부터 상기 포토레지스트를 선택적으로 제거하는 단계를 더 포함하는, 컨포멀 박막의 형성 방법.
  22. 반도체 프로세싱 시스템으로서,
    스텝퍼 툴; 및
    프로세싱 툴을 포함하고,
    상기 프로세싱 툴은,
    프로세싱 스테이션,
    상기 프로세싱 스테이션의 내측에 배치되고, 기판을 지지하도록 구성된 기판 홀더,
    상기 프로세싱 스테이션에 유체 커플링된 플라즈마 소스, 및
    메모리에 유지된 명령들을 실행하도록 구성된 시스템 제어기를 포함하며,
    상기 명령들은,
    제 1 페이즈에서,
    상기 기판의 표면에서 떨어져서 크랙킹 플라즈마에 의해 전구체 라디칼들을 생성하는 명령들, 및
    상기 표면에 상기 전구체 라디칼들을 공급하는 명령들로서, 상기 전구체 라디칼들은 상기 표면에 표면 활성종들의 컨포멀 층을 형성하는, 상기 전구체 라디칼들을 공급하는 명령들,
    제 1 퍼지 페이즈에서, 상기 프로세싱 스테이션으로부터 잔류 전구체를 퍼지하는 명령들,
    제 2 페이즈에서,
    반응성 플라즈마에 의해 반응성 종들을 생성하는 명령들, 및
    상기 반응성 종들이 상기 표면 활성종들과 반응하도록 상기 표면에 상기 반응성 종들을 공급하여, 컨포멀막 층을 형성하는 명령들, 및
    제 2 퍼지 페이즈에서, 상기 프로세싱 스테이션으로부터 잔류 반응물을 퍼지하는 명령들을 포함하는, 반도체 프로세싱 시스템.
  23. 기판에 쓰루 실리콘 비아를 형성하는 방법으로서,
    쓰루 실리콘 비아를 형성하는 단계; 및
    상기 쓰루 실리콘 비아의 측벽 및 저부를 피복하는 컨포멀 유전체 박층을 형성하는 단계를 포함하고,
    상기 쓰루 실리콘 비아를 형성하는 단계는,
    상기 기판에 포토레지스트를 도포하는 단계,
    상기 포토레지스트를 광에 노광하는 단계,
    상기 포토레지스트를 패턴에 의해 패터닝하고 상기 패턴을 상기 기판에 전사하는 단계, 및
    상기 기판으로부터 상기 포토레지스트를 선택적으로 제거하는 단계를 포함하고,
    상기 컨포멀 유전체 박층을 형성하는 단계는,
    제 1 페이즈에서, 상기 기판에서 떨어져서 전구체 라디칼들을 생성하는 단계, 및 상기 쓰루 실리콘 비아의 측벽 및 저부 상에 상기 전구체 라디칼들을 흡착시키는 단계,
    제 1 퍼지 페이즈에서, 프로세스 스테이션으로부터 잔류 전구체를 퍼지하는 단계,
    제 2 페이즈에서, 상기 쓰루 실리콘 비아의 상기 측벽 및 저부에 반응성 플라즈마를 공급하는 단계로서, 상기 반응성 플라즈마는 상기 쓰루 실리콘 비아의 상기 측벽 및 저부 상에 흡착된 상기 전구체 라디칼들과 반응하도록 구성된, 상기 반응성 플라즈마를 공급하는 단계, 및
    제 2 퍼지 페이즈에서, 상기 프로세스 스테이션으로부터 잔류 반응물을 퍼지하는 단계를 포함하는, 쓰루 실리콘 비아의 형성 방법.
KR1020137010291A 2010-09-23 2011-09-21 컨포멀 막들의 플라즈마 활성화된 성막 KR101913443B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US12/889,132 2010-09-23
US12/889,132 US8101531B1 (en) 2010-09-23 2010-09-23 Plasma-activated deposition of conformal films
US13/011,569 US8524612B2 (en) 2010-09-23 2011-01-21 Plasma-activated deposition of conformal films
US13/011,569 2011-01-21
PCT/US2011/052537 WO2012040317A2 (en) 2010-09-23 2011-09-21 Plasma-activated deposition of conformal films

Publications (2)

Publication Number Publication Date
KR20130115261A true KR20130115261A (ko) 2013-10-21
KR101913443B1 KR101913443B1 (ko) 2018-10-30

Family

ID=45871084

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137010291A KR101913443B1 (ko) 2010-09-23 2011-09-21 컨포멀 막들의 플라즈마 활성화된 성막

Country Status (6)

Country Link
US (2) US8524612B2 (ko)
KR (1) KR101913443B1 (ko)
CN (1) CN103119695B (ko)
SG (1) SG188537A1 (ko)
TW (2) TWI563565B (ko)
WO (1) WO2012040317A2 (ko)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150133644A (ko) * 2014-05-15 2015-11-30 램 리써치 코포레이션 멀티스테이션 기판 증착 시스템들에서 단일 ald 사이클 두께 제어
KR20160011149A (ko) * 2014-07-18 2016-01-29 램 리써치 코포레이션 실리콘 옥사이드를 증착하기 위한 방법들
KR20160069599A (ko) * 2014-12-08 2016-06-17 삼성전자주식회사 자기 메모리 소자의 제조방법
KR20160094320A (ko) * 2015-01-30 2016-08-09 어플라이드 머티어리얼스, 인코포레이티드 개선된 스텝 커버리지 유전체
KR20170044777A (ko) * 2015-10-15 2017-04-26 주식회사 테스 플라즈마를 이용하여 실리콘 산화막을 형성하는 방법
KR20180059261A (ko) * 2016-11-25 2018-06-04 주식회사 원익아이피에스 반도체 소자의 갭필 방법
KR20190088276A (ko) * 2018-01-18 2019-07-26 주성엔지니어링(주) 기판 처리 방법
US11670503B2 (en) 2015-03-20 2023-06-06 Lam Research Corporation Method of atomic layer deposition

Families Citing this family (373)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
SG10201602599XA (en) 2011-03-04 2016-05-30 Novellus Systems Inc Hybrid ceramic showerhead
US8637410B2 (en) * 2011-04-08 2014-01-28 Applied Materials, Inc. Method for metal deposition using hydrogen plasma
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US9478437B2 (en) * 2011-06-01 2016-10-25 Applied Materials, Inc. Methods for repairing low-k dielectrics using carbon plasma immersion
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8394718B1 (en) * 2011-09-12 2013-03-12 International Business Machines Corporation Methods of forming self-aligned through silicon via
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10211310B2 (en) * 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US8912091B2 (en) 2013-01-10 2014-12-16 International Business Machines Corporation Backside metal ground plane with improved metal adhesion and design structures
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9255326B2 (en) * 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
TWI627305B (zh) * 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
US10297442B2 (en) * 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
TWI654333B (zh) 2013-12-18 2019-03-21 美商蘭姆研究公司 具有均勻性折流板之半導體基板處理設備
US9305840B2 (en) * 2013-12-21 2016-04-05 Macronix International Co., Ltd. Cluster system for eliminating barrier overhang
US9290843B2 (en) 2014-02-11 2016-03-22 Lam Research Corporation Ball screw showerhead module adjuster assembly for showerhead module of semiconductor substrate processing apparatus
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10431451B2 (en) 2014-08-22 2019-10-01 Lam Research Corporation Methods and apparatuses for increasing reactor processing batch size
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
CN104459420B (zh) * 2014-12-30 2017-07-28 中国科学院微电子研究所 一种tsv孔内介质层的电学性能检测方法
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9748093B2 (en) 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US20160329206A1 (en) * 2015-05-08 2016-11-10 Lam Research Corporation Methods of modulating residual stress in thin films
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10533251B2 (en) 2015-12-31 2020-01-14 Lam Research Corporation Actuator to dynamically adjust showerhead tilt in a semiconductor processing apparatus
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US20180047692A1 (en) * 2016-08-10 2018-02-15 Amkor Technology, Inc. Method and System for Packing Optimization of Semiconductor Devices
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) * 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10190216B1 (en) 2017-07-25 2019-01-29 Lam Research Corporation Showerhead tilt mechanism
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
CN110998790A (zh) 2017-08-04 2020-04-10 朗姆研究公司 在水平表面上的选择性沉积SiN
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10763108B2 (en) * 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10460930B2 (en) * 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN108531890B (zh) * 2018-04-27 2020-06-16 华南理工大学 一种金属氧化物透明导电薄膜的制备方法及其产品和用途
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
SG11202013097VA (en) * 2018-06-29 2021-01-28 Lam Res Corp Oxidative conversion in atomic layer deposition processes
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11220424B2 (en) 2018-08-09 2022-01-11 Honeywell International Inc. Methods for increasing aspect ratios in comb structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR20220056249A (ko) 2018-10-19 2022-05-04 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10704143B1 (en) * 2019-01-25 2020-07-07 Asm Ip Holding B.V. Oxide film forming method
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
US11430654B2 (en) 2019-11-27 2022-08-30 Applied Materials, Inc. Initiation modulation for plasma deposition
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
WO2021146138A1 (en) 2020-01-15 2021-07-22 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023112171A1 (ja) * 2021-12-14 2023-06-22 日本電信電話株式会社 シリコンボライド膜の形成方法

Family Cites Families (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04364320A (ja) 1991-06-07 1992-12-16 Mitsubishi Electric Corp 脱調検出回路
TW201848B (ko) * 1991-11-08 1993-03-11 Advanced Micro Devices Inc
US5223443A (en) * 1992-02-19 1993-06-29 Integrated Device Technology, Inc. Method for determining wafer cleanliness
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
KR100721503B1 (ko) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
WO2002080244A2 (en) 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
US7250083B2 (en) 2002-03-08 2007-07-31 Sundew Technologies, Llc ALD method and apparatus
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
KR100472777B1 (ko) 2002-06-26 2005-03-10 동부전자 주식회사 박막 적층 방법
WO2004009861A2 (en) 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US7264849B2 (en) * 2003-07-11 2007-09-04 Optisolar, Inc. Roll-vortex plasma chemical vapor deposition method
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US7790633B1 (en) * 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7422636B2 (en) * 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
US7829159B2 (en) * 2005-12-16 2010-11-09 Asm Japan K.K. Method of forming organosilicon oxide film and multilayer resist structure
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7435684B1 (en) * 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090057665A (ko) 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
KR101436564B1 (ko) * 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US7745346B2 (en) * 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
KR20110084275A (ko) 2008-10-27 2011-07-21 어플라이드 머티어리얼스, 인코포레이티드 삼원 화합물의 기상 증착 방법
JP5293168B2 (ja) * 2008-12-25 2013-09-18 富士通株式会社 レジスト組成物及びそれを用いた半導体装置の製造方法
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP2011023718A (ja) * 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US8278224B1 (en) * 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8343881B2 (en) * 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8101531B1 (en) * 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150133644A (ko) * 2014-05-15 2015-11-30 램 리써치 코포레이션 멀티스테이션 기판 증착 시스템들에서 단일 ald 사이클 두께 제어
KR20160011149A (ko) * 2014-07-18 2016-01-29 램 리써치 코포레이션 실리콘 옥사이드를 증착하기 위한 방법들
KR20220127795A (ko) * 2014-07-18 2022-09-20 램 리써치 코포레이션 실리콘 옥사이드를 증착하기 위한 방법들
KR20160069599A (ko) * 2014-12-08 2016-06-17 삼성전자주식회사 자기 메모리 소자의 제조방법
US9627609B2 (en) 2014-12-08 2017-04-18 Samsung Electronics Co., Ltd Method of manufacturing a magnetic memory device
KR20160094320A (ko) * 2015-01-30 2016-08-09 어플라이드 머티어리얼스, 인코포레이티드 개선된 스텝 커버리지 유전체
US11670503B2 (en) 2015-03-20 2023-06-06 Lam Research Corporation Method of atomic layer deposition
KR20170044777A (ko) * 2015-10-15 2017-04-26 주식회사 테스 플라즈마를 이용하여 실리콘 산화막을 형성하는 방법
KR20180059261A (ko) * 2016-11-25 2018-06-04 주식회사 원익아이피에스 반도체 소자의 갭필 방법
KR20190088276A (ko) * 2018-01-18 2019-07-26 주성엔지니어링(주) 기판 처리 방법

Also Published As

Publication number Publication date
US8524612B2 (en) 2013-09-03
WO2012040317A3 (en) 2012-06-21
US20120077349A1 (en) 2012-03-29
CN103119695A (zh) 2013-05-22
US20130319329A1 (en) 2013-12-05
WO2012040317A2 (en) 2012-03-29
TWI563565B (en) 2016-12-21
SG188537A1 (en) 2013-04-30
KR101913443B1 (ko) 2018-10-30
CN103119695B (zh) 2016-08-17
TWI623976B (zh) 2018-05-11
TW201214563A (en) 2012-04-01
TW201701354A (zh) 2017-01-01

Similar Documents

Publication Publication Date Title
KR101913443B1 (ko) 컨포멀 막들의 플라즈마 활성화된 성막
US8101531B1 (en) Plasma-activated deposition of conformal films
KR102628080B1 (ko) 실리콘 옥사이드를 증착하기 위한 방법들
KR102596765B1 (ko) 캡슐화 방법
KR102281521B1 (ko) 필름 스택의 인-시츄 증착
US10580690B2 (en) Staircase encapsulation in 3D NAND fabrication
US10074543B2 (en) High dry etch rate materials for semiconductor patterning applications
KR20230039625A (ko) 저온 ald 막들을 위한 챔버 언더코팅 준비 방법
US7745346B2 (en) Method for improving process control and film conformality of PECVD film
US8709551B2 (en) Smooth silicon-containing films
TW201708597A (zh) 使用碳基膜之間隙填充
KR20160113014A (ko) 초박 원자층 증착 막 정확도 두께 제어
US20150167163A1 (en) Method of forming a pattern and substrate processing system
KR20140071402A (ko) 플라즈마 활성화된 컨포멀 유전체 막 증착
KR20210150606A (ko) 변조된 원자 층 증착

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant