KR102628080B1 - 실리콘 옥사이드를 증착하기 위한 방법들 - Google Patents

실리콘 옥사이드를 증착하기 위한 방법들 Download PDF

Info

Publication number
KR102628080B1
KR102628080B1 KR1020220114140A KR20220114140A KR102628080B1 KR 102628080 B1 KR102628080 B1 KR 102628080B1 KR 1020220114140 A KR1020220114140 A KR 1020220114140A KR 20220114140 A KR20220114140 A KR 20220114140A KR 102628080 B1 KR102628080 B1 KR 102628080B1
Authority
KR
South Korea
Prior art keywords
substrate
plasma
silicon oxide
reaction chamber
silicon
Prior art date
Application number
KR1020220114140A
Other languages
English (en)
Other versions
KR20220127795A (ko
Inventor
강후
김완기
애드리언 라보이
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220127795A publication Critical patent/KR20220127795A/ko
Application granted granted Critical
Publication of KR102628080B1 publication Critical patent/KR102628080B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Oxygen, Ozone, And Oxides In General (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)

Abstract

본 명세서의 실시예들은 PEALD (plasma enhanced atomic layer deposition_ 프로세스들에 초점을 맞춘다. 종래의 PEALD 기법들은 피처의 하단부 및 상단부에서 고품질을 갖지만, 측벽들에서 저품질을 갖는 막들을 발생시켰다. 개시된 실시예들은 막 전체에서 보다 균일한 습식 에칭 레이트들 및 전기적 특성들을 증거로 하는 보다 균일한 막 품질을 달성한다. 개시된 실시예들은 비교적 높은 증착 온도, 플라즈마를 생성하기 위해 비교적 높은 RF 전력, 및/또는 PEALD 반응의 각각의 사이클 동안 비교적 긴 RF 플라즈마 노출 지속기간 중 하나 이상을 사용할 수도 있다.

Description

실리콘 옥사이드를 증착하기 위한 방법들{METHODS FOR DEPOSITING SILICON OXIDE}
집적 회로들의 제작은 많은 다양한 프로세싱 단계들을 포함한다. 자주 이용되는 동작들 중 하나는 유전체 막의 증착이다. 막은 상대적으로 평평한 기판 상에 증착될 수도 있거나, 또는 막은 실리콘 기판들 위 또는 내로 패터닝된 피처들 사이의 갭 내로 증착될 수도 있다. 이러한 막을 증착하는 하나의 방법은 PEALD (plasma enhanced atomic layer deposition) 를 통한 방법이다. 이 유형의 방법에서, 몇몇 동작들은 컨포멀한 막을 증착하도록 순환적 방식으로 착수된다. 통상적으로, PEALD 프로세스들은 (a) 반응 챔버에 1회분 (dose) 의 제 1 반응 물질을 제공하는 단계, (b) 반응 챔버를 퍼징하는 단계, (c) 제 2 반응 물질을 반응 챔버로 흐르게 하는 단계, (d) 반응 챔버 내에서 플라즈마를 점화하는 단계, 및 (e) 플라즈마를 소화시키고 반응 챔버를 퍼징하는 단계를 포함한다. 기판 표면 상으로의 전구체 전달/흡착의 성질의 결과로서, PEALD 프로세스의 단일 사이클은 재료의 모노레이어를 증착한다. 동작들은 원하는 막 두께에 도달하기 위해서 추가의 모노레이어들을 증착하도록 복수 회 반복될 수도 있다.
본 명세서에서 다양한 실시예들은 반도체 기판들 상에 실리콘 옥사이드 막들을 형성하기 위한 방법들 및 장치들에 관한 것이다. 특정한 실시예들은 종래 방법들과 비교할 때, 상대적으로 높은 증착 온도, 상대적으로 높은 RF 전력 레벨, 및 상대적으로 긴 플라즈마 노출 지속 기간을 이용한다. 일부 경우들에서, 추가의 플라즈마 처리들은 증착 동안 주기적으로 수행될 수도 있다. 또한, 일부 경우들에서, 바이레이어가 형성될 수도 있고, 하단층이 상부층과는 상이한 조건들로 증착된다.
개시된 실시예들의 하나의 양태에서, 단일 또는 복수-스테이션 반응 챔버 내의 기판의 표면 상에 실리콘 옥사이드 막을 증착하는 방법이 제공된다. 방법은 (a) 실리콘-함유 반응 물질로 하여금 기판의 표면 상에 흡착하도록 허용하는 조건들 하에서 반응 챔버 내로 증기 상으로 실리콘-함유 반응 물질을 흐르게 하는 단계; (b) 단계 (a) 후에, 반응 챔버 내로 증기 상으로 산소-함유 반응 물질을 흐르게 하는 단계 및 실리콘 옥사이드 막을 형성하도록 실리콘-함유 반응 물질과 산소-함유 반응 물질 사이의 표면 반응을 구동하기 위해서 플라즈마에 기판의 표면을 노출시키는 단계; 및 (c) 실리콘 옥사이드 막이 목표 두께에 도달할 때까지 단계 (a) 및 단계 (b) 를 반복하는 단계를 포함하고, 산소-함유 반응 물질을 흐르게 하는 단계 및 플라즈마에 기판의 표면을 노출시키는 단계는 적어도 부분적으로 동시에 발생하고, 기판의 온도는 단계 (a) 및 단계 (b) 동안 약 435 ℃ 내지 약 550 ℃로 유지되고, 플라즈마는 기판 면적의 제곱 센티미터 당 약 2.1 Watt 내지 약 3.6 Watt의 총 RF 전력을 사용하여 생성되고, 그리고 기판의 표면은 약 2 초 내지 약 5 초의 지속 기간 동안 (b) 에서 플라즈마에 노출된다.
특정한 경우들에서, 실리콘 옥사이드 막은 약 10 이상의 종횡비를 갖는 리세스된 (recessed) 피처 내에 형성된다. 리세스된 피처의 폭은 약 2 ㎛ 내지 약 100 ㎛, 예를 들어, 약 5 ㎛ 내지 약 50 ㎛, 또는 약 5 ㎛ 내지 약 20 ㎛일 수도 있다. 리세스된 피처는 일부 경우들에서 쓰루-실리콘-비아 (through-silicon-via) 일 수도 있다. 리세스된 피처는 또한 3D-NAND 구조물의 일부를 형성할 수도 있다.
퍼지 단계들은 적절한 횟수로 도입될 수도 있다. 예를 들어, 방법은 실리콘-함유 반응 물질의 플로우가 중단된 후 그리고 산소-함유 반응 물질의 플로우가 시작되기 전에 반응 물질 후 퍼지 (post-reactant purge) 를 수행하는 단계, 및 플라즈마에 기판의 표면을 노출시킨 후에 플라즈마 후 퍼지 (post-plasma purge) 를 수행하는 단계를 포함할 수도 있다. 실리콘-함유 반응 물질은 (a) 에서 약 0.2 초 내지 약 1 초의 지속 기간 동안 반응 챔버 내로 흐를 수도 있다. 반응 물질 후 퍼지는 약 0.2 초 내지 약 1 초의 지속 기간을 가질 수도 있다. 산소-함유 반응 물질은 반응 챔버 내로 흐를 수도 있고 기판의 표면은 약 0.1 초 내지 약 0.5 초의 지속 기간 동안 플라즈마에 노출될 수도 있다. 일부 경우들에서, 실리콘-함유 반응 물질은 아미노 치환된 실란을 포함하고, 산소-함유 반응 물질은 산소, 아산화질소, 오존, 일산화탄소, 산화질소, 이산화질소, 산화유황, 이산화황, 산소-함유 탄화수소, 물, 및 그것들의 혼합물들 중 적어도 하나를 포함한다. 일부 경우들에서, 아미노 치환된 실란은 BTBAS를 포함할 수도 있다. 많은 다른 반응 물질들이 또한 사용될 수도 있다. 반응 챔버 내의 압력은 특정한 경우들에서 단계 (a) 및 단계 (b) 동안 약 2 Torr 내지 약 6 Torr로 유지될 수도 있다.
개시된 실시예들에 따라 형성된 막은 종종 상대적으로 낮은 습식 에칭 레이트들에 의해 입증된 바와 같이, 고품질 막이다. 일부 실시예들에서, 실리콘 옥사이드 막은 피처의 상단 개구부와 근접한 필드 구역에서 제 1 습식 에칭 레이트, 피처의 상단 코너에서 제 2 습식 에칭 레이트, 피처의 측벽의 중간에서 제 3 습식 에칭 레이트, 및 피처의 하단부에서의 제 4 습식 에칭 레이트를 나타내고, 제 1 습식 에칭 레이트는 제 3 습식 에칭 레이트 이상이다. 제 1 습식 에칭 레이트는 제 4 습식 에칭 레이트 이상일 수도 있는, 제 3 습식 에칭 레이트 이상일 수도 있는, 제 2 습식 에칭 레이트 이상일 수도 있다. 일부 경우들에서, 제 1 습식 에칭 레이트, 제 2 습식 에칭 레이트, 제 3 습식 에칭 레이트, 및 제 4 습식 에칭 레이트는 약 15 % 초과만큼 서로 상이하지 않다.
개시된 실시예들에 따라 형성된 막은 또한 양호한 전기적 특성들을 나타낼 수도 있다. 예를 들어, 실리콘 옥사이드 막은 약 -7.6 MC/cm 내지 약 -12.7 MC/cm의 항복 전압을 가질 수도 있다. 실리콘 옥사이드 막은 기판에 걸쳐 약 1.3 V를 넘어 차이가 나지 않는 플랫밴드 전압을 나타낼 수도 있다.
전술된 바와 같이, 방법은 또한 주기적 플라즈마 처리들을 수행하는 단계를 포함할 수도 있다. 플라즈마 처리는 처리 플라즈마 생성 가스로부터 처리 플라즈마를 생성하는 단계, 및 약 10 초 내지 약 100 초의 지속 기간 동안 처리 플라즈마 가스에 기판의 표면을 노출시키는 단계를 포함할 수도 있다. 처리 플라즈마는 막을 치밀하게 하는 효과를 가질 수도 있다. 일부 경우들에서, 처리 플라즈마 생성 가스는 산소, 산소와 아르곤의 혼합물, 또는 헬륨이다. 산소와 아르곤의 혼합물이 사용되는 경우에, 처리 플라즈마 생성 가스 내의 산소:아르곤의 비는 SLM으로 측정될 때 약 0.5:1 내지 약 2:1일 수도 있다. 플라즈마 생성 가스는 약 5 SLM 내지 약 20 SLM의 레이트로 흐를 수도 있다. 반응 챔버 내의 압력은 기판의 표면이 처리 플라즈마에 노출되는 동안 약 2 Torr 내지 약 6 Torr로 유지될 수도 있다. 일부 경우들에서, 처리 플라즈마는 기판 면적의 제곱 센티미터 당 약 0.3 Watt 내지 약 1.8 Watt의 총 RF 전력을 사용하여 생성될 수도 있다.
본 명세서에서 실시예들은 또한 바이레이어가 형성되는 경우에 관한 것이다. 예를 들어, 방법은 (d) 단계 (c) 후에, 제 2 실리콘-함유 반응 물질로 하여금 기판의 표면 상에 흡착하도록 허용하는 조건들 하에서 반응 챔버 내로 증기 상으로 제 2 실리콘-함유 반응 물질을 흐르게 하는 단계; (e) 단계 (d) 후에, 반응 챔버 내로 증기 상으로 제 2 산소-함유 반응 물질을 흐르게 하는 단계, 및 제 2 실리콘 옥사이드 막을 형성하도록 제 2 실리콘-함유 반응 물질과 제 2 산소-함유 반응 물질 사이의 표면 반응을 구동하기 위해서 제 2 플라즈마에 기판의 표면을 노출시키는 단계; 및 (f) 제 2 실리콘 옥사이드 막이 제 2 목표 두께에 도달할 때까지 단계 (d) 및 단계 (e) 를 반복하는 단계를 더 포함하고, 제 2 산소-함유 반응 물질을 흐르게 하는 단계 및 제 2 플라즈마에 기판의 표면을 노출시키는 단계는 적어도 부분적으로 동시에 발생하고, 기판의 온도는 단계 (d) 및 단계 (e) 동안 약 400 ℃ 미만으로 유지되고, 제 2 플라즈마는 기판 면적의 제곱 센티미터 당 약 0.7 Watt 내지 약 1.8 Watt의 총 RF 전력을 사용하여 생성되고, RF 전력은 고주파수 RF로만 제공되고, 기판의 표면은 약 0.5 초 내지 약 1 초의 지속 기간 동안 단계 (e) 에서 제 2 플라즈마에 노출된다.
개시된 실시예들의 또 다른 양태에서, 반도체 기판 상에 실리콘 옥사이드 바이레이어를 형성하는 방법이 제공되고, 방법은: (a) 제 1 RF 전력으로 생성되고 제 1 지속 기간 동안 기판에 주기적으로 노출되는 제 1 플라즈마를 수반하는 제 1 원자층 증착 반응을 통해 제 1 온도에서 기판 상에 제 1 층의 실리콘 옥사이드를 형성하는 단계, 및 (b) 제 2 원자층 증착 반응을 통해 제 2 온도에서 제 1 층의 실리콘 옥사이드 상에 제 2 층의 실리콘 옥사이드를 형성하는 단계로서, 제 1 층의 실리콘 옥사이드와 제 2 층의 실리콘 옥사이드는 함께 실리콘 옥사이드 바이레이어를 형성하고, 제 2 층의 실리콘 옥사이드의 형성은 제 2 RF 전력으로 생성되고 제 2 지속 기간 동안 기판에 주기적으로 노출되는 제 2 플라즈마를 수반하고, 제 1 온도는 제 2 온도보다 높고, 제 1 RF 전력은 제 2 RF 전력보다 크고, 제 1 지속 기간은 제 2 지속 기간보다 길고, 제 1 층의 실리콘 옥사이드는 제 2 층의 실리콘 옥사이드보다 낮은 습식 에칭 레이트를 갖는, 제 2 층의 실리콘 옥사이드를 형성하는 단계를 포함한다.
제 1 바이레이어의 실리콘 옥사이드의 두께는 실리콘 옥사이드 바이레이어의 총 두께의 약 20 % 이하를 나타낼 수도 있다. 특정한 실시예들에서, 제 1 RF 전력은 기판 면적의 제곱 센티미터 당 약 2.1 Watt 내지 약 3.6 Watt이고, 제 1 지속 기간은 약 2 초 내지 약 5 초이다. 이 경우 또는 다른 경우에서, 제 2 RF 전력은 기판 면적의 제곱 센티미터 당 약 0.7 Watt 내지 약 1.8 Watt일 수도 있고, 제 2 지속 기간은 약 0.5 초 내지 약 1 초일 수도 있다. 제 1 온도는 약 435 ℃ 내지 약 550 ℃일 수도 있다. 제 2 온도는 약 400 ℃ 이하일 수도 있다.
개시된 실시예들의 다른 양태에 있어서, 기판의 표면 상에 실리콘 옥사이드 막을 증착하기 위한 장치가 제공되고, 장치는: 반응 챔버; 반응 챔버 내에 플라즈마를 제공하기 위한 플라즈마 소스; 반응 챔버로 실리콘-함유 반응 물질과 산소-함유 반응 물질을 제공하기 위한 하나 이상의 유입부들; 반응 챔버 내에서 기판을 지지하기 위한 기판 지지부; 및 제어기를 포함하고, 제어기는: (a) 실리콘-함유 반응 물질로 하여금 기판의 표면 상에 흡착하도록 허용하는 조건들 하에서 반응 챔버 내로 증기 상으로 실리콘-함유 반응 물질을 흐르게 하기 위한 인스트럭션들, (b) 인스트럭션 (a) 후에, 반응 챔버 내로 증기 상으로 산소-함유 반응 물질을 흐르게 하고, 실리콘 옥사이드 막을 형성하도록 실리콘-함유 반응 물질과 산소-함유 반응 물질 사이의 표면 반응을 구동하도록 플라즈마에 기판의 표면을 노출시키기 위한 인스트럭션들; 및 (c) 실리콘 옥사이드 막이 목표 두께에 도달할 때까지 인스트럭션 (a) 및 인스트럭션 (b) 를 반복하기 위한 인스트럭션들을 갖고, 제어기는 적어도 부분적으로 동시에 산소-함유 반응 물질을 흐르게 하고 플라즈마에 기판의 표면을 노출시키기 위한 인스트럭션들을 갖고, 제어기는 인스트럭션 (a) 및 인스트럭션 (b) 동안 약 435 ℃ 내지 약 550 ℃의 기판의 온도를 유지하기 위한 인스트럭션들을 갖고, 제어기는 기판 면적의 제곱 센티미터 당 약 2.1 Watt 내지 약 3.6 Watt의 총 RF 전력을 사용하여 플라즈마를 생성하고, 그리고 고주파수 RF만을 사용하여 플라즈마를 생성하기 위한 인스트럭션들을 갖고, 그리고 제어기는 약 2 초 내지 약 5 초의 지속 기간 동안 인스트럭션 (b) 에서 플라즈마에 기판의 표면을 노출시키기 위한 인스트럭션들을 갖는다.
다양한 실시예들에서, 제어기는 실리콘-함유 반응 물질의 플로우가 중단된 후 그리고 산소-함유 반응 물질의 플로우가 시작되기 전에 반응 챔버를 퍼지하기 위한 인스트럭션들, 및 플라즈마에 기판의 표면을 노출시킨 후에 반응 챔버를 퍼지하기 위한 인스트럭션들을 더 가질 수도 있다. 제어기는 인스트럭션 (a) 에서 약 0.2 초 내지 약 1 초의 지속 기간 동안 반응 챔버 내로 실리콘-함유 반응 물질을 흐르게 하기 위한 인스트럭션들, 인스트럭션 (a) 와 인스트럭션 (b) 사이에서 약 0.2 초 내지 약 1 초의 지속 기간 동안 반응 챔버를 퍼지하기 위한 인스트럭션들, 반응 챔버 내로 산소-함유 반응 물질을 흐르게 하기 위한 인스트럭션들 및 인스트럭션 (b) 에서 약 0.5 초 내지 약 1 초의 지속 기간 동안 플라즈마에 기판의 표면을 노출시키기 위한 인스트럭션들, 및 인스트럭션 (b) 후에 약 0.1 초 내지 약 0.5 초의 지속 기간 동안 반응 챔버를 퍼지하기 위한 인스트럭션들을 가질 수도 있다. 제어기는 또한 인스트럭션 (a) 및 인스트럭션 (b) 동안 약 2 Torr 내지 약 6 Torr의 반응 챔버 내에서 압력을 유지하기 위한 인스트럭션들을 가질 수도 있다.
제어기는: 처리 플라즈마 생성 가스로부터 처리 플라즈마를 생성함으로써; 그리고 실리콘 옥사이드 막을 치밀하게 하도록 약 10 초 내지 약 100 초의 지속 기간 동안 처리 플라즈마에 기판의 표면을 노출시킴으로써 플라즈마 처리에 기판의 표면을 노출시키기 위한 인스트럭션들을 더 가질 수도 있다. 일부 경우들에서, 제어기는 기판 면적의 제곱 센티미터 당 약 0.3 Watt 내지 약 1.8 Watt의 총 RF 전력을 사용하여 처리 플라즈마를 생성하기 위한 인스트럭션들을 가질 수도 있다.
특정한 경우들에서, 제어기는 바이레이어를 형성하는 명령들을 가질 수도 있다. 예를 들어, 제어기는: (d) 인스트럭션 (c) 후에, 제 2 실리콘-함유 반응 물질로 하여금 기판의 표면 상에 흡착하도록 허용하는 조건들 하에서 반응 챔버 내로 증기 상으로 제 2 실리콘-함유 반응 물질을 흐르게 하기 위한 인스트럭션들; (e) 인스트럭션 (d) 후에, 반응 챔버 내로 증기 상으로 제 2 산소-함유 반응 물질을 흐르게 하고, 제 2 실리콘 옥사이드 막을 형성하도록 제 2 실리콘-함유 반응 물질과 제 2 산소-함유 반응 물질 사이의 표면 반응을 구동하기 위해서 제 2 플라즈마에 기판의 표면을 노출시키기 위한 인스트럭션들; 및 (f) 제 2 실리콘 옥사이드 막이 제 2 목표 두께에 도달할 때까지 인스트럭션 (d) 및 인스트럭션 (e) 를 반복하기 위한 인스트럭션들을 더 가질 수도 있고, 제어기는 적어도 부분적으로 동시에 제 2 산소-함유 반응 물질을 흐르게 하고 제 2 플라즈마에 기판의 표면을 노출시키기 위한 인스트럭션들을 갖고, 제어기는 인스트럭션 (d) 및 인스트럭션 (e) 동안 약 400 ℃ 미만의 기판의 온도를 유지하기 위한 인스트럭션들을 갖고, 제어기는 기판 면적의 제곱 센티미터 당 약 0.7 Watt 내지 약 1.8 Watt의 총 RF 전력을 사용하고, 그리고 고주파수 RF만을 사용하여 제 2 플라즈마를 생성하기 위한 인스트럭션들을 갖고, 제어기는 약 0.5 초 내지 약 1 초의 지속 기간 동안 인스트럭션 (e) 에서 제 2 플라즈마에 기판의 표면을 노출시키기 위한 인스트럭션들을 갖는다.
개시된 실시예들의 또 다른 양태에서, 반도체 기판 상에 실리콘 옥사이드 바이레이어를 형성하기 위한 장치가 제공되고, 장치는: 반응 챔버; 반응 챔버 내에 플라즈마를 제공하기 위한 플라즈마 소스; 반응 챔버로 실리콘-함유 반응 물질과 산소-함유 반응 물질을 제공하기 위한 하나 이상의 유입부들; 반응 챔버 내에서 기판을 지지하기 위한 기판 지지부; 및 제어기를 포함하고, 제어기는: (a) 제 1 RF 전력으로 생성되고 제 1 지속 기간 동안 기판에 주기적으로 노출되는 제 1 플라즈마를 수반하는 제 1 원자층 증착 반응을 통해 제 1 온도에서 기판 상에 제 1 층의 실리콘 옥사이드를 형성하기 위한 인스트럭션들, 및 (b) 제 2 원자층 증착 반응을 통해 제 2 온도에서 제 1 층의 실리콘 옥사이드 상에 제 2 층의 실리콘 옥사이드를 형성하기 위한 인스트럭션들로서, 제 1 층의 실리콘 옥사이드와 제 2 층의 실리콘 옥사이드는 함께 실리콘 옥사이드 바이레이어를 형성하고, 제 2 층의 실리콘 옥사이드의 형성은 제 2 RF 전력으로 생성되고 제 2 지속 기간 동안 기판에 주기적으로 노출되는 제 2 플라즈마를 수반하고, 제 1 온도는 제 2 온도보다 높고, 제 1 RF 전력은 제 2 RF 전력보다 크고, 제 1 지속 기간은 제 2 지속 기간보다 길고, 제 1 층의 실리콘 옥사이드는 제 2 층의 실리콘 옥사이드보다 낮은 습식 에칭 레이트를 갖는, 인스트럭션들을 갖는다.
제어기는 제 1 층이 실리콘 옥사이드 바이레이어의 총 두께의 약 20 % 이하를 나타내도록 바이레이어를 증착하기 위한 인스트럭션들을 가질 수도 있다.
개시된 실시예들의 또 다른 양태에서, 반도체 기판 상에 실리콘 옥사이드 막을 형성하기 위한 장치가 제공되고, 장치는 반응 챔버; 반응 챔버 내에 플라즈마를 제공하기 위한 플라즈마 소스; 반응 챔버로 실리콘-함유 반응 물질과 산소-함유 반응 물질을 제공하기 위한 하나 이상의 유입부들; 반응 챔버 내에서 기판을 지지하기 위한 기판 지지부; 및 본 명세서에 개시된 방법들 중 어느 하나에 따라 기판 상에 실리콘 옥사이드 막을 증착하기 위한 인스트럭션들을 갖는 제어기를 포함한다.
이들 특징 및 다른 특징들은 연관된 도면들을 참조하여 아래에 기술될 것이다.
도 1a는 비균일한 막 품질을 갖는 실리콘 옥사이드로 라이닝된 (lined) 피처를 도시한다.
도 1b는 기준 원자층 증착 스킴 (scheme) 에 따라 막을 증착하는 방법에 대한 흐름도를 예시한다.
도 1c는 특정한 실시예들에 따라 원자층 증착 반응들을 수행하기 위한 타이밍 다이어그램을 도시한다.
도 2a는 원자층 증착 스킴의 특정한 개시된 실시예들에 따라 막을 증착하는 방법에 대한 흐름도를 예시한다.
도 2b는 특정한 실시예들에 따라 재료의 바이레이어를 증착하는 방법에 대한 흐름도를 예시한다.
도 3은 특정한 실시예들에 따른 단일 스테이션 반응 챔버의 개략도를 도시한다.
도 4는 특정한 개시된 실시예들에 따라 기판 상에 막을 증착하기 위한 멀티-툴 반도체 프로세싱 장치를 도시한다.
도 5는 상이한 온도들에서 생성된 막들에 대한 습식 에칭 레이트를 도시한 그래프를 도시한다.
도 6은 상이한 RF 플라즈마 노출 시간들에서 생성된 막들에 대한 습식 에칭 레이트를 도시한 그래프를 도시한다.
도 7a 내지 도 7d는 증착될 때 그리고 다양한 증착 조건들에 대한 에칭 후의 실리콘 옥사이드 막들을 예시한다.
도 8은 도 7a 내지 도 7d에 나타낸 에칭 결과들을 요약한다.
이 출원 (application) 에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판", 및 "부분적으로 제조된 집적 회로"는 상호 교환 가능하게 사용된다. 당업자는 용어 "부분적으로 제조된 집적 회로"가 실리콘 웨이퍼 상의 집적 회로 제작의 많은 단계들 중 어떤 단계 동안의 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 반도체 디바이스 산업계에서 사용되는 웨이퍼 또는 기판은 통상적으로 200 mm, 또는 300 mm, 또는 450 mm의 직경을 갖는다. 본 명세서에 주어진 플로우 레이트들 및 전력 레벨들은 4개의 스테이션 반응기 내에 4개의 300 mm 웨이퍼들을 갖는 4개의 스테이션 반응기로 사용되는 것들과 관련된다. 플로우 레이트들 및 전력 레벨들은 기판 면적에 기초하여 선형으로 스케일링된다 (scale). 다음의 상세한 설명은 본 발명이 웨이퍼 상에 구현된다고 가정한다. 그러나, 본 발명은 이로 제한되지 않는다. 워크피스는 다양한 형태들, 크기들, 및 재료들로 구성될 수도 있다. 반도체 웨이퍼들 외에, 이 발명을 이용할 수도 있는 다른 워크피스들은 인쇄 회로 기판들 등과 같은 다양한 물품들 (article) 을 포함한다.
다음의 기술에서, 수많은 구체적인 상세 사항들이 제공된 실시예들의 철저한 이해를 제공하도록 제시된다. 개시된 실시예들은 이 구체적인 상세 사항들의 일부 또는 전체 없이 실행될 수도 있다. 다른 예들에서, 잘 알려진 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되어 있지 않다. 개시된 실시예들이 구체적인 실시예들과 함께 기술될 것이지만, 개시된 실시예들을 제한하도록 의도된 것이 아님을 이해할 것이다.
반도체 디바이스들의 제조업자는 통상적으로 통합 제작 프로세스에서 평면 또는 비평면 기판 상에 하나 이상의 박막들을 증착하는 것을 수반한다. 통합 프로세스의 일부 양태들에서, 기판 토포그래피 (topography) 를 따르는 박막들을 증착하는 것이 유용할 수도 있다. 일부 경우들에서 유용한 반응의 한 유형은 화학 기상 증착 (CVD) 을 수반한다. 통상적인 CVD 프로세스들에서, 가스 상 반응 물질들은 동시에 반응 챔버 내로 도입되고 가스 상 반응을 겪는다. 반응 생성물들은 기판의 표면 상에 증착된다. 반응이 플라즈마에 의해 구동될 수 있고, 상기의 경우에, 프로세스는 PECVD (plasma enhanced chemical vapor deposition) 반응으로서 지칭될 수도 있다. 본 명세서에서 사용된 바와 같이, 용어 CVD는 달리 나타내지 않는다면, PECVD를 포함하도록 의도된다. CVD 프로세스들은 일부 맥락들에서 CVD 프로세스들을 덜 적합하게 한다는 특정한 단점들을 갖는다. 예를 들어, CVD 가스 상 반응들의 질량 이동 제한들은 상단 표면들 (예를 들어, 게이트 스택들의 상단 표면들) 에서의 보다 두꺼운 증착 및 리세스된 표면들 (예를 들어, 게이트 스택들의 하단 코너들) 에서의 보다 얇은 증착을 나타내는 "브레드-로핑 (bread-loafing)" 증착 효과들을 발생시킬 수도 있다. 또한, 일부 다이가 상이한 디바이스 밀도의 구역들을 가질 수도 있기 때문에, 웨이퍼 표면에 걸친 질량 이동 효과들은 다이-내 (within-die) 및 웨이퍼-내 (within-wafer) 두께 변화들을 발생시킬 수도 있다. 두께 변화들은 일부 구역들의 오버-에칭 (over-etching) 및 다른 구역들의 언더-에칭 (under-etching) 을 발생시킬 수 있고, 이것은 디바이스 성능 및 다이 수율을 저하시킬 수 있다. CVD 프로세스들과 연관된 또 다른 문제는 CVD 프로세스들이 종종 높은 종횡비의 피처들 내에 컨포멀한 (conformal) 막들을 형성할 수 없다는 것이다. 이 이슈는 디바이스의 치수들이 계속해서 감소함에 따라 점점 더 문제가 된다.
많은 경우들에서 유용한 반응의 또 다른 유형은 ALD (atomic layer deposition) 이다. CVD 프로세스들이 주로 기판 표면 상에 재료를 신속하게 증착하도록 가스 상 반응들을 이용하는 반면, ALD 프로세스들은 주로 보다 훨씬 낮은, 순환적 방식으로 재료를 증착하는 표면-매개 반응들을 수반한다. ALD 프로세스의 일 유형은 PEALD (plasma enhanced atomic layer deposition) 프로세스이고, PEALD 프로세스에서 반응은 플라즈마에 대한 노출에 의해 구동된다. ALD 프로세스들에서, 반응 물질들은 원하지 않는 가스 상 반응들을 최소화 또는 제거하도록 비-오버랩핑 주기적 방식으로 전달된다. 통상적인 ALD 반응은 (1) 제 1 가스 상 반응 물질 (예를 들어, 실리콘-함유 반응 물질) 에 대해 반응 챔버 내의 기판을 노출시키고 반응 물질로 하여금 기판 표면 상에 흡착되는 것을 허용하는 단계, (2) 반응 챔버를 퍼징하는 단계 (예를 들어, 챔버를 배기하도록 진공을 인가함으로써 또는 제 1 반응 물질을 챔버의 외부로 스위핑하도록 (sweep) 비활성 가스를 흘림으로써), (3) 기판을 제 2 가스 상 반응 물질 (예를 들어, 산소-함유 반응 물질 또는 질소-함유 반응 물질) 에 노출시키는 단계, (4) 제 1 반응 물질과 제 2 반응 물질 사이의 표면 반응을 구동하도록 에너지 소스 (예를 들어, 플라즈마 또는 열) 에 기판을 노출시키는 단계, 및 (5) 반응 챔버를 다시 퍼징하는 단계를 수반한다. 이 단계들은 원하는 두께의 막을 형성하도록 반복될 수도 있다. 다양한 경우들에서, 단계 (3) 및 단계 (4) 는 기판이 플라즈마에 노출되는 동안 제 2 반응 물질이 반응 챔버로 전달되도록, 전부 또는 부분적으로 오버랩된다. ALD 프로세스들은 또한 때때로 CFD (conformal film deposition) 프로세스들로서 지칭되고, 특히 CFD 프로세스들에서는 퍼지가 단계 (3) 과 단계 (4) 사이에서 행해지지 않거나 (또는 불완전한 퍼지) 또는 단계 (3) 과 단계 (4) 가 시간 상으로 오버랩된다. 본 명세서에서 사용되는 바와 같이, 용어 ALD는 달리 나타내지 않는다면, 열적 ALD, PEALD, 및 CFD를 포함한다.
전구체 도즈 단계들의 노출 시간 및 전구체들의 부착 계수들에 따라, ALD 사이클 각각은 일 예에서, 약 0.5 Å 내지 약 3 Å 두께의 막 층을 증착할 수도 있다.
ALD/CFD를 사용하여 막들을 형성하기 위한 방법들은 다음의 미국 특허 출원들에 기술되고, 미국 특허 출원 각각은 본 명세서에 참조로서 인용된다: 2011년 4월 11일 출원된, 미국 특허 출원 제 13/084,399 호; 2013년 7월 29일 출원된, 미국 특허 출원 제 13/953,616 호; 2013년 11월 7일 출원된, 미국 특허 출원 제 14/074,596 호; 2013년 12월 30일 출원된, 미국 특허 출원 제 14/144,107 호.
종래의 PEALD 프로세스들은 실질적으로 균일한 두께를 갖는 컨포멀한 막들의 증착을 발생시킨다. 그러나, 막들이 두께 면에서 균일할지라도, 막들은 품질 면에서 균일하지 않을 수도 있다. 일부 경우들에서, 리세스된 피처의 측벽 상에 증착된 막은 피처의 상단부 근처 또는 피처의 하단부에서의 필드 구역 내에 증착된 막보다 나쁜 품질을 갖는다. 이 나쁜 품질은 피처의 상단부 또는 하단부 근처의 막과 비교할 때 측벽들에서의 막의 보다 높은 습식 에칭 레이트에 의해서 증명되어 있다. 높은 습식 에칭 레이트는 덜 치밀하고, 보다 낮은 품질의 막과 상관관계가 있다.
도 1a는 종래의 ALD 방법들을 통해 증착된 실리콘 옥사이드 (152) 로 라이닝되는 (lined) 피처 (150) 를 도시한다. 도면의 좌측 부분은 증착된 바와 같은 실리콘 옥사이드 (152) 를 도시하고, 도면의 우측 부분은 습식 에칭을 수행한 후의 실리콘 옥사이드 (152) 를 도시한다. 실리콘 옥사이드 (152) 는 피처 (150) 의 측벽들을 따라, 특히 피처 (150) 의 하단부 근처에서 가장 빠르게 에칭된다. 이 피처 내의 비균일한 습식 에칭 레이트는 이상적이지 않고, 비균일한 막 품질을 나타낸다.
액션의 메커니즘 또는 이론에 의해 메이지 않고, 종래의 PEALD 방법들에서 피처의 측벽들과 피처의 다른 영역들 사이의 막 품질에서의 차이가 이온 방향성에 기인하여 발생할 수도 있다. 기판이 플라즈마에 노출될 때, 플라즈마 내에 존재하는 이온들은 기판의 표면과 부딪치도록 실질적으로 선형 방식으로 이동한다. 이 이온들은 전구체 재료(들)를 고품질의 막으로 변환하기 위한 에너지를 제공할 수도 있다. 피처가 리세스되고 이온들이 실질적으로 선형으로 이동하기 때문에, 기판에 충격을 주는 이온들의 수는 하단부 및 측벽들과 비교할 때, 피처의 필드 구역 근처에서 훨씬 보다 많다. 이 측벽들 및 하단부는 사실상 피처의 기하학적 구조 때문에 그늘져있다. 이 차이는 피처의 상단부 근처에서 막을 선택적으로 치밀하게 하도록 작용할 수도 있고, 측벽들 및 하단부 (특히 측벽들) 상에 덜 치밀한 막을 남긴다. 또한, 측벽들 및 하단부와 부딪치는 이온들에 의해 전달된 에너지는 다시 리세스된 피처의 기하학적 구조에 기인하여, 피처의 다른 부분들과 부딪치는 이온들에 의해 전달된 에너지보다 낮다. 이것은 다른 구역들에서 보다 측벽들에서 일어나는 상이한 반응들을 발생시킬 수도 있다. 또 다른 요인은 플라즈마 내에 존재하는 이온들 및 라디칼들의 상대적 양일 수도 있다. 본 명세서에 개시된 반응 파라미터들은 이 효과들을 완화시키고 보다 균일한 특성들을 갖는 막을 생성하는 것을 돕도록 사용될 수 있다.
본 명세서에 기술된 프로세스들은 실리콘 옥사이드 막들을 생성하도록 사용된다. 달리 문맥으로부터 명확하게 표현되지 않는다면, 용어 실리콘 옥사이드는 주로 실리콘과 산소의 화학량론적 및 비화학량론적 고체 조성들을 커버하도록 의도된다. 실리콘 옥사이드 막들은 가변적인 결정도, 거칠기 등을 포함하는, 다양한 모폴러지들을 가질 수도 있다.
도 1b는 기준 종래의 ALD 기법에 따라 막을 증착하는 방법에 대한 흐름도를 도시한다. 방법 (100) 은 동작 102에서 시작하고, 동작 102에서 기판은 반응 챔버 내로 수용된다. 반응 챔버는 단일 스테이션 또는 복수의 스테이션들 (예를 들어, 일부 경우들에서, 2 또는 4 스테이션들) 을 가질 수도 있다. 본 명세서에 제공된 플로우 레이트들 및 전력 레벨들은 4개의 스테이션 반응기가 각각의 스테이션에서 300 mm 직경의 웨이퍼를 갖고서 사용된다고 가정한다. 많은 다른 셋업들이 가능하다. 기판은 흔히 기판 내에 복수의 리세스된 피처들을 갖도록 패터닝될 것이다. 다른 경우들에서, 기판은 패터닝되지 않을 수도 있고/평평할 수도 있다. 기판은 약 400 ℃ 미만의 온도, 예를 들어, 일부 경우들에서, 약 50 ℃ 내지 약 400 ℃, 또는 약 300 ℃ 내지 약 400 ℃로 유지될 수도 있다. 이 기판 온도는 특정한 개시된 실시예들과 비교할 때 상대적으로 저온이다. 반응 챔버는 약 2 Torr 내지 약 7 Torr의 압력으로 유지될 수도 있다.
동작 104에서, 1회분의 제 1 반응 물질은 반응 챔버 내로 흐른다. 제 1 반응 물질은 약 1 mL/min 내지 약 2 mL/min의 레이트 (4개의 300 mm 직경의 웨이퍼들로의 전달을 가정하면, 반응 물질의 기화 전 체적에 기초하여) 로 도입될 수도 있다. 이것은 기판 면적의 제곱 미터 당 약 3.5 mL/min 내지 약 7.1 mL/min의 플로우 레이트에 해당한다. 본 명세서에서 사용된 바와 같이, 기판 면적은 웨이퍼의 반경에 기초하여 웨이퍼의 단일 측면의 면적으로서 계산된다 (즉, 단일의 300 mm 웨이퍼의 면적 A는 πr2 = 70,700 mm2, 또는 약 707 cm2, 또는 약 0.07 m2이고 4 스테이션들에 걸친 전체 기판 면적은 2830 cm2, 또는 약 0.28 m2임). 기판 면적은 웨이퍼 상에 존재하는 피처들에 기인하여 도입된 추가의 표면 면적을 포함하도록 계산되지 않는다. 플로우 레이트들은 다른 크기들의 기판들에 대해 선형으로 스케일링될 수도 있다. 제 1 반응 물질은 Ar 또는 N2와 같은 비활성 캐리어 가스와 함께 도입될 수도 있다. 캐리어 가스의 플로우 레이트는 기판 면적의 제곱 미터 당 약 17 SLM 내지 약 36 SLM의 플로우 레이트에 해당하는, 약 5 SLM 내지 약 10 SLM일 수도 있다. 제 1 반응 물질이 챔버 내로 도입되는 지속 기간은 약 1 초 미만, 예를 들어, 약 0.2 초 내지 약 1 초일 수도 있다. 반응 물질은, 적용 가능하다면, 리세스된 피처들 내에 포함되는, 기판의 표면 상에 흡착된다. 증착되는 막이 실리콘을 함유한 경우에, 제 1 반응 물질은 통상적으로 실리콘-함유 반응 물질이다.
다음에, 반응 챔버는 동작 106에서 퍼징된다. 일부 실시예들에서, 퍼지는 비활성 가스, 예를 들어, Ar 또는 N2로 반응 챔버를 스위핑하는 것을 포함한다. 비활성 가스는 버스트 푸시 (burst push) 내에 예를 들어, 기판 면적의 제곱 미터 당 약 70 SLM 내지 약 140 SLM에 해당하는, 약 20 SLM 내지 약 40 SLM으로 전달될 수도 있다. 대안적으로 또는 추가로, 퍼지는 펌프 다운을 수행함으로써 반응 챔버를 배기하는 것을 포함할 수도 있다. 이 경우에서, 반응 챔버 내의 압력은 예를 들어, 반응 물질 전달 동안보다 펌프 다운 동안 상당히 보다 낮다. 퍼지는 약 0.2 초 내지 약 1 초의 지속 기간을 가질 수도 있다. 이 퍼지 동작 106의 목적은 반응 챔버로부터 모든 또는 실질적으로 모든 비흡착된 제 1 반응 물질을 제거하는 것이다. 일부 실시예들에서, 퍼지/스위프는 제 1 반응 물질의 일부만이 반응 챔버로부터 제거되는 경우에, 덜 완전할 수도 있다. 최적의 퍼지 조건들은 목표된 막 특성들에 의존할 수도 있다. 달리 언급되지 않는다면, 본 명세서에서 기술된 바와 같이 스위프 또는 퍼지는 부분적으로, 완전하거나 또는 실질적으로 완전할 수도 있다. 특정한 구현예들에서, 이 퍼지/스위프가 발생하지 않을 수도 있다.
다음에, 동작 108에서, 제 2 반응 물질은 반응 챔버 내로 흐른다. 제 2 반응 물질은 일반적으로 산소-함유 반응 물질이다. 제 2 반응 물질은 기판 면적의 제곱 미터 당 약 35 SLM 내지 약 71 SLM의 플로우 레이트에 해당하는, 약 10 SLM 내지 약 20 SLM의 플로우 레이트로 흐를 수도 있다. 제 2 반응 물질은 약 0.5 초 내지 약 1 초의 지속 기간을 갖는 기간 동안 흐를 수도 있다.
제 1 반응 물질 및 제 2 반응 물질 각각은 또한 반응 물질들의 혼합물일 수도 있다. 하나의 예에서, 제 2 반응 물질은 산소와 질소 옥사이드 둘 다를 포함할 수도 있다. 하나 이상의 반응 물질이 동시에 반응 챔버로 전달되는 경우에, 반응 물질들은 전달 전에 (예를 들어, 분리된 혼합 용기 내에서), 또는 전달 후에 (예를 들어, 반응 챔버 그 자체 내에서) 혼합될 수도 있다.
동작 110에서, 플라즈마는 반응 챔버 내에서 점화되고 기판 표면에 노출된다. 다양한 실시예들에서, 동작 108 및 동작 110은 적어도 부분적으로 (그리고 때때로 전적으로) 동시에 발생한다. 하나의 특정한 예에서, 제 2 반응 물질은 연속으로 제공된다. 다른 실시예들에서, 동작 108 및 동작 110은 동시에 시작한다. 일부 경우들에서, 제 2 반응 물질은 플라즈마 점화가 110에서 발생하기 전에 108에서 반응 챔버 내로 미리 흐를 수도 있다. 특정한 실시예들에서, 제 2 반응 물질은 108에서 반응 챔버 내로 흐르고, 이어서 플라즈마 점화가 동작 110에서 발생하기 전에 반응 챔버로부터 스위핑/퍼징된다. 그러나, 다양한 경우들에서, 이 퍼지는 발생하지 않을 수도 있다. 플라즈마 노출 단계는 또한 때때로 변환 단계로서 지칭되고, 언급된 바와 같이, 플라즈마 노출 단계는 제 2 반응 물질의 전달과 오버랩될 수도 있다. 플라즈마 노출 단계는 특정한 개시된 실시예들과 비교할 때 상대적으로 짧은, 약 0.5 초 내지 약 1 초의 지속 기간 동안 발생할 수도 있다. 플라즈마는 일부 경우들에서, 예를 들어, 스테이션 내에 300 mm 웨이퍼를 각각 갖는, 4개의 스테이션들 사이에서 전부 분할된 약 2,000 Watt 내지 약 5,000 Watt의 RF 전력을 사용하여 생성될 수도 있다. 이것은 기판 면적의 제곱 센티미터 당 약 0.7 Watt 내지 약 1.8 Watt의 전체 RF 플라즈마 전력에 해당한다. 이 RF 전력은 특정한 개시된 실시예들과 비교할 때 상대적으로 낮다. 플라즈마를 생성하도록 사용된 주파수는 저주파수 (LF) 컴포넌트 (component) (예를 들어, 약 250 kHz 내지 약 450 kHz) 및/또는 고주파수 (HF) 컴포넌트 (예를 들어, 약 13.56 MHz 또는 약 27 MHz) 를 포함할 수도 있다. 다양한 경우들에서, HF 주파수만이 사용된다.
다음에, 플라즈마는 소화되고 반응 챔버는 동작 112에서 퍼징된다. 동작 106에서 퍼지에 대해 언급한 바와 같이, 이것은 반응 챔버를 스위핑하고 그리고/또는 펌핑 다운하는 것을 포함할 수도 있다. 스위프가 사용되는 경우에, 챔버는 기판 면적의 제곱 미터 당 약 70 SLM 내지 약 140 SLM의 플로우 레이트에 해당하는, 약 20 SLM 내지 약 40 SLM의 플로우 레이트로 흐를 수도 있는, Ar 또는 N2와 같은 비활성 가스로 스위핑될 수도 있다. 퍼지는 일부 경우들에서 약 0.1 초 내지 약 0.5 초의 지속 기간을 가질 수도 있다. 특정한 경우들에서, 이 스위프/퍼지가 수행되지 않는다. 동작 104 내지 동작 112는 전구체 흡착 특성들에 의해 규정된 두께를 갖는 막을 증착할 수도 있다 (예를 들어, 모노레이어 또는 보다 적은 증착된 재료).
다음에, 동작 114에서, 막 두께가 모니터링된다. 막이 충분히 두껍다면, 방법 (100) 은 중단되고 기판은 추가의 프로세싱을 위해 준비된다. 막이 아직 충분히 두껍지 않다면, 방법 (100) 은 동작 104로부터 반복됨으로써 계속된다. 이어서 추가의 반복들이 원하는 두께로 막을 형성하기 위해서 수행될 수도 있다.
복수-스테이션 반응 챔버가 사용되는 경우에, 기판은 증착 동안 상이한 스테이션들을 통해 이동할 수도 있다. 복수-스테이션 반응 챔버의 사용은 복수-스테이션 반응 챔버가 높은 처리량을 촉진하고 비용을 최소화하는 것을 도울 수 있기 때문에 유익하다. 예를 들어, 플라즈마 생성 장비 및 플럼빙 라인들 (plumbing line) 은 복수의 스테이션들 사이에서 공유될 수 있다. 또한, 복수-스테이션 반응 챔버들이 사용되는 경우에, 각각의 챔버가 동시에 복수의 기판들을 프로세싱할 수 있기 때문에, 동일한 처리량을 성취하기 위해 프로세싱 장비의 보다 적은 피스들이 요구된다. 복수-스테이션 반응기들에 대한 또 다른 이점은 공간적 비균일성들이 최소화될 수 있다는 것이다. 각각의 스테이션은 증착 결과들에 영향을 미칠 수 있는 특정한 비균일성들을 갖는다. 복수-스테이션 반응기 챔버가 사용되는 경우에, 기판은 상이한 스테이션들을 통해 사이클링될 수 있고, 각각의 스테이션으로부터의 비균일성들은 남아있는 스테이션들에 의해 밸런싱/최소화된다. 이 사이클링은 웨이퍼-대-웨이퍼 균일성을 향상시키는데 상당히 도움을 준다.
복수-스테이션 반응 챔버는 2개 이상의 스테이션들을 가질 수도 있다. 이 경우 또는 다른 경우에서, 반응 챔버는 약 16개 이하의 스테이션들, 예를 들어, 약 8개 이하의 스테이션들을 가질 수도 있다. 특정한 예에서, 4개의 스테이션들이 사용된다. 상이한 기판이 각각의 스테이션에서 존재할 수도 있다. ALD 사이클들은 기판들이 페이즈들 (phase) 의 각각의 세트 사이에 새로운 스테이션으로 이송되는 경우에, 페이즈들로 나뉠 수도 있다. 각각의 페이즈는 복수의 개별 ALD 사이클들을 포함한다. 하나의 예에서, 약 2000개의 ALD 사이클들이 4개의 상이한 스테이션들 상에서 수행된다. 단일 기판을 고려할 때, 처음의 500개의 사이클들이 제 1 스테이션에서 수행될 수도 있고, 다음의 500개의 사이클들이 제 2 스테이션에서 수행될 수도 있고, 다음의 500개의 사이클들이 제 3 스테이션에서 수행될 수도 있고, 그리고 나머지 500개의 사이클들이 제 4 스테이션에서 수행될 수도 있다. 추가 횟수들의 보다 짧은 페이즈들이 또한 사용될 수도 있다 (예를 들어, 각각의 페이즈/스테이션에서 100개의 사이클들). 이 경우에, 기판은 수회 각각의 스테이션을 통해 사이클링될 수도 있다 (예를 들어, 페이즈 당 100개의 사이클들에서 4개의 스테이션들에 걸쳐 총 2000개의 사이클들에서, 각각의 기판은 5회 각각의 스테이션을 통과할 것임).
지시된 바와 같이, 플라즈마 특성들은 증착된 막 상에 상당한 효과를 가질 수 있다. 많은 실시예들에서, 플라즈마는 용량 결합 플라즈마이다. 그러나, 다른 유형들의 플라즈마, 예를 들면 유도 결합 플라즈마들이 또한 사용될 수 있다. RF 플라즈마 생성기, DC 플라즈마 생성기 및 마이크로파 플라즈마 생성기를 포함하는 다양한 유형들의 플라즈마 생성기들이 사용될 수도 있다. 플라즈마는 다이렉트 플라즈마 (즉, 반응 챔버 내에 생성된 플라즈마), 또는 원격-생성된 플라즈마 중 하나일 수도 있다. 도 1b 및 관련된 기술은 기준 프로세스 윈도우를 사용하는 ALD 프로세스에 관한 것이다. 이 프로세스 윈도우는 특정한 개시된 실시예들과 비교할 때 상대적으로 낮은 온도, 낮은 RF 전력, 및/또는 적은 RF 시간을 이용한다.
도 1c는 도 1b에 도시된 흐름도와 같은 ALD 프로세스에서 특정한 동작들을 예시하는 타이밍 다이어그램을 나타낸다. 특히, 도 1c는 전구체 (예를 들어, 실리콘-함유 반응 물질과 같은 제 1 반응 물질) 의 플로우, 반응 물질 가스들 (산소-함유 반응 물질과 같은 제 2 반응 물질) 의 플로우, RF 플라즈마 활성화, 및 챔버 내의 압력을 도시한다. 복수의 페이즈들은 전구체 도즈 (dose) 페이즈, 퍼지 페이즈, 변환 페이즈, 및 RF 후 퍼지 페이즈를 포함하여 도시된다. 점선 박스 내에 포함된 부분은 단일 ALD 사이클을 나타낸다. 이 예에서, 반응 물질 가스의 전달은 RF의 활성화와 동시에 발생한다.
문맥에 맞게, 일부 실시예들은 도 1b 및 도 1c에 대해 나타낸 프로세스 및 조건들을 일반적으로 따르는, "기준 프로세스"에 대해 기술된다. 이러한 기준 프로세스에서, 제 1 반응 물질 및 제 2 반응 물질은 4개의 스테이션 반응기 (예를 들어, 캘리포니아, 프리몬트 소재의 Lam Research, Inc.로부터의 Vector® Extreme 또는 Vector® Express 반응기) 로 전달되고, 4개의 스테이션 반응기에서 제 1 반응 물질 및 제 2 반응 물질이 300 mm 웨이퍼 상에 실리콘 옥사이드 막들을 생성하도록 반응된다. 설명된 바와 같이, 개시된 실시예들은 300 mm 웨이퍼들로 제한되지 않고, 당업자들은, 프로세스 조건들이 다른 크기들의 웨이퍼들이 사용될 때 300 mm 웨이퍼들에 대해 언급된 프로세스 조건들로부터 스케일링될 (scale) 것임을 이해할 것이다.
기준 프로세스에서, 웨이퍼 온도는 약 400 ℃이다. 기준 프로세스에서 이용된 압력은 약 5 Torr 내지 약 6 Torr이다. 제 1 반응 물질 (실리콘-함유 반응 물질) 은 약 5 SLM 내지 약 10 SLM으로 Ar 또는 N2 캐리어 가스 내에서 약 1 mL/min 내지 약 2 mL/min의 플로우 레이트로, 0.2 초 내지 1 초의 지속 기간 동안 전달된다. 제 1 반응 물질의 플로우는 반응 물질의 기화 전 체적에 기초하여 측정된다. 제 2 반응 물질은 약 10 SLM 내지 약 20 SLM의 플로우 레이트로, 그리고 0.5 초 내지 1 초의 지속 기간 동안 전달되는, 산소 및/또는 아산화질소와 같은 산화제이다. 또한, 기준 프로세스의 변환 페이즈 동안, 고주파수 RF 전력은 13.56 MHz 및 약 5000 Watt로 플라즈마를 생성하도록 제공된다. 플라즈마는 0.5 초 내지 1 초의 지속 기간 동안 남아있다.
기준 프로세스에 대한 개시된 개선점들은 (a) 적어도 변환 페이즈 동안 증착 온도를 증가시키는 것, (b) 변환 페이즈 동안 노출 시간을 증가시키는 것, 및/또는 (c) 변환 페이즈 동안 플라즈마 전력을 증가시키는 것을 포함한다. 이 개선점들의 범위 내에 포함되는 프로세스들은 상기에 기술된 정확한 기준 조건들을 요구하지 않는다. 예를 들어, 프로세스들은 압력들의 범위 (예를 들어, 약 0.1 Torr 내지 약 20 Torr) 로, 및/또는 RF 주파수들의 범위 (예를 들어, 약 1 MHz 내지 약 50 MHz) 내에서, 대안적인 제 1 반응 물질 및 제 2 반응 물질을 사용하여 실행될 수도 있다. 상기 범위들은 300 mm 실리콘 웨이퍼들 상에 막들을 증착하기 위해 구비된 4-스테이션 PECVD 챔버에 대해 제공된다. 플로우 레이트들 및 전력들은 다른 크기들의 반응기들에 대해 적절하게 스케일링되어야 할 수도 있다. 기준 프로세스 상의 다른 변화들은 예를 들어, 도 2a를 참조하여 아래에 기술된다.
도 2a는 본 명세서에 개시된 특정한 실시예들에 따라 반도체 기판 상에 재료의 층을 증착하는 방법 (200) 에 대한 흐름도를 나타낸다. 도 1b의 방법 (100) 및 상기 베이스 프로세스와 비교할 때, 도 2a의 방법 (200) 은 보다 고온의 기판 온도, 보다 높은 (HF) RF 전력, 및 보다 긴 RF 노출 시간을 이용한다. 또한, 도 2a의 방법 (200) 은 아래에 또한 기술된 추가의 주기적 플라즈마 처리 단계를 이용한다.
방법 (200) 은 기판이 반응 챔버 내로 수용되는, 동작 202에서 시작한다. 언급한 바와 같이, 반응 챔버는 단일 스테이션 또는 복수의 스테이션들을 가질 수도 있다. 기판은 흔히 기판 내에 복수의 리세스된 피처들을 갖도록 패터닝될 것이다. 다른 경우들에서, 기판은 패터닝되지 않거나/평평할 수도 있다. 기판은 약 435 ℃ 내지 550 ℃, 예를 들어, 약 450 ℃ 내지 550 ℃, 또는 약 500 ℃ 내지 550 ℃의 온도로 유지될 수도 있다. 기판 온도는 기준 방법들과 비교할 때 상대적으로 고온이다. 반응 챔버는 약 2 Torr 내지 약 7 Torr의 압력으로 유지될 수도 있다.
동작 204에서, 1회분의 제 1 반응 물질이 반응 챔버 내로 흐른다. 제 1 반응 물질은 약 1 mL/min 내지 약 2 mL/min의 레이트 (단일의 300 mm 직경의 웨이퍼를 가정하면, 반응 물질의 기화 전 체적에 기초하여) 로 도입될 수도 있다. 이것은 기판 면적의 제곱 미터 당 약 3.5 mL/min 내지 약 7.1 mL/min의 플로우 레이트에 해당한다. 제 1 반응 물질은 Ar 또는 N2와 같은 비활성 캐리어 가스와 함께 도입될 수도 있다. 캐리어 가스의 플로우 레이트는 기판 면적의 제곱 미터 당 약 17 SLM 내지 약 36 SLM의 플로우 레이트에 해당하는, 약 5 SLM 내지 약 10 SLM일 수도 있다. 제 1 반응 물질이 챔버 내로 도입되는 지속 기간은 약 1초 미만, 예를 들어, 약 0.2 초 내지 약 1 초일 수도 있다. 제 1 반응 물질은 통상적으로 기판의 표면 상에 흡착되는, 실리콘-함유 반응 물질이다.
다음에, 반응 챔버는 동작 206에서 퍼징된다. 일부 실시예들에서, 퍼지는 비반응성 가스, 예를 들어, Ar 또는 N2로 반응 챔버를 스위핑하는 것을 포함한다. 기준 방법에서와 같이, 비반응성 가스는 버스트 푸시, 예를 들어, 기판 면적의 제곱 미터 당 약 70 SLM 내지 약 140 SLM에 해당하는, 약 20 SLM 내지 약 40 SLM으로 전달될 수도 있다. 대안적으로 또는 추가로, 퍼지는 펌프 다운을 수행함으로써 반응 챔버를 배기하는 것을 포함할 수도 있다. 이 경우에서, 반응 챔버 내의 압력은 예를 들어, 반응 물질 전달 동안보다 펌프 다운 동안 상당히 보다 낮다. 퍼지는 약 0.2 초 내지 약 1 초의 지속 기간을 가질 수도 있다.
다음에, 동작 208에서, 제 2 반응 물질은 반응 챔버 내로 흐른다. 제 2 반응 물질은 통상적으로 산소-함유 반응 물질이다. 제 2 반응 물질은 기판 면적의 제곱 미터 당 약 35 SLM 내지 약 71 SLM의 플로우 레이트에 해당하는, 약 10 SLM 내지 약 20 SLM의 플로우 레이트로 흐를 수도 있다. 제 2 반응 물질은 약 0.5 초 내지 약 1 초의 지속 기간을 갖는 기간 동안 흐를 수도 있다. 기준 방법에 대해 언급된 바와 같이, 제 1 반응 물질 및 제 2 반응 물질 각각은 또한 반응 물질들의 혼합물일 수도 있다.
동작 210에서, 플라즈마는 반응 챔버 내에서 점화되고 기판 표면에 노출된다. 다양한 실시예들에서, 동작 208 및 동작 210은 적어도 부분적으로 (그리고 때때로 전적으로) 동시에 발생한다. 동작 208 및 동작 210은 동시에, 또는 상이한 시간들에서 시작할 수도 있다. 일부 경우들에서, 제 2 반응 물질은 플라즈마 점화가 210에서 발생하기 전에 208에서 반응 챔버 내로 사전에 흐를 수도 있다. 플라즈마 노출 단계는 기준 방법들과 비교할 때 상대적으로 긴, 약 2 초 내지 약 5 초의 지속 기간 동안 발생할 수도 있다. 플라즈마는 일부 경우들에서, 예를 들어, 스테이션 내에 300 mm 웨이퍼를 각각 갖는, 4개의 스테이션들 사이에서 전부 분할된 약 6,000 Watt 내지 약 10,000 Watt의 RF 전력을 사용하여 생성될 수도 있다. 이것은 기판 면적의 제곱 센티미터 당 약 2.1 Watt 내지 약 3.6 Watt의 전체 RF 플라즈마 전력에 해당한다. 이 RF 전력은 특정한 개시된 실시예들과 비교할 때 상대적으로 높다. 다양한 실시예들에서, 플라즈마를 생성하도록 사용된 RF 전력은 HF 주파수들만을 (예를 들어, 약 13.56 MHz 또는 약 27 MHz) 포함한다. 특정한 경우들에서, RF 전력은 어떠한 다른 주파수들 없이, 약 13.56 MHz로 제공된다.
다음에, 플라즈마는 소화되고 반응 챔버는 동작 212에서 퍼징된다. 동작 206에서 퍼지에 대해 언급한 바와 같이, 이것은 반응 챔버를 스위핑하고 그리고/또는 펌핑 다운하는 것을 포함할 수도 있다. 스위프가 사용되는 경우에, 챔버는 기판 면적의 제곱 미터 당 약 70 SLM 내지 약 140 SLM의 플로우 레이트에 해당하는, 약 20 SLM 내지 약 40 SLM의 플로우 레이트로 흐를 수도 있는, Ar 또는 N2와 같은 비반응성 가스로 스위핑될 수도 있다. 퍼지는 일부 경우들에서 약 0.1 초 내지 약 0.5 초의 지속 기간을 가질 수도 있다. 특정한 경우들에서, 이 스위프/퍼지는 플라즈마 후 퍼지가 고품질 막의 형성을 촉진하는 것을 도울 수도 있을지라도, 선택적일 수도 있다. 동작 204 내지 동작 212는 일반적으로 특정한 실시예들에서, 재료의 모노레이어 미만으로 증착될 수 있을지라도, 재료의 모노레이어 (또는 열역학에 의해 결정된 바와 같은 포화된 층) 의 증착을 발생시킨다.
플라즈마가 소화된 후에, 선택적인 추가의 플라즈마 처리가 동작 213에서 실행될 수도 있다. 플라즈마 처리는 완전한 산화를 촉진하고 그리고/또는 막을 더 치밀하게 하는 것을 돕도록 착수될 수도 있다. 플라즈마 처리는 막 표면 상에 존재하는 댕글링 결합들 (예를 들어, Si-H 결합들) 을 제거하는 효과를 가질 수도 있다. 실험 섹션에 도시된 바와 같이, 플라즈마 처리들은 보다 높은 품질의 막과 상관관계가 있는, 보다 낮은 습식 에칭 레이트를 갖는 막의 형성을 촉진한다.
플라즈마 처리는 주기적으로 실행된다. 특정한 경우들에서, 추가의 플라즈마 처리는 각각의 ALD 사이클 동안 실행된다. 그러나, 이 방법은 프로세싱 시간들을 연장하고 따라서 처리량을 낮춘다. 특정한 구현예들에서, 단일 플라즈마 처리는 증착된 재료의 복수의 층들에 영향을 미칠 수 있고, 그래서 덜 빈번히 실행된 간헐적인/주기적 플라즈마도 충분하다. 일부 경우들에서, 플라즈마 처리는 매 5 이상의 사이클들 당 1회, 예를 들어, 매 10 이상의 사이클들 당 1회 발생한다. 이 경우 또는 다른 경우에, 플라즈마 처리는 매 100 이하의 사이클들 당 1회, 예를 들어, 매 50 이하의 사이클들 당 1회 발생할 수도 있다. 특정한 예에서, 추가의 플라즈마 처리가 매 50 ALD 사이클들 당 약 1회 발생한다.
처리 플라즈마를 생성하도록 사용된 가스는 처리 플라즈마 생성 가스로 지칭될 수도 있다. 처리 플라즈마 생성 가스는 일부 경우들에서 산소, 헬륨, 및/또는 아르곤을 포함할 수도 있다. 하나의 예에서, 실질적으로 순 산소 또는 실질적으로 순 헬륨이 사용된다. 또 다른 예에서, 산소와 아르곤의 혼합물이 사용된다. 이 경우에, 산소:아르곤의 상대적 체적 플로우들은 약 0.5:1 내지 약 2:1일 수도 있다. 처리 플라즈마 생성 가스의 플로우 레이트는 기판 면적의 제곱 미터 당 약 17 SLM 내지 약 71 SLM에 해당하는, 약 5 SLM 내지 약 20 SLM일 수도 있다. 반응 챔버는 플라즈마 처리 동안 약 2 Torr 내지 약 6 Torr의 압력으로 유지될 수도 있다. 처리 플라즈마가 RF를 통해 생성되는 경우에, RF 전력은 300 mm 웨이퍼들을 갖는, 4개의 스테이션들 사이에서 전부 분할된 약 1,000 Watt 내지 약 5,000 Watt일 수도 있다. 이것은 기판 면적의 제곱 센티미터 당 약 0.3 Watt 내지 약 1.8 Watt의 RF 전력에 해당한다. 플라즈마를 생성하도록 사용된 주파수는 LF 컴포넌트와 HF 컴포넌트 둘 다를 포함할 수도 있다. 특정한 경우들에서, 주파수는 LF 컴포넌트만 또는 HF 컴포넌트만을 포함할 수도 있다.
플라즈마 처리 단계는 ALD 사이클들 사이에 발생한다. 플라즈마가 소화된 후에, 챔버는 임의의 원하지 않는 가스를 밀어내도록 퍼징될 수도 있다 (예를 들어, 스위프 및/또는 펌프 다운을 통해). 이 퍼지는 플라즈마 처리 전 퍼지로서 지칭될 수도 있다. 플라즈마 처리 전 퍼지는 약 5 초 내지 약 30 초, 예를 들어, 약 20 초의 지속 기간을 가질 수도 있다. 다음에, 처리 플라즈마 생성 가스로 가스 전달 라인을 프라이밍하도록 (prime) 단기간, 약 1 초 내지 약 10 초 (예를 들어, 3 초) 동안 라인 차지 (line charge) 가 수행될 수도 있다. 이것은 처리 플라즈마 생성 가스의 플로우를 안정화하도록 행해질 수도 있다. 라인 차지 후에, 처리 플라즈마는 반응 챔버에서 점화되고 기판은 약 10 초 내지 약 100 초, 예를 들어, 약 15 초 내지 약 60 초, 또는 약 20 초 내지 약 35 초의 지속 기간 동안 처리 플라즈마에 노출된다. 일부 실시예들에서, 동작 213에서의 이 추가의 주기적 플라즈마 처리가 생략된다.
다음에, 동작 214에서, 막 두께가 모니터링된다. 막이 충분히 두껍다면, 방법 (200) 은 중단되고 기판은 추가의 프로세싱을 위해 준비된다. 막이 아직 충분히 두껍지 않다면, 방법 (200) 은 동작 204로부터 반복됨으로써 계속된다. 이어서 추가의 반복들이 원하는 두께로 막을 형성하기 위해서 수행될 수도 있다.
복수-스테이션 반응 챔버가 사용되는 경우에, 기판들은 상기에 기술된 바와 같이 상이한 스테이션들을 통해 이동할 수도 있다.
상대적으로 높은 온도, 높은 RF 전력, 긴 RF 노출 지속 기간, 및 주기적 플라즈마 처리들은 낮은 습식 에칭 레이트와 양호한 전기적 특성들을 가진 치밀하고, 고품질의 막의 형성을 촉진하는 것을 돕는다. 예를 들어, 실리콘 옥사이드의 습식 에칭 레이트 (300:1 BOE에서) 는 일부 경우들에서, 약 0.2 Å/second 내지 약 0.5 Å/second, 예를 들어, 약 0.2 Å/second 내지 약 0.4 Å/second일 수도 있다.
개시된 실시예들에 따라 증착된 막들은 약 3.8 내지 약 4.0의 유전 상수를 가질 수도 있다. 막은 약 -7.6 MC/cm 내지 -12.7 MC/cm의 BDV를 가질 수도 있다. 막은 약 -4.7 V 내지 약 -10.4 V의 Vfb를 가질 수도 있다. 막은 1 MV/cm2에서 약 7.6E-10 미만의 누설 (leakage), 및/또는 2 MV/cm2에서 약 3.9E-10 미만의 누설을 가질 수도 있다. 이 특성들은 예를 들어, 웨이퍼 상의 3개의 지점들 (에지 근처에서, 중앙에서, 그리고 중앙과 에지 사이의 중간 지점에서) 에 걸쳐 평균낸, 평균 특성들일 수도 있다. 일부 경우들에서, 막은 약 1.3 V 초과만큼, 예를 들어, 웨이퍼에 걸쳐 약 1.3 V 초과만큼 변하지 않는 Vfb를 가질 수도 있다.
상기에서 주목되는 바와 같이, 제 1 반응 물질은 흔히 실리콘-함유 반응 물질이고 제 2 반응 물질 (때때로 보조 반응 물질로 지칭됨) 은 흔히 산소-함유 반응 물질이다.
예시적인 실리콘-함유 반응 물질들은 이로 제한되지 않지만, 실란들, 할로실란들, 및 아미노실란들을 포함한다. 실란은 수소 및/또는 탄소 그룹들을 함유하지만, 할로겐을 함유하지 않는다. 실란들의 예들은 실란 (SiH4), 디실란 (Si2H6), 및 메틸실란, 에틸실란, 이소프로필실란, t-부틸실란, 디메틸실란, 디에틸실란, 디-t-부틸실란, 알릴실란, 이차-부틸실란, 티헥실실란 (thexylsilane), 이소아밀실란, t-부틸디실란, 디-t-부틸디실란, 테트라-에틸-오쏘-실리케이트 (테트라-에톡시-실란 또는 TEOS로서 또한 알려짐) 등과 같은 유기 실란들이다. 할로실란은 적어도 하나의 할로겐기를 함유하고 수소들 및/또는 탄소기들을 함유하거나 또는 함유하지 않을 수도 있다. 할로실란들의 예들은 요오드실란들, 브로모실란들, 클로로실란들 및 플루오로실란들이다. 할로실란들, 특히 플루오로실란들이 본 명세서에 기술된 특정한 실시예들에서, 실리콘 재료들을 에칭할 수 있는 반응성 할로겐화물 종을 형성할 수도 있을지라도, 실리콘-함유 반응 물질은 플라즈마가 스트라이킹될 때 존재하지 않는다. 구체적인 클로로실란들은 테트라클로로실란 (SiCl4), 트리클로로실란 (HSiCl3), 디클로로실란 (H2SiCl2), 모노클로로실란 (ClSiH3), 클로로알릴실란, 클로로메틸실란, 디클로로메틸실란, 클로로디메틸실란, 클로로에틸실란, t-부틸클로로실란, 디-t-부틸클로로실란, 클로로이소프로필실란, 클로로-이차-부틸실란, t-부틸디메틸클로로실란, 티헥실디메틸클로로실란 (thexyldimethylchlorosilane) 등이다. 아미노실란은 실리콘 원자에 결합된 적어도 하나의 질소 원자를 포함하지만, 또한 수소들, 산소들, 할로겐들 및 탄소들을 함유할 수도 있다. 아미노실란들의 예들은 모노-, 디-, 트리- 및 테트라-아미노실란 (각각 H3Si(NH2)4, H2Si(NH2)2, HSi(NH2)3 및 Si(NH2)4), 뿐만 아니라 치환된 모노-, 디-, 트리- 및 테트라-아미노실란들, 예를 들어, t-부틸아미노실란, 메틸아미노실란, tert-부틸실란아민, BTBAS (bis(tertiarybutylamino)silane) (SiH2(NHC(CH3)3)2, tert-부틸 실릴카바메이트, SiH(CH3)-(N(CH3)2)2, SiHCl-(N(CH3)2)2, (Si(CH3)2NH)3 등이다. 아미노실란의 추가의 예는 트리실릴아민 (N(SiH3)3) 이다. 실리콘-함유 반응 물질은 또한 이러한 반응 물질들의 2개 이상의 혼합물일 수도 있다.
산소-함유 반응 물질들의 예들은 산소, 오존, 아산화질소, 산화질소, 이산화질소, 일산화탄소, 이산화탄소, 일산화황, 이산화황, 물, 산소-함유 탄화수소(CxHyOz) 등을 포함한다. 산소-함유 반응 물질은 또한 이러한 반응 물질들의 임의의 2개 이상의 혼합물일 수도 있다.
본 명세서에 제공된 교시들이 주는, 당업자들에 의해 분명해지거나 또는 쉽게 식별할 수 있는 바와 같은, 다른 전구체들이 또한 사용될 수도 있다. 개시된 프로세스 윈도우는 실리콘 옥사이드 막의 고품질, 고밀도 층을 성취하도록 사용될 수도 있다.
일부 실시예들에서, (상대적으로 고온에서 수행된, 상대적으로 긴 플라즈마 노출들에 대해 높은 전력의 RF를 사용하는) 추가의 플라즈마 처리 및/또는 개시된 프로세스 윈도우는, 막 상에 특정한 부작용들을 생성할 수도 있다. 종래의/기준 방법과 비교할 때, 개시된 방법들을 통해 형성된 막은 다소 높은 웨이퍼 내 비균일성을 겪을 수도 있다. 또한, 개시된 프로세스 윈도우 및 관련된 추가의 플라즈마 처리는 변환 단계 동안 사용된 보다 긴 RF 플라즈마 노출 지속 기간들 및 추가의 플라즈마 처리들을 수행하는데 걸리는 시간에 기인하여 처리량을 감소시킬 수도 있다.
이 이슈들은 특정한 실시예들에서 "바이레이어"를 형성함으로써 처리될 수도 있다. 바이레이어 방법은 웨이퍼 내 비균일성 및 처리량을 최대화하는 동안 아래에 있는 층들과의 고품질 계면의 형성을 허용한다. 즉, 바이레이어 방법은 높은 처리량 및 높은 균일성이 바이레이어의 일부를 증착하기 위해 사용된 상대적으로 엄격한 프로세싱 조건들에도 불구하고 유지되도록, 개시된 프로세스 윈도우와 관련된 특정한 결함들을 처리하도록 사용될 수도 있다.
바이레이어 방법이 사용되는 경우에, 하단층은 도 2a와 관련되어 기술된 바와 같이, 고온, 높은 플라즈마 전력, 긴 플라즈마 노출 지속 기간, 및 추가의 플라즈마 처리들 중 하나 이상 (또는 모두) 을 이용하는 개시된 기법들에 따라 증착될 수도 있다. 하단층은 아래에 있는 층과의 고품질 계면을 형성하고, 유전체 절연층 (예를 들어, 깊은 트렌치 (trench) 및 다른 콘텍스트들에서) 으로서 사용될 수도 있다. 하단층 막은 통상적으로 항복 전압, 플랫밴드 전압, 유전 상수, 및 누설과 같은 매우 양호한 전기적 품질들을 갖는다. 하단층에서 획득될 수도 있는 예시적인 전기적 특성들이 상기에 제공되었다. 바이레이어의 상부층은 예를 들어, 도 1b와 관련되어 기술된 기준 프로세스를 사용하는, 종래의 프로세싱 방법들에 따라 증착될 수도 있다. 상부층은 기준 프로세스가 통상적으로 도 2a의 프로세스보다 양호한 균일성을 제공하기 때문에 웨이퍼 내 균일성을 향상시키는 것을 돕는다. 바이레이어의 상부층은 바이레이어의 하단층에 의해 라이닝되는 갭을 완전히 충진하도록 사용될 수도 있다. 바이레이어 방법은 전체 바이레이어의 일부만이 보다 긴 RF 시간들 및 선택적인 추가의 플라즈마 처리들을 수반하는 개시된 스킴에 따라 증착되기 때문에 처리량을 향상시킨다. 고품질 하단층은 바이레이어의 총 두께의 약 5 % 내지 약 25 %, 예를 들어, 약 10 % 내지 약 20 %를 차지할 수도 있다. 바이레이어는 일부 실시예들에서 (예를 들어, 3D-NAND 구조물 또는 TSV 구조물에서) 약 700 Å 내지 약 1000 Å의 총 두께를 가질 수도 있다.
도 2b는 기판 상에 바이레이어 막을 증착하는 방법에 대한 흐름도를 제공한다. 바이레이어의 하단층은 상기에 기술된 개시된 기법들에 따라 (예를 들어, 높은 기판 온도, 높은 RF 전력, 긴 RF 노출 지속 기간, 및 추가의 플라즈마 처리들 중 하나 이상을 이용하여) 증착될 수도 있고, 바이레이어의 상단층은 상기에 기술된 기준 기법들에 따라 (예를 들어, 상대적으로 보다 낮은 기판 온도, 보다 낮은 RF 전력, 보다 짧은 RF 노출 지속 기간, 추가의 플라즈마 처리들의 유무 중 하나 이상을 이용하여) 증착될 수도 있다.
방법 (250) 은 동작 252에서 시작하고, 동작 252에서 막의 하단층은 낮은 습식 에칭 레이트를 갖는 고품질의, 치밀한 막을 생성하기 위해 설계된 제 1 세트의 ALD 반응 조건들을 사용하여 증착된다. 반응은 예를 들어, 도 2a의 방법 (200) 에 따라 진행될 수도 있다. 일반적으로 말하자면, 바이레이어의 하단층은 (1) 상대적으로 높은 온도 (예를 들어, 약 435 ℃ 내지 약 550 ℃, 또는 약 450 ℃ 내지 약 550 ℃, 또는 약 500 ℃ 내지 약 550 ℃), 및/또는 (2) 상대적으로 높은 RF 전력 (예를 들어, 기판 면적의 제곱 센티미터 당 2.1 Watt 내지 3.6 Watt에 해당하는, 4개의 스테이션들 사이의 약 6,000 Watt 내지 약 10,000 Watt), 및/또는 (3) 상대적으로 긴 RF 노출 지속 기간 (예를 들어, 사이클 당 약 2 초 내지 약 5 초), 및/또는 (4) 본 명세서에 기술된 바와 같은 추가의 주기적 플라즈마 처리들을 사용하여 형성될 수도 있다.
방법 (250) 은 동작 254에서 계속되고, 동작 254에서 막의 상단층은 바이레이어의 하단층과 비교할 때 상대적으로 보다 높은 처리량으로 매우 균일한 막을 생성하기 위해 설계된 제 2 세트의 ALD 반응 조건들을 사용하여 증착된다. 증착의 이 부분은 예를 들어, 도 1b의 방법 (100) 에 따라 진행될 수도 있다. 하단층과 비교할 때, 상단층은 (1) 상대적으로 낮은 온도 (예를 들어, 약 400 ℃ 미만, 예를 들어, 약 50 ℃ 내지 약 400 ℃, 또는 약 300 ℃ 내지 약 400 ℃), 및/또는 (2) 상대적으로 낮은 RF 전력 (예를 들어, 기판 면적의 제곱 센티미터 당 0.7 Watt 내지 1.8 Watt에 해당하는, 4개의 스테이션들 사이에 분할된 약 2,000 Watt 내지 약 5,000 Watt), 및/또는 (3) 상대적으로 짧은 RF 노출 지속 기간 (예를 들어, 약 0.5 초 내지 약 1초) 을 사용하여 형성될 수도 있다. 도 2a의 동작 213과 관련되어 기술된 바와 같은, 추가의 플라즈마 처리들은 바이레이어의 상단층의 형성 동안 수행되거나 수행되지 않을 수도 있다. 일부 경우들에서, 플라즈마 처리들은 처리량을 최대화하는 것을 돕도록 생략된다. 다른 경우들에서, 플라즈마 처리들은 최종 바이레이어에서 바람직한 전기적 또는 다른 특성들을 보장하는 것을 돕도록 사용될 수도 있다. 막의 상단층은 바이레이어의 총 두께의 약 75 % 내지 약 95 %, 예를 들어, 총 두께의 약 80 % 내지 약 90 %를 차지할 수도 있다.
개시된 실시예들이 리세스된 피처들 내에서 균일한 막 품질을 성취하는데 유익할 것임이 예상된다. 그러나, 방법들은 또한 기판들의 다른 유형들 (예를 들어, 평평한 기판들, 또는 돌출하는 피처들을 가진 기판들) 상에 막들을 증착하도록 사용될 수도 있다. 향상된 측벽 품질 및 전체 막 비균일성에 대한 요구가 리세스되지 않은 기판들의 이 유형들의 맥락에서 크지 않을 수도 있다.
일부 실시예들에서, 기판은 약 10:1 이상의 종횡비들을 갖는 하나 이상의 리세스된 피처들을 포함한다. 이 경우 또는 다른 경우에서, 피처의 종횡비는 약 30:1 이하, 예를 들어, 약 25:1 이하, 또는 약 20:1 이하일 수도 있다. 이 비는 리세스된 피처의 깊이:폭으로서 규정된다. 다른 경우들에서, 피처들의 종횡비는 개시된 값들보다 높거나 또는 보다 낮을 수도 있다. 약 10:1 내지 약 20:1의 종횡비들을 갖는 피처들은 평평한 웨이퍼들이 또한 유익할 수도 있을지라도, 개시된 실시예들로부터 가장 큰 이점을 나타낸다고 예상된다. 일부 경우들에서, 리세스된 피처의 폭은 약 2 ㎛ 내지 약 100 ㎛, 예를 들어, 약 5 ㎛ 내지 약 50 ㎛, 또는 약 5 ㎛ 내지 약 20 ㎛일 수도 있다.
개시된 실시예들에 따라 형성된 막은 약 1.2 내지 약 1.6, 예를 들어, 일부 경우들에서 약 1.24 내지 약 1.59의 열적으로 성장된 실리콘 옥사이드에 대한 평균 습식 에칭 레이트를 가질 수도 있다. 막이 트렌치 또는 다른 리세스된 피처 내에 형성되는 경우에, 평균 습식 에칭 레이트는 상단, 상단 코너, 측벽의 중간 부분, 및 피처의 하단의 평균 (즉, 이 4개의 WER들이 함께 평균됨) 으로서 계산된다. 측벽의 중간 부분은 약 1.1 내지 약 1.5, 예를 들어, 일부 경우들에서 약 1.14 내지 1.46의 열적으로 성장된 실리콘 옥사이드에 대한 습식 에칭 레이트를 가질 수도 있다. 피처의 측벽의 중간 부분에서의 WER과 피처의 상단에서의 WER 사이의 비 (WERsidewall/WERtop) 는 약 1.05 내지 약 1.1, 예를 들어, 일부 경우들에서 약 1.06 내지 약 1.09일 수도 있다. 다른 경우들에서, 이 비 (WERsidewall/WERtop) 는 약 1 미만, 예를 들어, 약 0.9 내지 약 1, 또는 약 0.9 내지 약 0.95이다. 에칭 (예를 들어, 300:1 BOE에서, 150 초) 후에, 초기 막 두께와 비교하여 남아있는 막 두께를 고려할 때, 피처의 상단부는 적어도 약 77%의 나머지 부분을 가질 수도 있고, 피처의 상단 코너는 적어도 약 43%의 나머지 부분을 가질 수도 있고, 측벽의 중간 부분은 적어도 약 78%의 나머지 부분을 가질 수도 있고, 그리고/또는 피처의 하단부는 적어도 약 84%의 나머지 부분을 가질 수도 있다.
장치
개시된 방법들을 수행하기 위한 적합한 장치는 통상적으로 프로세스 동작들을 성취하기 위한 하드웨어 및 본 실시예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 가진 시스템 제어기를 포함한다. 예를 들어, 일부 실시예들에서, 하드웨어는 제어기를 갖는 프로세스 툴 내에 포함된 하나 이상의 PEALD 프로세스 스테이션들을 포함할 수도 있다.
도 3은 개시된 실시예들을 실행하도록 사용될 수도 있는 예시적인 장치의 블록도를 제공한다. 도시된 바와 같이, 반응기 (300) 는 반응기의 다른 컴포넌트들을 둘러싸고 예를 들어, 접지된 히터 블록 (320) 과 함께 작동하는 샤워헤드 (314) 를 포함하는 커패시터 유형의 시스템에 의해 생성된 플라즈마를 포함하는 역할을 하는, 프로세스 챔버 (324) 를 포함한다. 매칭 네트워크 (306) 에 연결된 고주파수 RF 생성기 (304), 및 저주파수 RF 생성기 (302) 는 샤워헤드 (314) 에 연결된다. 매칭 네트워크 (306) 에 의해 공급된 전력 및 주파수는 프로세스 가스로부터 플라즈마를 생성하는데 충분하다. 본 발명의 하나의 구현예에서, HFRF 생성기와 LFRF 생성기 둘 다가 사용된다. 통상적인 프로세스에서, 고주파수 RF 컴포넌트는 일반적으로 약 2 MHz 내지 약 60 MHz이고; 바람직한 실시예에서, HF 컴포넌트는 약 13.56 MHz 또는 약 27 MHz이다. 저주파수 LF 컴포넌트는 일반적으로 약 250 kHz 내지 약 400 kHz이고; 특정한 실시예에서, LF 컴포넌트는 약 350 kHz이다.
반응기 내에서, 웨이퍼 페데스탈 (318) 은 기판 (316) 을 지지한다. 페데스탈은 증착 반응 및/또는 플라즈마 처리 반응 동안 그리고 그 사이에 기판을 홀딩하고 이송하도록 척, 포크, 및/또는 리프트 핀들을 포함한다. 척은 산업계 및/또는 연구용으로 사용하는데 이용 가능한 것과 같은 정전기 척, 기계 척 또는 다양한 다른 유형들의 척일 수도 있다.
프로세스 가스들은 유입부 (312) 를 통해 도입된다. 복수의 소스 가스 라인들 (310) 은 매니폴드 (308) 에 연결된다. 가스들은 사전 혼합되거나 또는 사전 혼합되지 않을 수도 있다. 적절한 밸빙 (valving) 및 질량 유량 제어 메커니즘들은 적절한 가스가 증착 및 프로세스의 플라즈마 처리 페이즈들 동안 전달되는 것을 보장하기 위해 이용된다. 화학 전구체(들)가 액체 형태로 전달되는 경우에, 액체 유량 제어 메커니즘들이 이용된다. 이어서 액체는 증착 챔버에 도달하기 전에 기화점을 초과하여 가열된 매니폴드에서의 이송 동안 기화되고 다른 프로세스 가스들과 혼합된다.
프로세스 가스들은 유출부 (322) 를 통해 챔버 (300) 를 나간다. 진공 펌프 (326) (예를 들어, 1개 또는 2개 단계의 기계적 건조 펌프 및/또는 터보분자 펌프) 는 통상적으로 프로세스 가스를 인출하고 (draw out) 쓰로틀 밸브 또는 펜듈럼 밸브와 같은 폐쇄 루프 제어된 유량 제한 디바이스에 의해 반응기 내에서 적절하게 낮은 압력을 유지한다.
본 발명은 복수-스테이션 또는 단일 스테이션 툴 상에서 구현될 수도 있다. 구체적인 실시예들에서, 4-스테이션 증착 구성을 갖는 300 mm의 Novellus VectorTM 툴 또는 6-스테이션 증착 구성을 갖는 200 mm SequelTM 툴이 사용된다. 실시예들은 또한 450 mm 기판들 또는 다른 크기의 기판들을 프로세싱하도록 설계된 장치 상에서 실행될 수도 있다. 모든 요구된 증착들 및 처리들이 완료되거나, 또는 복수의 증착들 및 처리들이 웨이퍼를 인덱싱하기 전에 단일 스테이션에서 실시될 수 있을 때까지 매 증착 및/또는 증착 후 플라즈마 처리 후에 웨이퍼들을 인덱싱하는 것이 가능하다. 어느 경우에나 막 응력이 동일함이 도시되어 있다. 그러나, 하나의 스테이션 상에서 복수의 증착들/처리들을 실시하는 것은 각각의 증착 및/또는 처리 후에 인덱싱하는 것보다 상당히 빠르다.
도 4는 인바운드 로드 록 (2402) 및 아웃바운드 로드 록 (2404) 중 하나 또는 둘 다가 리모트 플라즈마 소스를 포함할 수도 있는, 인바운드 로드 록 (2402) 및 아웃바운드 로드 록 (2404) 을 갖는 복수-스테이션 프로세싱 툴 (2400) 의 실시예의 개략도를 도시한다. 대기압에서, 로봇 (2406) 은 포드 (pod) (2408) 를 통해 로딩된 카세트로부터 인바운드 로드 록 (2402) 내로 대기 포트 (2410) 를 통해 웨이퍼들을 이동시키도록 구성된다. 웨이퍼는 인바운드 로드 록 (2402) 내의 페데스탈 (2412) 상에 로봇 (2406) 에 의해 배치되고, 대기 포트 (2410) 가 폐쇄되며, 그리고 로드 록은 펌핑 다운된다. 인바운드 로드 록 (2402) 이 리모트 플라즈마 소스를 포함하는 경우에, 웨이퍼는 프로세싱 챔버 (2414) 내로 도입되기 전에 로드 록 내에서 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 또한 예를 들어, 습기 및 흡착된 가스들을 제거하도록 인바운드 로드 록 (2402) 내에서 가열될 수도 있다. 다음에, 프로세싱 챔버 (2414) 에 대해 챔버 이송 포트 (2416) 가 개방되고, 또 다른 로봇 (미도시) 은 웨이퍼를 프로세싱을 위한 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내에 배치한다. 도 4에 도시된 실시예가 로드 록들을 포함하지만, 일부 실시예들에서, 프로세스 스테이션 내로의 웨이퍼의 직접적인 출입이 제공될 수도 있음이 이해될 것이다.
도시된 프로세싱 챔버 (2414) 는 도 4에 도시된 실시예에서 1 내지 4로 번호가 매겨진, 4개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 2418로 도시됨), 및 가스 라인 유입부들을 갖는다. 일부 실시예들에서, 프로세스 스테이션 각각이 상이하거나 또는 복수의 목적들을 가질 수도 있음이 이해될 것이다. 도시된 프로세싱 챔버 (2414) 는 4개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버가 임의의 적합한 수의 스테이션들을 가질 수도 있음이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세싱 챔버는 5개 이상의 스테이션들을 가질 수도 있지만, 다른 실시예들에서, 프로세싱 챔버는 3개 이하의 스테이션들을 가질 수도 있다.
도 4는 또한 프로세싱 챔버 (2414) 내에 웨이퍼들을 이송시키기 위한 웨이퍼 핸들링 시스템 (2490) 의 실시예를 도시한다. 일부 실시예들에서, 웨이퍼 핸들링 시스템 (2490) 은 다양한 프로세스 스테이션들 사이 및/또는 프로세스 스테이션과 로드 록 사이에서 웨이퍼들을 이송시킬 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 이용될 수도 있음이 이해될 것이다. 비제한적 예들은 웨이퍼 캐러셀들 (carousel) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 4는 또한 프로세스 툴 (2400) 의 하드웨어 상태들 및 프로세스 조건들을 제어하기 위해 이용된 시스템 제어기 (2450) 의 실시예를 도시한다. 시스템 제어기 (2450) 는 하나 이상의 메모리 디바이스들 (2456), 하나 이상의 대용량 저장 디바이스들 (2454), 및 하나 이상의 프로세서들 (2452) 을 포함할 수도 있다. 프로세서 (2452) 는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 접속부들, 스텝퍼 모터 제어기 보드들 등을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (2450) 는 프로세스 툴 (2400) 의 모든 액티비티들을 제어한다. 시스템 제어기 (2450) 는 질량 저장 디바이스 (2454) 내에 저장되고, 메모리 디바이스 (2456) 내로 로딩되고, 그리고 프로세서 (2452) 상에서 실행되는 시스템 제어 소프트웨어 (2458) 를 실행한다. 시스템 제어 소프트웨어 (2458) 는 타이밍, 가스들의 혼합, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 퍼지 조건들 및 타이밍, 웨이퍼 온도, RF 전력 레벨들, RF 주파수들, 기판, 페데스탈, 척 및/또는 서셉터 (susceptor) 위치, 및 프로세스 툴 (2400) 에 의해 수행된 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 시스템 제어 소프트웨어 (2458) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들은 개시된 방법들에 따라 다양한 프로세스 툴 프로세스들을 실시하는데 필요한 프로세스 툴 컴포넌트들의 동작을 제어하기 위해 작성될 수도 있다. 시스템 제어 소프트웨어 (2458) 는 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시예들에서, 시스템 제어 소프트웨어 (2458) 는 상기에 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 인스트럭션들을 포함할 수도 있다. 예를 들어, PEALD 프로세스의 각각의 페이즈는 시스템 제어기 (2450) 에 의한 실행을 위한 하나 이상의 인스트럭션들을 포함할 수도 있다. PEALD 프로세스 페이즈에 대한 프로세스 조건들을 설정하기 위한 인스트럭션들은 해당하는 PEALD 레시피 페이즈에 포함될 수도 있다. 일부 실시예들에서, PEALD 레시피 페이즈들은 PEALD 레시피 페이즈에 대한 모든 인스트럭션들이 프로세스 페이즈와 동시에 실행되도록, 연속적으로 배열될 수도 있다.
시스템 제어기 (2450) 와 연관된 메모리 디바이스 (2456) 및/또는 대용량 저장 디바이스 (2454) 상에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들은 일부 실시예들에서 이용될 수도 있다. 이 목적을 위한 프로그램들의 예들 또는 프로그램들의 섹션들은 기판 포지셔닝 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (2418) 상에 기판을 로딩하고 기판과 프로세스 툴 (2400) 의 다른 부분들 사이의 공간을 제어하도록 사용되는 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트들을 제어하고 선택적으로 프로세스 스테이션 내의 압력을 안정화시키도록 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흐르게 하기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템 내의 쓰로틀 밸브를 조절함으로써 프로세스 스테이션 내의 압력, 프로세스 스테이션 내로의 가스 플로우 등을 제어하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 기판을 가열하도록 사용되는 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 기판으로의 (헬륨과 같은) 열 전달 가스의 전달을 제어할 수도 있다. 히터 제어 프로그램은 개시된 범위들 중 임의의 범위 내에서 기판의 온도를 유지하기 위한 인스트럭션들을 포함할 수도 있다.
플라즈마 제어 프로그램은 예를 들어, 본 명세서에 개시된 RF 전력 레벨들 중 임의의 레벨을 사용하여, 하나 이상의 프로세스 스테이션들에서 프로세스 전극들에 인가된 RF 전력 레벨들 및 주파수들을 설정하기 위한 코드를 포함할 수도 있다. 플라즈마 제어 프로그램은 또한 상기에 기술된 바와 같이 각각의 플라즈마 노출의 지속 기간을 제어하기 위한 코드를 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (2450) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (2450) 에 의해 조정된 파라미터들은 프로세스 조건들에 관한 것일 수도 있다. 비제한적 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, 플라즈마 조건들 (RF 전력 레벨들, 주파수, 및 노출 시간과 같은), 등을 포함한다. 이 파라미터들은 사용자 인터페이스를 이용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (2450) 의 아날로그 및/또는 디지털 입력 접속부들에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (2400) 의 아날로그 및 디지털 출력 접속부들 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적 예들은 질량 유량 제어기들, 압력 센서들 (마노미터들과 같은), 써모커플들 등을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들은 프로세스 조건들을 유지하도록 이 센서들로부터의 데이터를/와 함께 사용될 수도 있다.
시스템 제어기 (2450) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 전력 레벨, RF 주파수, RF 플라즈마 노출 지속 기간, 압력, 온도 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시예들에 따라 막 스택들의 인-시츄 증착을 작동하도록 파라미터들을 제어할 수도 있다.
상기에 기술된 다양한 하드웨어 및 방법 실시예들은 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제작 또는 제조를 위한, 리소그래픽 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 필수적이지는 않지만, 이러한 툴들/프로세스들은 공통 제조 시설에서 함께 사용 또는 실시될 것이다.
막의 리소그래픽 패터닝은 통상적으로 다음의 단계들의 일부 또는 전체를 포함하고, 각각의 단계는 복수의 가능한 툴들에 의해 가능하게 된다: (1) 스핀-온 또는 스프레이-온 툴을 사용하여 워크피스 (workpiece), 예를 들어, 기판 상에 형성된 실리콘 나이트라이드 막을 가진 기판 상에 포토레지스트를 도포하는 단계; (2) 고온 플레이트 또는 노 또는 다른 적합한 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴로 가시광선 또는 UV 또는 x-선 광선에 포토레지스트를 노출시키는 단계; (4) 습식 벤치 (bench) 또는 스프레이 디벨랍퍼와 같은 툴을 사용하여 레지스트를 선택적으로 제거하고 레지스트를 패터닝하도록 레지스트를 현상하는 단계; (5) 건식 또는 플라즈마-보조 에칭 툴을 사용함으로써 아래에 있는 막 또는 워크피스 내로 레지스트 패턴을 전사시키는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트리퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계. 일부 실시예들에서, (비정질 카본층과 같은) 애시가능 (ashable) 하드마스크층 및 (반사방지층과 같은) 또 다른 적합한 하드마스크가 포토레지스트를 도포하기 전에 증착될 수도 있다.
본 명세서에 기술된 구성들 및/또는 방법들은 본질적으로 예시적이고, 이들 구체적인 실시예들 또는 예들은 수많은 변형들이 가능하기 때문에, 제한적 의미로 고려되지 않음이 이해된다. 본 명세서에 기술된 구체적인 루틴들 또는 방법들은 임의의 수의 프로세싱 방법들 중 하나 이상을 나타낼 수도 있다. 이와 같이, 예시된 다양한 동작들은 예시된 시퀀스로, 다른 시퀀스들로, 동시에 수행될 수도 있고, 또는 일부 경우들에서 생략될 수도 있다. 유사하게, 상기 기술된 프로세스들의 순서는 변경될 수도 있다.
본 개시의 주제는 다양한 프로세스들, 시스템들 및 구성들의 모든 신규한 그리고 불분명한 조합들 및 하위-조합들, 및 본 명세서에 개시된 다른 특징들, 기능들, 동작들, 및/또는 특성들뿐만 아니라 상기 것들의 임의의 그리고 모든 등가물들을 포함한다.
실험
실험 결과들은 개시된 프로세스 윈도우가 낮은 습식 에칭 레이트들 및 양호한 전기적 특성들을 갖는 고품질 막들을 증착하도록 사용될 수도 있음을 나타낸다.
도 5는 증착 조건들의 다양한 세트들에 대해 4개의 상이한 기판 온도들로 증착된 실리콘 옥사이드 막들의 (열적으로 성장된 실리콘 옥사이드에 대한) 습식 에칭 레이트를 도시한다. 조건 1 내지 조건 4는 표 1에 도시된 바와 같은, 특정한 RF 전력 레벨들, RF 플라즈마 노출 지속 기간, 압력들, 및 특정한 플로우 레이트들에 관한 것이다. 다른 반응 파라미터들은 상이한 조건들 사이에서 일정하다. 조건들은 명료성을 위해 그래프에서 각각의 바의 상단에 라벨링된다 (label).
조건 1 조건 2 조건 3 조건 4
총 RF 전력 (W) 5000 6000 6000 6000
RF 플라즈마 노출 지속 기간(s) 0.5 1 1 1
압력 (Torr) 6 5 5 5
O2 플로우 (SLM) 10 10 2 10
Ar 플로우 (SLM) 0 0 8 10
모든 조건들이 각각의 온도에서 테스트되진 않는다. 도면에 도시된 바와 같이, 기판 온도를 증가시키는 것은 발생된 막에서 보다 낮은 습식 에칭 레이트들을 야기한다. 예를 들어, 400 ℃에서의 기준 종래의 프로세스와 비교할 때, 435 ℃ 경우들은 습식 에칭 레이트에 대해 약 4 %의 감소를 나타내고, 460 ℃ 경우들은 습식 에칭 레이트에 대해 약 8 %의 감소를 나타내고, 그리고 495 ℃ 경우들은 습식 에칭 레이트에 대해 약 13 %의 감소를 나타낸다. 이 상관관계는 보다 높은 기판 온도들이 보다 높은 품질의 막들을 발생시킬 수도 있다는 것을 시사한다.도 6은 RF 플라즈마 노출의 상이한 지속 기간들 동안 (열적으로 성장된 실리콘 옥사이드에 대한) 실리콘 옥사이드 막의 습식 에칭 레이트를 예시하는 그래프를 도시한다. 보다 긴 RF 플라즈마 노출 지속 기간들은 보다 낮은 습식 에칭 레이트들을 발생시킨다. 또한, 보다 긴 RF 노출 지속 기간들에 증착된 막들은 보다 작은 압축 응력을 나타낸다. 보다 긴 RF 노출에 대한 하나의 결점은 막 두께의 면에서 볼 때 증가된 비균일성이다 (웨이퍼 내 비균일성과 웨이퍼-대-웨이퍼 비균일성 둘 다). 특히, 보다 긴 RF 노출 지속 기간들은 보다 두껍고 그리고 보다 경사진 에지들을 갖는 막 프로파일들을 발생시킨다.
추가의 막들이 형성되고 개시된 선택적 플라즈마 처리들을 사용하여 테스트된다. 각각의 테스트된 플라즈마 처리는 각각의 스테이션이 스테이션 내에 300 mm 웨이퍼를 갖는, 4개의 스테이션들 사이에서 분할된 약 2500 Watt의 RF 전력을 사용하여, 약 4 Torr의 압력에서, 약 30 초의 지속 기간 동안, 매 50 ALD 사이클들 당 1회 수행된다. 제 1 플라즈마 처리는 헬륨 플라즈마에 기판을 노출시키는 것을 수반한다. 제 2 플라즈마 처리는 산소 플라즈마에 기판을 노출시키는 것을 수반한다. 제 3 플라즈마 처리는 산소/아르곤 플라즈마 (2:1 비로 전달된 산소:아르곤) 에 기판을 노출시키는 것을 수반한다. 플라즈마 처리들로 처리된 막들은 약간 보다 낮은 압축 응력, 및 보다 낮은 습식 에칭 레이트들을 나타낸다. 헬륨 플라즈마로 처리된 막은 또한 보다 치밀한 막을 나타내는, 보다 높은 굴절률 (RI) 을 나타낸다.
또 다른 막은 바이레이어로서 증착된다. 바이레이어의 하단층은 50 ALD 사이클들마다 헬륨 플라즈마 처리로, 높은 RF 전력 (4개의 스테이션들 사이에서 6000 W), 긴 RF 노출 (2 초), 및 고온 (495 ℃) 으로 증착된, 약 150 Å 두께이다. 바이레이어의 상단층은 추가의 플라즈마 처리들 없이, 기준 프로세스 윈도우에 따라 증착된, 약 850 Å 두께이다. 바이레이어는 습식 에칭 레이트, 전기적 특성들, 및 균일성 (웨이퍼-대-웨이퍼 및 웨이퍼 내) 의 면에서 볼 때 매우 양호한 결과들을 나타낸다. 바이레이어 경우에서의 균일성은 전체 막이 개시된 프로세스 윈도우에 따라 증착되는 경우들과 비교할 때 향상되었다.
FTIR (Fourier transform infrared spectroscopy) 은 증착된 막들 중 많은 부분 상에서 수행된다. 모든 경우들에 대해, 막들은 유리하게 (약 3000 cm-1의 파수에서 발견되는) OH 결합들과 관련된 어떠한 신호도 나타내지 않는다. 이것은 개시된 플라즈마 처리들이 막을 악화시키지 않음을 시사한다. 또한, 데이터는 Si-O-Si 결합들 (스트레칭) 과 관련된 신호가 향상되고, 여기서 보다 높은 온도들 및/또는 보다 긴 RF 노출 시간들이 사용된다는 것을 나타낸다. 신호 향상은 흡광도 및 피크 파수의 증가에 의해 입증된다. 선택적 플라즈마 처리들을 사용하여 증착되는 막들은 또한 FTIR 분석을 받는다. 산소 및 산소/아르곤 플라즈마 처리들이 FTIR 결과들에 영향을 미치지만, 헬륨 플라즈마 처리는 Si-O-Si 신호를 감소시킨다.
각각의 막은 또한 항복 전압 (BDV), 플랫밴드 전압 (Vfb), 유전 상수 (k), 및 누설을 포함하는 전기적 특성들에 대해 테스트된다. 각각의 막은 웨이퍼 상의 3개의 지점들에서 (에지, 중앙, 및 에지와 중앙 사이의 지점) 테스트된다. 전기적 특성들 (BDV, Vfb 및 누설), 뿐만 아니라 전기적 특성들의 웨이퍼 내 균일성은, 고온들 및 보다 긴 RF 노출 지속 기간들과 함께 향상된다. 산소 및 산소/아르곤 플라즈마 처리들은 웨이퍼에 걸쳐 Vfb의 빽빽한 분포에 의해 입증되는 바와 같이, 누설 및 웨이퍼 내 전기적 균일성을 향상시킨다. 산소/아르곤 플라즈마 처리는 전기적 특성들의 훌륭한 균일성을 갖는 막을 발생시킨다. 주기적 헬륨 플라즈마 처리들로 형성된 막은 다른 플라즈마 처리들과 비교할 때 보다 낮은 BDV, 보다 높은 누설 및 웨이퍼에 걸친 보다 큰 범위의 Vfb를 가진, 약간 안 좋은 전기적 특성들을 나타낸다.
도 7a는 웨이퍼 상에 증착된 실리콘 옥사이드 (702) 의 층을 가진 테스트 웨이퍼 (700) 의 2개의 이미지들을 나타낸다. 테스트 웨이퍼는 실리콘 옥사이드 (702) 에 의해 라이닝된 복수의 트렌치들 (704) 을 포함한다. 상단 위치, 상단 코너 위치, 측벽 위치, 및 하단 위치가 도면 상에 표시된다. 실리콘 옥사이드 (702) 는 400 ℃에서, 4개의 스테이션들 사이에 5,000 Watt의 총 RF 전력을 사용하여, 그리고 0.5 초의 RF 노출 지속 기간 동안 베이스 프로세스 윈도우에 따라 증착된다. 도 7a의 상단 패널에 도시된 이미지는 실리콘 옥사이드 (702) 가 증착된 후의 테스트 웨이퍼 (700) 를 도시한다. 도 7a의 하단 패널에 도시된 이미지는 실리콘 옥사이드 (702) 가 습식 에칭 프로세스에 노출된 후의 테스트 웨이퍼 (700) 를 도시한다. 에칭 프로세스는 약 150 초의 기간 동안 BOE (buffered oxide etch) 용액 (300:1 BOE:물) 내에 테스트 웨이퍼 (700) 를 딥핑하는 (dipping) 것을 수반한다.
표 2는 도 7a에 도시된 테스트 웨이퍼 (700) 와 관련된 에칭 결과들을 기술한다.
상단 상단 코너 측벽 하단
증착될 때의 두께 (Å) 356.7 317.1 377.2 380.1
에칭 후의 두께 (Å) 289.5 237.8 277.6 306.6
에칭된 양 (Å) 67.3 79.4 99.6 73.6
습식 에칭 레이트 (WER) (Å/sec) 0.45 0.53 0.66 0.49
피처 상단에서의 WER에 대한 WER의 비 100% 118% 148% 109%
측벽에서의 WER은 막의 다른 부분들에서의 WER과 비교할 때 매우 높다. 막의 상단부는 가장 낮은 습식 에칭 레이트를 나타내고, 하단부가 후속하고, 이어서 상단 코너, 그리고 최종적으로 측벽 순이다.도 7b는 테스트 웨이퍼 상에 증착된 실리콘 옥사이드 (712) 의 층을 가진 테스트 웨이퍼 (710) 의 이미지들을 나타낸다. 도 7a에서와 같이, 테스트 웨이퍼 (710) 는 실리콘 옥사이드 (712) 에 의해 라이닝되는 트렌치들 (714) 을 포함한다. 상단 패널은 증착될 때의 테스트 웨이퍼 (710) 를 도시하고, 그리고 하단 패널은 도 7a에 대해 상기에 기술된 습식 에칭 프로세스 후의 테스트 웨이퍼 (710) 를 도시한다. 실리콘 옥사이드 (712) 는 개시된 프로세스 윈도우 내에 속하는 하나의 실시예에 따라 증착된다. 특히, 실리콘 옥사이드 (712) 는 약 495 ℃로, 4개의 스테이션들 사이에 분할된 6,000 Watt의 총 전력을 사용하여, 2 초의 RF 노출 시간을 사용하여 증착된다.
표 3은 도 7b에 도시된 테스트 웨이퍼 (710) 와 관련된 에칭 결과들을 기술한다.
상단 상단 코너 측벽 하단
증착될 때의 두께 (Å) 330.4 127.4 315.8 421.0
에칭 후의 두께 (Å) 257.3 54.6 248.5 356.7
에칭된 양 (Å) 73.1 72.9 67.3 64.3
습식 에칭 레이트 (WER) (Å/sec) 0.49 0.49 0.45 0.43
피처 상단에서의 WER에 대한 WER의 비 100% 100% 92% 88%
이 예에서, 습식 에칭 레이트는 도 7a의 트렌치 (704) 에서 본 결과들과 비교할 때 트렌치 (714) 의 상이한 부분들에서 훨씬 보다 많이 균일하다. 트렌치들 (714) 의 상단 코너들은 유사하게 증착 동안의 스퍼터링에 기인하여 상당히 얇다. 이러한 스퍼터링 및 얇은 코너들은 다른 맥락들에서 코너 스퍼터링이 최소로 유지되어야 함에도 불구하고, 특정한 맥락들에서 특히 문제가 되지 않는다. WER은 피처의 하단을 향해 감소된다. 특히, 피처의 상단 및 상단 코너는 하단에서의 WER보다 높은, 측벽에서의 WER보다 높은, 거의 같은 WER를 나타낸다. 도 7c는 테스트 웨이퍼 상에 증착된 실리콘 옥사이드 (722) 의 층을 가진 테스트 웨이퍼 (720) 의 이미지들을 나타낸다. 도 7a에서와 같이, 테스트 웨이퍼 (720) 는 실리콘 옥사이드 (722) 에 의해 라이닝되는 트렌치들 (724) 을 포함한다. 상단 패널은 증착될 때의 테스트 웨이퍼 (720) 를 도시하고, 그리고 하단 패널은 도 7a에 대해 상기에 기술된 습식 에칭 프로세스 후의 테스트 웨이퍼 (720) 를 도시한다. 실리콘 옥사이드 (722) 는 개시된 프로세스 윈도우에 속하는 하나의 실시예에 따라 증착된다. 특히, 실리콘 옥사이드 (722) 는 약 495 ℃로, 4개의 스테이션들 사이에 분할된 6,000 Watt의 총 전력을 사용하여, 5 초의 RF 노출 시간을 사용하여 증착된다.
표 4는 도 7c에 도시된 테스트 웨이퍼 (720) 와 관련된 에칭 결과들을 기술한다.
상단 상단 코너 측벽 하단
증착될 때의 두께 (Å) 350.9 38.4 429.8 558.5
에칭 후의 두께 (Å) 298.3 0.0 380.1 520.5
에칭된 양 (Å) 52.6 >38.4 49.7 38.0
습식 에칭 레이트 (WER) (Å/sec) 0.35 -- 0.33 0.25
피처 상단에서의 WER에 대한 WER의 비 100% -- 94% 72%
도 7c에 도시된 바와 같이, 실리콘 옥사이드 (722) 는 트렌치 (724) 의 상단 코너들 근처에 매우 얇게 증착된다. 강한 스퍼터링이 실리콘 옥사이드와 아래에 있는 실리콘 나이트라이드 둘 다에 대해, 막 증착 동안 발생한다고 여겨진다. 트렌치 (724) 의 측벽들 및 하단은 훨씬 보다 두껍게 증착되고, 상단 부분 및 상단 코너 부분으로부터의 스퍼터링된 재료가 측벽 부분 및 하단 부분 상에 재증착된다는 것을 시사한다. 보다 긴 RF 노출 지속 기간들이 증가된 스퍼터링을 발생시키기 때문에, 선택된 RF 노출 지속 기간이 막을 오버 스퍼터링하지 (over-sputter) 않는다는 것을 보장하도록 주의가 취해져야 한다. 최적의 RF 노출 지속 기간은 특정한 전구체들, 플로우 레이트들, RF 전력, 및 증착 동안 사용된 다른 요인들과 관련될 것이다. 이 예에서, 상단 코너는 습식 에칭 프로세스 동안 완전히 에칭된다.도 7d는 테스트 웨이퍼 상에 증착된 실리콘 옥사이드 (732) 의 층을 가진 테스트 웨이퍼 (730) 의 이미지들을 나타낸다. 도 7a에서와 같이, 테스트 웨이퍼 (730) 는 실리콘 옥사이드 (732) 에 의해 라이닝되는 트렌치들 (734) 을 포함한다. 상단 패널은 증착될 때의 테스트 웨이퍼 (730) 를 도시하고, 그리고 하단 패널은 도 7a에 대해 상기에 기술된 습식 에칭 프로세스 후의 테스트 웨이퍼 (730) 를 도시한다. 실리콘 옥사이드 (732) 는 개시된 프로세스 윈도우에 속하는 하나의 실시예에 따라 증착된다. 특히, 실리콘 옥사이드 (732) 는 약 495 ℃로, 4개의 스테이션들 사이에 분할된 6,000 Watt의 총 전력을 사용하여, 2 초의 RF 노출 시간을 사용하여 증착된다. 실리콘 옥사이드 (732) 는 50 ALD 사이클들마다 헬륨 플라즈마 처리를 사용하여 증착된다.
표 5는 도 7d에 도시된 테스트 웨이퍼 (730) 와 관련된 에칭 결과들을 기술한다.
상단 상단 코너 측벽 하단
증착될 때의 두께 (Å) 333.3 102.3 315.8 429.8
에칭 후의 두께 (Å) 271.9 43.8 259.8 377.2
에칭된 양 (Å) 61.4 58.5 56.0 52.6
습식 에칭 레이트 (WER) (Å/sec) 0.41 0.39 0.37 0.35
피처 상단에서의 WER에 대한 WER의 비 100% 95% 91% 86%
이 예에서, 실리콘 옥사이드 막 (732) 의 상단 코너들은 도 7c의 실리콘 옥사이드 막 (722) 보다 덜한 정도이지만, 증착 동안 스퍼터링의 사인들을 나타낸다. 습식 에칭 레이트는 피처의 하단을 향해 감소한다. 트렌치 (734) 의 상단부는 가장 높은 WER을 나타내고, 상단 코너가 후속하고, 이어서 측벽, 그리고 최종적으로 하단 순이다. 도 8은 도 7a 내지 도 7d 및 표 2 내지 표 5에 나타낸 결과들을 요약한다.

Claims (35)

  1. 단일 또는 복수-스테이션 반응 챔버에서 기판의 표면 상에 실리콘 옥사이드 막을 증착하는 방법에 있어서,
    (a) 실리콘-함유 반응 물질로 하여금 기판의 표면 상에 흡착하도록 허용하는 조건들 하에서 반응 챔버 내로 증기 상으로 상기 실리콘-함유 반응 물질을 흐르게 하는 단계;
    (b) 상기 단계 (a) 후에, 상기 반응 챔버 내로 증기 상으로 산소-함유 반응 물질을 흐르게 하는 단계 및 실리콘 옥사이드 막을 형성하도록 상기 실리콘-함유 반응 물질과 상기 산소-함유 반응 물질 사이의 표면 반응을 구동하기 위해서 플라즈마에 상기 기판의 상기 표면을 노출시키는 단계;
    (c) 상기 실리콘 옥사이드 막이 목표 두께에 도달할 때까지 상기 단계 (a) 및 상기 단계 (b) 를 반복하는 단계;
    (d) 상기 단계 (c) 후에, 제 2 실리콘-함유 반응 물질로 하여금 상기 기판의 상기 표면 상에 흡착하도록 허용하는 조건들 하에서 상기 반응 챔버 내로 증기 상으로 상기 제 2 실리콘-함유 반응 물질을 흐르게 하는 단계;
    (e) 상기 단계 (d) 후에, 상기 반응 챔버 내로 증기 상으로 제 2 산소-함유 반응 물질을 흐르게 하는 단계, 및 제 2 실리콘 옥사이드 막을 형성하도록 상기 제 2 실리콘-함유 반응 물질과 상기 제 2 산소-함유 반응 물질 사이의 표면 반응을 구동하기 위해서 제 2 플라즈마에 상기 기판의 상기 표면을 노출시키는 단계; 및
    (f) 상기 제 2 실리콘 옥사이드 막이 제 2 목표 두께에 도달할 때까지 상기 단계 (d) 및 상기 단계 (e) 를 반복하는 단계를 포함하고,
    상기 산소-함유 반응 물질을 흐르게 하는 단계 및 상기 플라즈마에 상기 기판의 상기 표면을 노출시키는 단계는 적어도 부분적으로 동시에 발생하고,
    상기 기판의 온도는 상기 단계 (a) 및 상기 단계 (b) 동안 435 ℃ 내지 550 ℃로 유지되고,
    상기 플라즈마는 기판 면적의 제곱 센티미터 당 2.1 Watt 내지 3.6 Watt의 총 RF 전력을 사용하여 생성되고,
    상기 기판의 상기 표면은 2 초 내지 5 초의 지속 기간 동안 상기 단계 (b) 에서 상기 플라즈마에 노출되고,
    상기 제 2 산소-함유 반응 물질을 흐르게 하는 단계 및 상기 제 2 플라즈마에 상기 기판의 상기 표면을 노출시키는 단계는 적어도 부분적으로 동시에 발생하고,
    상기 기판의 온도는 상기 단계 (d) 및 상기 단계 (e) 동안 400 ℃ 미만으로 유지되고,
    상기 제 2 플라즈마는 기판 면적의 제곱 센티미터 당 0.7 Watt 내지 1.8 Watt의 총 RF 전력을 사용하여 생성되고, 상기 RF 전력은 고주파수 RF로만 제공되고, 그리고,
    상기 기판의 상기 표면은 0.5 초 내지 1 초의 지속 기간 동안 상기 단계 (e) 에서 상기 제 2 플라즈마에 노출되는, 실리콘 옥사이드 막을 증착하는 방법.
  2. 제 1 항에 있어서,
    상기 실리콘 옥사이드 막은 10 이상의 종횡비를 갖는 리세스된 (recessed) 피처 내에 형성되는, 실리콘 옥사이드 막을 증착하는 방법.
  3. 제 2 항에 있어서,
    상기 리세스된 피처는 5 ㎛ 내지 50 ㎛의 폭을 갖는, 실리콘 옥사이드 막을 증착하는 방법.
  4. 제 3 항에 있어서,
    상기 리세스된 피처는 TSV (through-silicon-via) 인, 실리콘 옥사이드 막을 증착하는 방법.
  5. 제 3 항에 있어서,
    상기 리세스된 피처는 3D-NAND 구조물의 일부를 형성하는, 실리콘 옥사이드 막을 증착하는 방법.
  6. 제 1 항에 있어서,
    상기 실리콘-함유 반응 물질의 플로우가 중단된 후에 반응 물질 후 퍼지 (post-reactant purge) 를 수행하는 단계, 및 상기 플라즈마에 상기 기판의 상기 표면을 노출시킨 후에 플라즈마 후 퍼지 (post-plasma purge) 를 수행하는 단계를 더 포함하는, 실리콘 옥사이드 막을 증착하는 방법.
  7. 제 6 항에 있어서,
    상기 실리콘-함유 반응 물질은 상기 단계 (a) 에서, 0.2 초 내지 1 초의 지속 기간 동안 상기 반응 챔버 내로 흐르고, 상기 반응 물질 후 퍼지는 0.2 초 내지 1 초의 지속 기간을 갖고, 상기 산소-함유 반응 물질은 상기 반응 챔버 내로 흐르고 상기 기판의 상기 표면은 0.5 초 내지 1 초의 지속 기간 동안 플라즈마에 노출되고, 그리고 상기 플라즈마 후 퍼지는 0.1 초 내지 0.5 초의 지속 기간을 갖는, 실리콘 옥사이드 막을 증착하는 방법.
  8. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 실리콘-함유 반응 물질은 아미노 치환된 실란을 포함하고, 상기 산소-함유 반응 물질은 산소, 아산화질소, 오존, 일산화탄소, 산화질소, 이산화질소, 산화유황, 이산화황, 산소-함유 탄화수소, 물, 및 그것들의 혼합물들 중 적어도 하나를 포함하는, 실리콘 옥사이드 막을 증착하는 방법.
  9. 제 8 항에 있어서,
    상기 아미노 치환된 실란은 BTBAS를 포함하는, 실리콘 옥사이드 막을 증착하는 방법.
  10. 제 9 항에 있어서,
    상기 반응 챔버 내의 압력은 상기 단계 (a) 및 상기 단계 (b) 동안 2 Torr 내지 6 Torr로 유지되는, 실리콘 옥사이드 막을 증착하는 방법.
  11. 제 2 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 실리콘 옥사이드 막은 상기 리세스된 피처의 상단 개구부와 근접한 필드 구역에서 제 1 습식 에칭 레이트, 상기 리세스된 피처의 상단 코너에서 제 2 습식 에칭 레이트, 상기 리세스된 피처의 측벽의 중간에서 제 3 습식 에칭 레이트, 및 상기 리세스된 피처의 하단부에서의 제 4 습식 에칭 레이트를 나타내고, 상기 제 1 습식 에칭 레이트는 상기 제 3 습식 에칭 레이트 이상인, 실리콘 옥사이드 막을 증착하는 방법.
  12. 제 11 항에 있어서,
    상기 제 1 습식 에칭 레이트는 상기 제 2 습식 에칭 레이트 이상이고, 상기 제 2 습식 에칭 레이트는 상기 제 3 습식 에칭 레이트 이상이고, 그리고 상기 제 3 습식 에칭 레이트는 상기 제 4 습식 에칭 레이트 이상인, 실리콘 옥사이드 막을 증착하는 방법.
  13. 제 11 항에 있어서,
    상기 제 1 습식 에칭 레이트, 상기 제 2 습식 에칭 레이트, 상기 제 3 습식 에칭 레이트, 및 상기 제 4 습식 에칭 레이트는 15 % 초과만큼 서로 상이하지 않은, 실리콘 옥사이드 막을 증착하는 방법.
  14. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 실리콘 옥사이드 막은 -7.6 MC/cm 내지 -12.7 MC/cm의 항복 전압을 갖는, 실리콘 옥사이드 막을 증착하는 방법.
  15. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 실리콘 옥사이드 막은 상기 기판에 걸쳐 1.3 V를 넘어 차이가 나지 않는 플랫밴드 전압을 나타내는, 실리콘 옥사이드 막을 증착하는 방법.
  16. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 기판의 상기 표면을 플라즈마 처리에 주기적으로 노출하는 단계로서,
    처리 플라즈마 생성 가스로부터 처리 플라즈마를 생성하는 단계; 및
    상기 실리콘 옥사이드 막을 치밀하게 (densify) 하도록 10 초 내지 100 초의 지속 기간 동안 상기 기판의 상기 표면을 상기 처리 플라즈마에 노출시키는 단계를 포함하는, 상기 기판의 표면을 플라즈마 처리에 주기적으로 노출시키는 단계를 더 포함하는, 실리콘 옥사이드 막을 증착하는 방법.
  17. 제 16 항에 있어서,
    상기 처리 플라즈마 생성 가스는 산소를 포함하는, 실리콘 옥사이드 막을 증착하는 방법.
  18. 제 17 항에 있어서,
    상기 처리 플라즈마 생성 가스는 아르곤을 더 포함하고, 그리고
    상기 처리 플라즈마 생성 가스의 산소:아르곤의 비는 SLM (standard liters per minute) 으로 측정될 때 0.5:1 내지 2:1인, 실리콘 옥사이드 막을 증착하는 방법.
  19. 제 16 항에 있어서,
    상기 처리 플라즈마 생성 가스는 헬륨을 포함하는, 실리콘 옥사이드 막을 증착하는 방법.
  20. 제 16 항에 있어서,
    상기 처리 플라즈마 생성 가스는 5 SLM 내지 20 SLM의 레이트로 흐르고, 그리고 상기 반응 챔버 내의 압력은 상기 기판의 상기 표면이 상기 처리 플라즈마에 노출될 때 2 Torr 내지 6 Torr로 유지되는, 실리콘 옥사이드 막을 증착하는 방법.
  21. 제 16 항에 있어서,
    상기 처리 플라즈마는 기판 면적의 제곱 센티미터 당 0.3 Watt 내지 1.8 Watt의 총 RF 전력을 사용하여 생성되는, 실리콘 옥사이드 막을 증착하는 방법.
  22. 삭제
  23. 반도체 기판 상에 실리콘 옥사이드 바이레이어를 형성하는 방법에 있어서,
    (a) 제 1 RF 전력으로 생성되고 제 1 지속 기간 동안 기판에 주기적으로 노출되는 제 1 플라즈마를 수반하는 제 1 원자층 증착 반응을 통해 제 1 온도에서 상기 기판 상에 제 1 층의 실리콘 옥사이드를 형성하는 단계; 및
    (b) 제 2 원자층 증착 반응을 통해 제 2 온도에서 상기 제 1 층의 실리콘 옥사이드 상에 제 2 층의 실리콘 옥사이드를 형성하는 단계로서, 상기 제 1 층의 실리콘 옥사이드와 상기 제 2 층의 실리콘 옥사이드는 함께 실리콘 옥사이드 바이레이어를 형성하고, 상기 제 2 층의 실리콘 옥사이드의 형성은 제 2 RF 전력으로 생성되고 제 2 지속 기간 동안 상기 기판에 주기적으로 노출되는 제 2 플라즈마를 수반하고, 상기 제 1 온도는 상기 제 2 온도보다 높고, 상기 제 1 RF 전력은 상기 제 2 RF 전력보다 크고, 상기 제 1 지속 기간은 상기 제 2 지속 기간보다 길고, 상기 제 1 층의 실리콘 옥사이드는 상기 제 2 층의 실리콘 옥사이드보다 낮은 습식 에칭 레이트를 갖는, 상기 제 2 층의 실리콘 옥사이드를 형성하는 단계를 포함하는, 반도체 기판 상에 실리콘 옥사이드 바이레이어를 형성하는 방법.
  24. 제 23 항에 있어서,
    상기 제 1 층의 실리콘 옥사이드의 두께는 상기 실리콘 옥사이드 바이레이어의 총 두께의 20 % 이하를 나타내는, 반도체 기판 상에 실리콘 옥사이드 바이레이어를 형성하는 방법.
  25. 제 23 항에 있어서,
    상기 제 1 RF 전력은 기판 면적의 제곱 센티미터 당 2.1 Watt 내지 3.6 Watt이고, 상기 제 1 지속 기간은 2 초 내지 5 초인, 반도체 기판 상에 실리콘 옥사이드 바이레이어를 형성하는 방법.
  26. 제 23 항 내지 제 25 항 중 어느 한 항에 있어서,
    상기 제 1 온도는 435 ℃ 내지 550 ℃이고 상기 제 2 온도는 400 ℃ 미만인, 반도체 기판 상에 실리콘 옥사이드 바이레이어를 형성하는 방법.
  27. 기판의 표면 상에 실리콘 옥사이드 막을 증착하기 위한 장치에 있어서,
    반응 챔버;
    상기 반응 챔버 내에 플라즈마를 제공하기 위한 플라즈마 소스;
    상기 반응 챔버로 실리콘-함유 반응 물질과 산소-함유 반응 물질을 제공하기 위한 하나 이상의 유입부들;
    상기 반응 챔버 내에서 상기 기판을 지지하기 위한 기판 지지부; 및
    제어기를 포함하고,
    상기 제어기는,
    (a) 상기 실리콘-함유 반응 물질로 하여금 상기 기판의 상기 표면 상에 흡착하도록 허용하는 조건들 하에서 상기 반응 챔버 내로 증기 상으로 상기 실리콘-함유 반응 물질을 흘리고,
    (b) 상기 (a) 후에, 상기 반응 챔버 내로 증기 상으로 상기 산소-함유 반응 물질을 흘리고, 상기 실리콘 옥사이드 막을 형성하도록 상기 실리콘-함유 반응 물질과 상기 산소-함유 반응 물질 사이의 표면 반응을 구동하도록 플라즈마에 상기 기판의 상기 표면을 노출하고,
    (c) 상기 실리콘 옥사이드 막이 목표 두께에 도달할 때까지 상기 (a) 및 상기 (b) 를 반복하고,
    d) 상기 단계 (c) 후에, 제 2 실리콘-함유 반응 물질로 하여금 상기 기판의 상기 표면 상에 흡착하도록 허용하는 조건들 하에서 상기 반응 챔버 내로 증기 상으로 상기 제 2 실리콘-함유 반응 물질을 흐르게 하고,
    (e) 상기 단계 (d) 후에, 상기 반응 챔버 내로 증기 상으로 제 2 산소-함유 반응 물질을 흐르게 하는 단계, 및 제 2 실리콘 옥사이드 막을 형성하도록 상기 제 2 실리콘-함유 반응 물질과 상기 제 2 산소-함유 반응 물질 사이의 표면 반응을 구동하기 위해서 제 2 플라즈마에 상기 기판의 상기 표면을 노출시키고, 그리고,
    (f) 상기 제 2 실리콘 옥사이드 막이 제 2 목표 두께에 도달할 때까지 상기 단계 (d) 및 상기 단계 (e) 를 반복하기 위한 인스트럭션들을 갖고,
    상기 제어기는 적어도 부분적으로 동시에 상기 산소-함유 반응 물질을 흘리고 플라즈마에 상기 기판의 상기 표면을 노출하기 위한 인스트럭션들을 갖고,
    상기 제어기는 상기 (a) 및 상기 (b) 동안 435 ℃ 내지 550 ℃의 상기 기판의 온도를 유지하기 위한 인스트럭션들을 갖고,
    상기 제어기는 기판 면적의 제곱 센티미터 당 2.1 Watt 내지 3.6 Watt의 총 RF 전력을 사용하여 플라즈마를 생성하고, 그리고 고주파수 RF만을 사용하여 상기 플라즈마를 생성하기 위한 인스트럭션들을 갖고,
    상기 제어기는 2 초 내지 5 초의 지속 기간 동안 상기 (b) 에서 상기 플라즈마에 상기 기판의 상기 표면을 노출하기 위한 인스트럭션들을 갖고,
    상기 제어기는 적어도 부분적으로 동시에 상기 제 2 산소-함유 반응 물질을 흘리고 상기 제 2 플라즈마에 상기 기판의 상기 표면을 노출하기 위한 인스트럭션들을 갖고,
    상기 제어기는 상기 (d) 및 상기 (e) 동안 400 ℃ 미만으로 상기 기판의 온도를 유지하기 위한 인스트럭션들을 갖고,
    상기 제어기는 기판 면적의 제곱 센티미터 당 0.7 Watt 내지 1.8 Watt의 총 RF 전력을 사용하여 상기 제 2 플라즈마를 생성하고, 그리고 상기 RF 전력은 고주파수 RF 만 사용하는 인스트럭션들을 갖고, 그리고
    상기 제어기는 0.5 초 내지 1 초의 지속 기간 동안 상기 단계 (e) 에서 상기 제 2 플라즈마에 상기 기판의 상기 표면을 노출하기 위한 인스트럭션들을 갖는, 기판의 표면 상에 실리콘 옥사이드 막을 증착하기 위한 장치.
  28. 제 27 항에 있어서,
    상기 제어기는,
    상기 실리콘-함유 반응 물질의 플로우가 중단된 후 그리고 상기 산소-함유 반응 물질의 플로우가 시작되기 전 상기 반응 챔버를 퍼지하고, 그리고
    플라즈마에 상기 기판의 상기 표면을 노출시킨 후에 상기 반응 챔버를 퍼지하기 위한 인스트럭션들을 더 갖는, 기판의 표면 상에 실리콘 옥사이드 막을 증착하기 위한 장치.
  29. 제 28 항에 있어서,
    상기 제어기는 상기 (a) 에서, 0.2 초 내지 1 초의 지속 기간 동안 상기 실리콘-함유 반응 물질을 상기 반응 챔버 내로 흘리고, 상기 (a) 와 상기 (b) 사이에 0.2 초 내지 1 초의 지속 기간 동안 상기 반응 챔버를 퍼지하고, 상기 (b) 에서 상기 산소-함유 반응 물질을 상기 반응 챔버 내로 흘리고 상기 기판의 상기 표면을 0.5 초 내지 1 초의 지속 기간 동안 플라즈마에 노출하고, 그리고 상기 (b) 후에 0.1 초 내지 0.5 초의 지속 기간 동안 상기 반응 챔버를 퍼지하기 위한 인스트럭션들을 갖는, 기판의 표면 상에 실리콘 옥사이드 막을 증착하기 위한 장치.
  30. 제 27 항에 있어서,
    상기 제어기는 상기 (a) 및 상기 (b) 동안 상기 반응 챔버 내의 압력을 2 Torr 내지 6 Torr로 유지하기 위한 인스트럭션들을 갖는, 기판의 표면 상에 실리콘 옥사이드 막을 증착하기 위한 장치.
  31. 제 27 항 내지 제 30 항 중 어느 한 항에 있어서,
    상기 제어기는,
    처리 플라즈마 생성 가스로부터 처리 플라즈마를 생성함으로써; 그리고
    상기 실리콘 옥사이드 막을 치밀하게 하도록 10 초 내지 100 초의 지속 기간 동안 상기 처리 플라즈마에 상기 기판의 상기 표면을 노출시킴으로써 플라즈마 처리에 상기 기판의 상기 표면을 노출하기 위한 인스트럭션들을 더 갖는, 기판의 표면 상에 실리콘 옥사이드 막을 증착하기 위한 장치.
  32. 제 31 항에 있어서,
    상기 제어기는 기판 면적의 제곱 센티미터 당 0.3 Watt 내지 1.8 Watt의 총 RF 전력을 사용하여 상기 처리 플라즈마를 생성하기 위한 인스트럭션들을 갖는, 기판의 표면 상에 실리콘 옥사이드 막을 증착하기 위한 장치.
  33. 제 27 항 내지 제 30 항 중 어느 한 항에 있어서,
    상기 반응 챔버는 내부에 복수의 스테이션들을 갖는, 기판의 표면 상에 실리콘 옥사이드 막을 증착하기 위한 장치.
  34. 제 27 항 내지 제 30 항 중 어느 한 항에 있어서
    상기 제어기는,
    (d) 상기 (c) 후에, 제 2 실리콘-함유 반응 물질로 하여금 상기 기판의 상기 표면 상에 흡착하도록 허용하는 조건들 하에서 상기 반응 챔버 내로 증기 상으로 상기 제 2 실리콘-함유 반응 물질을 흘리고,
    (e) 상기 (d) 후에, 상기 반응 챔버 내로 증기 상으로 제 2 산소-함유 반응 물질을 흘리고, 그리고 제 2 실리콘 옥사이드 막을 형성하도록 상기 제 2 실리콘-함유 반응 물질과 상기 제 2 산소-함유 반응 물질 사이의 표면 반응을 구동하기 위해서 제 2 플라즈마에 상기 기판의 상기 표면을 노출시키고, 그리고
    (f) 상기 제 2 실리콘 옥사이드 막이 제 2 목표 두께에 도달할 때까지 상기 (d) 및 상기 (e) 를 반복하기 위한 인스트럭션들을 더 갖고,
    상기 제어기는 적어도 부분적으로 동시에 상기 제 2 산소-함유 반응 물질을 흘리고 상기 제 2 플라즈마에 상기 기판의 상기 표면을 노출하기 위한 인스트럭션들을 갖고,
    상기 제어기는 상기 (d) 및 상기 (e) 동안 400 ℃ 미만의 상기 기판의 온도를 유지하기 위한 인스트럭션들을 갖고,
    상기 제어기는 기판 면적의 제곱 센티미터 당 0.7 Watt 내지 1.8 Watt의 총 RF 전력을 사용하고, 그리고 고주파수 RF만을 사용하여 상기 제 2 플라즈마를 생성하기 위한 인스트럭션들을 갖고, 그리고
    상기 제어기는 0.5 초 내지 1 초의 지속 기간 동안 상기 (e) 에서 상기 제 2 플라즈마에 상기 기판의 상기 표면을 노출하기 위한 인스트럭션들을 갖는, 기판의 표면 상에 실리콘 옥사이드 막을 증착하기 위한 장치.
  35. 반도체 기판 상에 실리콘 옥사이드 바이레이어를 형성하기 위한 장치에 있어서,
    반응 챔버;
    상기 반응 챔버 내에 플라즈마를 제공하기 위한 플라즈마 소스;
    상기 반응 챔버로 실리콘-함유 반응 물질과 산소-함유 반응 물질을 제공하기 위한 하나 이상의 유입부들;
    상기 반응 챔버 내에서 상기 기판을 지지하기 위한 기판 지지부; 및
    제어기를 포함하고,
    상기 제어기는,
    (a) 제 1 RF 전력으로 생성되고 제 1 지속 기간 동안 상기 기판에 주기적으로 노출되는 제 1 플라즈마를 수반하는 제 1 원자층 증착 반응을 통해 제 1 온도에서 상기 기판 상에 제 1 층의 실리콘 옥사이드를 형성하고, 그리고
    (b) 제 2 원자층 증착 반응을 통해 제 2 온도에서 상기 제 1 층의 실리콘 옥사이드 상에 제 2 층의 실리콘 옥사이드를 형성하기 위한 인스트럭션들을 갖고, 상기 제 1 층의 실리콘 옥사이드와 상기 제 2 층의 실리콘 옥사이드는 함께 상기 실리콘 옥사이드 바이레이어를 형성하고, 상기 제 2 층의 실리콘 옥사이드의 형성은 제 2 RF 전력으로 생성되고 제 2 지속 기간 동안 상기 기판에 주기적으로 노출되는 제 2 플라즈마를 수반하고, 상기 제 1 온도는 상기 제 2 온도보다 높고, 상기 제 1 RF 전력은 상기 제 2 RF 전력보다 크고, 상기 제 1 지속 기간은 상기 제 2 지속 기간보다 길고, 상기 제 1 층의 실리콘 옥사이드는 상기 제 2 층의 실리콘 옥사이드보다 낮은 습식 에칭 레이트를 갖는, 반도체 기판 상에 실리콘 옥사이드 바이레이어를 형성하기 위한 장치.
KR1020220114140A 2014-07-18 2022-09-08 실리콘 옥사이드를 증착하기 위한 방법들 KR102628080B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/335,785 US9685320B2 (en) 2010-09-23 2014-07-18 Methods for depositing silicon oxide
US14/335,785 2014-07-18
KR1020150099945A KR102443554B1 (ko) 2014-07-18 2015-07-14 실리콘 옥사이드를 증착하기 위한 방법들

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020150099945A Division KR102443554B1 (ko) 2014-07-18 2015-07-14 실리콘 옥사이드를 증착하기 위한 방법들

Publications (2)

Publication Number Publication Date
KR20220127795A KR20220127795A (ko) 2022-09-20
KR102628080B1 true KR102628080B1 (ko) 2024-01-23

Family

ID=55075155

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020150099945A KR102443554B1 (ko) 2014-07-18 2015-07-14 실리콘 옥사이드를 증착하기 위한 방법들
KR1020220114140A KR102628080B1 (ko) 2014-07-18 2022-09-08 실리콘 옥사이드를 증착하기 위한 방법들

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020150099945A KR102443554B1 (ko) 2014-07-18 2015-07-14 실리콘 옥사이드를 증착하기 위한 방법들

Country Status (3)

Country Link
US (1) US9685320B2 (ko)
KR (2) KR102443554B1 (ko)
TW (1) TWI679701B (ko)

Families Citing this family (369)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP6159172B2 (ja) * 2013-06-26 2017-07-05 東京エレクトロン株式会社 温度制御方法及びプラズマ処理装置
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9875888B2 (en) * 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US9802828B2 (en) * 2014-10-03 2017-10-31 Applied Materials, Inc. Precursors suitable for high temperature atomic layer deposition of silicon-containing films
US10096464B2 (en) * 2014-10-04 2018-10-09 Applied Materials, Inc. Atomic layer deposition of high density silicon dioxide
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
JP6456764B2 (ja) * 2015-04-28 2019-01-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9607837B1 (en) * 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US20170314129A1 (en) 2016-04-29 2017-11-02 Lam Research Corporation Variable cycle and time rf activation method for film thickness matching in a multi-station deposition system
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9698042B1 (en) 2016-07-22 2017-07-04 Lam Research Corporation Wafer centering in pocket to improve azimuthal thickness uniformity at wafer edge
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
JP6456893B2 (ja) * 2016-09-26 2019-01-23 株式会社Kokusai Electric 半導体装置の製造方法、記録媒体および基板処理装置
US10049869B2 (en) * 2016-09-30 2018-08-14 Lam Research Corporation Composite dielectric interface layers for interconnect structures
US9824884B1 (en) 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) * 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) * 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
JP6956592B2 (ja) * 2017-10-31 2021-11-02 東京エレクトロン株式会社 シリコン酸化膜を形成する方法および装置
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US20190189447A1 (en) * 2017-12-19 2019-06-20 Lam Research Corporation Method for forming square spacers
KR20220143158A (ko) 2018-01-15 2022-10-24 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 산화에 대한 아르곤 추가
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10446394B2 (en) * 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US20190345608A1 (en) * 2018-05-08 2019-11-14 Lam Research Corporation Method of providing a plasma atomic layer deposition
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
JP7073924B2 (ja) * 2018-06-06 2022-05-24 東京エレクトロン株式会社 原子層成長法を用いて基板上に薄膜を成膜する方法、または装置
US20190378725A1 (en) * 2018-06-08 2019-12-12 Lam Research Corporation Method for transferring a pattern from an organic mask
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
WO2020006313A1 (en) 2018-06-29 2020-01-02 Lam Research Corporation Oxidative conversion in atomic layer deposition processes
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
JP7066565B2 (ja) 2018-07-27 2022-05-13 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20210150606A (ko) * 2019-05-01 2021-12-10 램 리써치 코포레이션 변조된 원자 층 증착
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
WO2021092197A1 (en) * 2019-11-08 2021-05-14 Lam Research Corporation Plasma-enhanced atomic layer deposition with radio-frequency power ramping
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) * 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US20220157602A1 (en) * 2020-11-18 2022-05-19 Applied Materials, Inc. Silicon oxide gap fill using capacitively coupled plasmas
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114622183A (zh) * 2020-12-11 2022-06-14 湖南红太阳光电科技有限公司 一种制备氧化硅薄膜的方法
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
JP2022095428A (ja) * 2020-12-16 2022-06-28 東京エレクトロン株式会社 成膜方法及び成膜装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US12094709B2 (en) * 2021-07-30 2024-09-17 Applied Materials, Inc. Plasma treatment process to densify oxide layers
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (306)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4843472A (ko) 1971-10-04 1973-06-23
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
JPH0293071A (ja) 1988-09-29 1990-04-03 Toshiba Corp 薄膜の形成方法
TW201848B (ko) 1991-11-08 1993-03-11 Advanced Micro Devices Inc
US5223443A (en) 1992-02-19 1993-06-29 Integrated Device Technology, Inc. Method for determining wafer cleanliness
JPH06177120A (ja) 1992-10-27 1994-06-24 Sony Corp 層間絶縁膜の形成方法
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US5593914A (en) 1996-03-19 1997-01-14 Radiant Technologies, Inc. Method for constructing ferroelectric capacitor-like structures on silicon dioxide surfaces
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US6069058A (en) 1997-05-14 2000-05-30 United Semiconductor Corp. Shallow trench isolation for semiconductor devices
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US6100202A (en) 1997-12-08 2000-08-08 Taiwan Semiconductor Manufacturing Company Pre deposition stabilization method for forming a void free isotropically etched anisotropically patterned doped silicate glass layer
US6509601B1 (en) 1998-07-31 2003-01-21 Samsung Electronics Co., Ltd. Semiconductor memory device having capacitor protection layer and method for manufacturing the same
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6218293B1 (en) 1998-11-13 2001-04-17 Micron Technology, Inc. Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
DE10080457T1 (de) 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
KR100356473B1 (ko) 1999-12-29 2002-10-18 주식회사 하이닉스반도체 반도체 소자의 알루미늄 옥사이드 박막 형성 방법
JP3437832B2 (ja) 2000-03-22 2003-08-18 東京エレクトロン株式会社 成膜方法及び成膜装置
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US20030008070A1 (en) 2001-06-12 2003-01-09 Applied Materials,Inc Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
EP1292970B1 (en) 2000-06-08 2011-09-28 Genitech Inc. Thin film forming method
KR100721503B1 (ko) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US20050230047A1 (en) 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
JP2002134497A (ja) 2000-10-23 2002-05-10 Sony Corp 半導体装置の製造方法
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
JP3437830B2 (ja) 2000-11-28 2003-08-18 東京エレクトロン株式会社 成膜方法
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
WO2002080244A2 (en) 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP2003045864A (ja) 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
EP1421606A4 (en) 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
WO2003076678A2 (en) 2002-03-08 2003-09-18 Sundew Technologies, Llc Ald method and apparatus
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7374617B2 (en) 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100472777B1 (ko) 2002-06-26 2005-03-10 동부전자 주식회사 박막 적층 방법
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6784049B2 (en) 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6730164B2 (en) 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
WO2004032196A2 (en) 2002-10-03 2004-04-15 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
CN101572232B (zh) 2002-12-20 2011-12-21 应用材料有限公司 形成高质量的低温氮化硅层的方法
US6890656B2 (en) 2002-12-20 2005-05-10 General Electric Company High rate deposition of titanium dioxide
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
KR100546852B1 (ko) 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6930058B2 (en) 2003-04-21 2005-08-16 Micron Technology, Inc. Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge
WO2004094695A2 (en) 2003-04-23 2004-11-04 Genus, Inc. Transient enhanced atomic layer deposition
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6765303B1 (en) 2003-05-06 2004-07-20 Advanced Micro Devices, Inc. FinFET-based SRAM cell
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
KR100500472B1 (ko) 2003-10-13 2005-07-12 삼성전자주식회사 리세스 게이트 트랜지스터 구조 및 형성방법
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
US7088003B2 (en) 2004-02-19 2006-08-08 International Business Machines Corporation Structures and methods for integration of ultralow-k dielectrics with improved reliability
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
KR100538096B1 (ko) 2004-03-16 2005-12-21 삼성전자주식회사 원자층 증착 방법을 이용하는 커패시터 형성 방법
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7449345B2 (en) 2004-06-15 2008-11-11 Headway Technologies, Inc. Capping structure for enhancing dR/R of the MTJ device
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7148155B1 (en) * 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
JP4701691B2 (ja) 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US7365027B2 (en) 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7176084B2 (en) 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
KR100734748B1 (ko) 2005-09-08 2007-07-03 주식회사 아이피에스 인시튜 질화물(in-situ nitride) 박막증착방법
US20070087581A1 (en) 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US20070065576A1 (en) 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US7524743B2 (en) 2005-10-13 2009-04-28 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
CN101288162B (zh) 2005-10-14 2010-06-09 日本电气株式会社 半导体装置的制造方法及其制造装置
US7897217B2 (en) 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
WO2007064376A2 (en) 2005-11-28 2007-06-07 Honeywell International Inc. Organometallic precursors and related intermediates for deposition processes, their production and methods of use
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
JP2007180362A (ja) 2005-12-28 2007-07-12 Toshiba Corp 半導体装置
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
TWI424498B (zh) 2006-03-31 2014-01-21 Applied Materials Inc 用以改良介電薄膜之階梯覆蓋與圖案負載的方法
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
JP2007287889A (ja) 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法
JP2007287890A (ja) 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法、プラズマcvd装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20090324971A1 (en) 2006-06-16 2009-12-31 Fujifilm Manufacturing Europe B.V. Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma
US20080014759A1 (en) 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8366953B2 (en) 2006-09-19 2013-02-05 Tokyo Electron Limited Plasma cleaning method and plasma CVD method
JP5258229B2 (ja) 2006-09-28 2013-08-07 東京エレクトロン株式会社 成膜方法および成膜装置
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US20080087890A1 (en) 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
KR100816759B1 (ko) 2006-11-09 2008-03-25 삼성전자주식회사 가변저항 스토리지를 갖는 비휘발성 기억 장치 및 동작방법
WO2008100963A1 (en) 2007-02-12 2008-08-21 Lotus Applied Technology, Llc Fabrication of composite materials using atomic layer deposition
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (ko) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
EP2011898B1 (en) 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
JP5098882B2 (ja) 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
US20090075490A1 (en) 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US8119424B2 (en) 2007-09-28 2012-02-21 Everspin Technologies, Inc. Electronic device including a magneto-resistive memory device and a process for forming the electronic device
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090057665A (ko) 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
WO2009099254A1 (ja) * 2008-02-08 2009-08-13 Tokyo Electron Limited 絶縁膜の形成方法、コンピュータ読み取り可能な記憶媒体および処理システム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (ja) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8373254B2 (en) 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
ES2335638B1 (es) 2008-08-01 2011-02-09 Cosentino, S.A. Articulo en forma de tabla o losa fabricado de aglomerado petreo recubierto con laminas delgadas transparentes de tio2 o zno mediante tecnicas de deposicion en via seca con alta resistencia frente a la degradacion solar.
US20100051578A1 (en) 2008-09-04 2010-03-04 Shuo-Che Chang Method for fabricating an integrated circuit
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US7910491B2 (en) * 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
CN102197459A (zh) 2008-10-27 2011-09-21 应用材料股份有限公司 三元化合物的气相沉积方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8197915B2 (en) 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
JP2011023576A (ja) 2009-07-16 2011-02-03 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US8169024B2 (en) 2009-08-18 2012-05-01 International Business Machines Corporation Method of forming extremely thin semiconductor on insulator (ETSOI) device without ion implantation
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8072800B2 (en) 2009-09-15 2011-12-06 Grandis Inc. Magnetic element having perpendicular anisotropy with enhanced efficiency
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8946672B2 (en) 2009-11-11 2015-02-03 Nec Corporation Resistance changing element capable of operating at low voltage, semiconductor device, and method for forming resistance change element
US8691675B2 (en) 2009-11-25 2014-04-08 International Business Machines Corporation Vapor phase deposition processes for doping silicon
KR101758944B1 (ko) * 2009-12-09 2017-07-18 노벨러스 시스템즈, 인코포레이티드 신규한 갭 충진 집적화
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US20110151142A1 (en) 2009-12-22 2011-06-23 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5514129B2 (ja) 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
ES2974490T3 (es) 2010-05-21 2024-06-27 Asm Int Nv Celda solar y método de fabricación de la misma
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
KR101710658B1 (ko) * 2010-06-18 2017-02-27 삼성전자 주식회사 관통 전극을 갖는 3차원 적층 구조의 반도체 장치 및 그 반도체 장치의 시그널링 방법
WO2012012381A1 (en) 2010-07-22 2012-01-26 Synos Technology, Inc. Treating surface of substrate using inert gas plasma in atomic layer deposition
JP5490753B2 (ja) * 2010-07-29 2014-05-14 東京エレクトロン株式会社 トレンチの埋め込み方法および成膜システム
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8524612B2 (en) * 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US20120108079A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
KR102496406B1 (ko) 2010-11-10 2023-02-06 나노시스, 인크. 양자 도트 필름들, 조명 디바이스들, 및 조명 방법들
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
JP2012160671A (ja) 2011-02-02 2012-08-23 Toshiba Corp 磁気ランダムアクセスメモリ及びその製造方法
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
WO2012148439A1 (en) 2011-04-25 2012-11-01 William Marsh Rice University Direct growth of graphene films on non-catalyst surfaces
JP5551129B2 (ja) 2011-09-07 2014-07-16 株式会社東芝 記憶装置
JP2013058521A (ja) 2011-09-07 2013-03-28 Toshiba Corp 記憶装置及びその製造方法
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
KR102084901B1 (ko) 2011-09-23 2020-03-05 노벨러스 시스템즈, 인코포레이티드 플라즈마 활성화된 컨포멀 유전체 막 증착
JP6065840B2 (ja) 2011-11-02 2017-01-25 宇部興産株式会社 トリス(ジアルキルアミド)アルミニウム化合物及び当該アルミニウム化合物を用いるアルミニウム含有薄膜の製造方法
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
JP5843318B2 (ja) 2012-02-14 2016-01-13 株式会社Adeka Ald法用窒化アルミニウム系薄膜形成用原料及び該薄膜の製造方法
JP6125247B2 (ja) 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
EP3058401A4 (en) 2013-10-17 2017-05-17 Nanosys, Inc. Light emitting diode (led) devices
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150159271A1 (en) 2013-12-09 2015-06-11 Veeco Ald Inc. Deposition of non-isostructural layers for flexible substrate
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch

Also Published As

Publication number Publication date
KR20160011149A (ko) 2016-01-29
US20160163539A9 (en) 2016-06-09
US20160020092A1 (en) 2016-01-21
US9685320B2 (en) 2017-06-20
TWI679701B (zh) 2019-12-11
TW201618189A (zh) 2016-05-16
KR102443554B1 (ko) 2022-09-14
KR20220127795A (ko) 2022-09-20

Similar Documents

Publication Publication Date Title
KR102628080B1 (ko) 실리콘 옥사이드를 증착하기 위한 방법들
KR102357418B1 (ko) 유기금속 공-반응물들과의 교차-복분해 반응들을 통해 sic 및 sicn 막들을 증착시키기 위한 장치들 및 방법들
US10192742B2 (en) Soft landing nanolaminates for advanced patterning
CN111247269B (zh) 介电膜的几何选择性沉积
US9076646B2 (en) Plasma enhanced atomic layer deposition with pulsed plasma exposure
KR102439698B1 (ko) Peald 프로세스 및 열적 ald 프로세스에 의해 형성된 나이트라이드 막
JP6562629B2 (ja) パルスプラズマ暴露を伴うプラズマ原子層堆積
KR102538780B1 (ko) Ald에 의해 형성된 실리콘 나이트라이드 막의 피처-내 습식 에칭 레이트의 균일한 감소를 위한 방법들 및 장치들
TWI649803B (zh) 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充
US20160329206A1 (en) Methods of modulating residual stress in thin films
US20110236600A1 (en) Smooth Silicon-Containing Films
KR20160118968A (ko) Ald 및 ale에 의해 컨포멀한 막들의 증착
US12040181B2 (en) Modulated atomic layer deposition

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant