WO2009099254A1 - 絶縁膜の形成方法、コンピュータ読み取り可能な記憶媒体および処理システム - Google Patents

絶縁膜の形成方法、コンピュータ読み取り可能な記憶媒体および処理システム Download PDF

Info

Publication number
WO2009099254A1
WO2009099254A1 PCT/JP2009/052447 JP2009052447W WO2009099254A1 WO 2009099254 A1 WO2009099254 A1 WO 2009099254A1 JP 2009052447 W JP2009052447 W JP 2009052447W WO 2009099254 A1 WO2009099254 A1 WO 2009099254A1
Authority
WO
WIPO (PCT)
Prior art keywords
plasma
insulating film
forming
processing
silicon oxide
Prior art date
Application number
PCT/JP2009/052447
Other languages
English (en)
French (fr)
Inventor
Yoshiro Kabe
Junichi Kitagawa
Kikuo Yamabe
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2008029476A external-priority patent/JP5374748B2/ja
Priority claimed from JP2008029477A external-priority patent/JP5374749B2/ja
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to KR1020107017596A priority Critical patent/KR101248651B1/ko
Priority to US12/865,969 priority patent/US8034179B2/en
Publication of WO2009099254A1 publication Critical patent/WO2009099254A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66765Lateral single gate single channel transistors with inverted structure, i.e. the channel layer is formed after the gate

Definitions

  • Insulating film forming method computer-readable storage medium and processing system
  • the present invention relates to C VD (C he m i c a l V a p o r D e p o s
  • It relates to a method of forming an insulating film by chemical vapor deposition), a computer-readable storage medium and a processing system.
  • the CVD method is widely used for the purpose of forming an insulating film such as a silicon oxide film or a high dielectric constant insulating film in the manufacturing process of various semiconductor devices.
  • an energy film such as heat is used to cause a vapor phase reaction in a film forming raw material, and an insulating film is formed on the object to be processed.
  • the first problem is that the interface state density increases because minute irregularities are formed at the interface between the insulating film and the underlying film deposited by the CVD method.
  • the interface state density increases, for example, when the underlying film is a silicon layer, the mobility of carriers moving through the interface between the silicon layer and the insulating film decreases, and the electrical performance of the device may deteriorate. Concerned.
  • the film thickness fluctuates due to unevenness, there are microscopically weak spots, which affects the insulation rupture life.
  • Patent Documents 1 and 2 a technique for modifying the film quality at a relatively low temperature by plasma-treating the silicon oxide film has been proposed (for example, Patent Documents 1 and 2).
  • Patent Document 1 W O 2 0 0 2/0 5 9 9 5 6
  • Patent Document 2 W 0 2 0 0 1 Z 6 9 6 6 5 Disclosure of Invention
  • the present invention has been made in view of such circumstances, and an object of the present invention is to provide an insulating film capable of flattening the shape of the interface between silicon and the insulating film as much as possible when the insulating film is formed by a CVD method. It is to provide a forming method.
  • an insulating film forming method of the present invention includes a plasma oxidation treatment step of forming a silicon oxide film as a first insulating film by performing plasma oxidation treatment of silicon exposed on a surface of a workpiece.
  • a plasma reforming process for performing a reforming process on the second insulating film using a plasma of a processing gas containing oxygen is provided.
  • the processing pressure is 6.7 Pa or more and 2 67 7 Pa or less.
  • the flow rate ratio of oxygen to the total flow rate of the processing gas is 0.1% or more. It is preferably performed within a range of 30% or less.
  • the processing pressure in the plasma oxidation processing step is in a range of 6.7 Pa to 6 7 Pa.
  • the plasma reforming treatment step includes a treatment pressure in a range of 6.7 Pa to 2 67 Pa and a total flow rate of the treatment gas. It is preferable that the flow rate ratio of oxygen to oxygen is within a range of 0.1% to 30%. In this case, it is preferable that the treatment pressure in the plasma reforming treatment step is in a range of 6.7 Pa or more and 6 7 Pa or less.
  • the plasma modification step is preferably performed by a plasma processing apparatus that introduces a microwave into a processing chamber using a planar antenna having a plurality of holes.
  • the insulating film is preferably formed by a plasma CVD method or a thermal CVD method.
  • the CVD step and the plasma modification treatment step are repeated a plurality of times.
  • a processing temperature in the plasma oxidation treatment step and the plasma modification treatment step is in a range of 200 ° C. or more and 60 ° C. or less. .
  • the plasma oxidation process and the plasma modification process be performed in the same chamber.
  • the interface between the silicon oxide film and the silicon formed in the plasma oxidation process is flat.
  • the thickness of the first insulating film is in the range of 3 nm to 10 nm
  • the thickness of the second insulating film is 3 nm to 1 O. It is preferably within the range of nm or less.
  • the second insulating film is preferably a silicon oxide film deposited by a CVD method using dichlorosilane and N 2 O as source gases.
  • the computer-readable storage medium of the present invention is a computer-readable storage medium storing a control program that runs on a computer
  • the control program performs a plasma oxidation process on silicon exposed on the surface of the target object to provide a first insulation.
  • a plasma oxidation process for forming a silicon oxide film as a film; a CVD process for forming a second insulating film on the silicon oxide film by a CVD method; and oxygen for the second insulating film The processing system is controlled by a computer so that a plasma reforming process step of performing a reforming process using a plasma of a processing gas and a method of forming an insulating film including:
  • a processing system includes a first processing chamber that performs a first process on an object to be processed, and a second process that performs a second process different from the first process on the object to be processed.
  • a processing system having a second processing chamber and a third processing chamber for performing a third processing different from the second processing on the object to be processed, in the first processing chamber,
  • the first treatment silicon exposed on the surface of the object to be processed is subjected to plasma oxidation to form a silicon oxide film as a first insulating film, and then in a second treatment chamber, the second treatment is performed.
  • a treatment a second insulating film is formed on the silicon oxide film by a CVD method, and then a third treatment chip is formed.
  • a control unit that controls each process chamber so that the second insulating film is subjected to a plasma reforming process using a plasma of a process gas containing oxygen.
  • the first processing chamber and the third processing chamber are the same processing chamber.
  • a silicon oxide film is formed as a first insulating film so that the silicon surface is plasma-oxidized to flatten the interface with silicon.
  • an insulating film of the present invention it becomes possible to secure the carrier mobility near the silicon / insulating film interface, for example, to improve the electrical characteristics of the device, such as the operating speed of the transistor. Along with the improvement of the quality of the film can be manufactured by improving the film quality.
  • FIG. 1 is a plan view showing a schematic configuration of the substrate processing system.
  • FIG. 2 is a schematic sectional view showing an example of a plasma processing apparatus suitable for carrying out the insulating film forming method of the present invention.
  • Figure 3 shows the structure of the planar antenna.
  • FIG. 4 is an explanatory diagram showing the configuration of the control unit.
  • FIG. 5 is a schematic sectional view showing an example of a single wafer CVD film forming apparatus suitable for carrying out the insulating film forming method of the present invention.
  • FIG. 6 is an explanatory diagram showing an outline of the procedure of the insulating film forming method according to the first embodiment of the present invention.
  • 7A to 7E are explanatory views for explaining main processes of the method for forming an insulating film according to the first embodiment of the present invention.
  • Figure 8 A to 8 B the mechanism of flattening of S i / S i ⁇ second interface is a diagram schematically illustrating the plasma oxidation process.
  • FIG. 9 is an explanatory view for schematically explaining the reforming mechanism in the plasma reforming process.
  • FIG. 10 is a cross-sectional view showing a schematic configuration of a TFT element to which the insulating film forming method according to the first embodiment of the present invention can be applied.
  • Fig. 11 is a graph showing the relationship between the pressure of the plasma reforming process and the liquid current characteristics of the MOS capacitor.
  • Figure 12 is a graph showing the relationship between the plasma reforming pressure and the Q b d characteristics of the MO S capacity.
  • Figure 1 3 is a graph showing the relationship between ⁇ 2 Z (A r + ⁇ 2) ratio and Q bd in plasma modification process.
  • Figure 1 4 is a graph showing the results of the flatness RM S of S i ⁇ 2 / S i interface as measured by atomic force microscopy.
  • FIG. 15 is an explanatory view showing the outline of the procedure of the method for forming the insulating film according to the second embodiment of the present invention.
  • FIGS. 16A to 16 H are explanatory diagrams for explaining main processes of the method for forming an insulating film according to the second embodiment of the present invention.
  • FIG. 17 is an explanatory view showing the outline of the procedure of the method for forming the insulating film according to the third embodiment of the present invention.
  • FIGS. 18A to 18G are explanatory views for explaining main processes of the method for forming an insulating film according to the third embodiment of the present invention.
  • FIG. 19 shows an insulating film forming method according to the third embodiment of the present invention. It is sectional drawing which shows schematic structure of the applicable TFT element. BEST MODE FOR CARRYING OUT THE INVENTION
  • FIG. 1 shows a substrate configured to perform various processes such as a plasma oxidation process, a film forming process, and a modification process, for example, on a semiconductor wafer (hereinafter simply referred to as “wafer”) W as a substrate.
  • W semiconductor wafer
  • 1 is a schematic configuration diagram showing a processing system 2 0 0.
  • FIG. (The _ substrate processing system 200 is configured as a cluster tool with a multi-chamber structure.
  • Substrate processing system 200 has four process modules that perform various processes on We 8 W as the main components. 1 0 1 a, 1 0 1 b
  • LO ld is a processing device that performs processing such as plasma oxidation, C VD, plasma reforming, etc. on We 8 W process module 1 0 1 a ⁇ Ten
  • step 1d the same processing may be performed on the wafer W, or different processing may be performed on each of them.
  • at least the process module 1 0 1 a at least the process module 1 0 1 a
  • ⁇ 1 O ld, O 8 W silicon is oxidized to form a silicon oxide film
  • Wafer W is transferred to the process modules 10 0 1 a to 1 0 I d and the load lock chambers 1 0 5 a and 1 0 5 b in the vacuum side transfer chamber 10 3 configured to be evacuated.
  • a transport apparatus 1009 as a first substrate transport apparatus is provided.
  • This transfer device 109 has a pair of transfer arm portions 1 1 1 a and 1 1 lb arranged so as to face each other.
  • Each transfer arm portion l l l a and 1 l l b is configured to be able to bend and stretch and turn about the same rotation axis.
  • Forms 1 1 3 a and 1 1 3 b for mounting and holding the wafer W are provided at the tips of the film portions 1 1 1 a and 1 1 1 b, respectively.
  • the transfer device 10 9 is a process module 1 0 1 a to l 0 1 d in a state where we 8 W is placed on these forks 1 1 3 a and 1 1 3 b
  • the wafer W is transferred between the process mon and the mills 1001a to l0Id and the load lock chambers 1005a and 105b.
  • the mouthpiece lock chambers 10 5 a and 1 0 5 b mounting tables 1 0 6 a 1 0 6 b on which the wafers W are mounted are provided.
  • the mouth lock chambers 105a and 105b are configured to be switched between a vacuum state and an air release state.
  • the vacuum-side transfer chamber 10 3 and the atmosphere-side transfer chamber 1 1 9 (described later) are placed via the mounting tables 10 06 a and 10 06 b of the load lock chambers 10 5 a and 105 b. Wafer W is delivered between
  • ⁇ -duplex 10 7 is an atmosphere side transfer chamber 1 1 9 provided with a transfer device 1 1 7 as a second substrate transfer device for transferring the wafer W.
  • the atmosphere-side transfer chamber 1 19 is equipped with a circulation facility (not shown) that forms a clean environment with, for example, nitrogen gas and clean air, and maintains a clean environment.
  • the atmosphere-side transfer chamber 1 19 has a rectangular shape in plan view, and a guide rail 1 2 3 is provided along the longitudinal direction thereof.
  • a transport device 1 1 7 is supported on the guide rail 1 2 3 so that the slide can be moved. That is, the transport device 1 17 is configured to be movable in the X direction along the guide rail 1 2 3 by a drive device (not shown).
  • the transfer device 1 17 has a pair of transfer arm portions 1 25 a and 1 25 b arranged in two upper and lower stages. Each transfer arm 1 2 5 a, 1 2 5 b is configured to bend and stretch and turn.
  • Forks 1 2 7 a and 1 2 7 b as holding members for mounting and holding the wafer W are provided at the tips of the transfer arm portions 1 25 a and 1 25 b, respectively.
  • the transfer device 1 17 has the wafer cassette CR of the load port LP and the load lock chamber 1 0 5 a, 1 0 with the wafer W placed on the forks 1 2 7 a and 1 2 7 b. Wafer W is transferred between 5b and position detector 1 2 1.
  • the load port L P can be loaded with the wafer cassette CR.
  • the wafer cassette CR is configured so that a plurality of wafers W can be placed and accommodated in multiple stages at the same interval.
  • the position detection device 1 2 1 includes a rotating plate 1 3 3 that is rotated by a drive motor (not shown), and an optical sensor that is provided at the outer peripheral position of the rotating plate 1 3 3 and detects the peripheral portion of the wafer W. 1 3 5
  • plasma oxidation processing, C VD processing, and wafer processing are performed on the wafer W in the following procedure. And plasma reforming treatment is performed.
  • the gate valve G 3 is closed and the interior is It is evacuated to a vacuum state. Thereafter, the gate valve G 2 is opened, and the wafer W is carried out of the load lock chamber 1 0 5 a (or 1 0 5 b) by the fork 1 1 3 of the transfer device 1 0 9 in the vacuum side transfer chamber 1 0 3.
  • the process module 1 0 1 a ⁇ is loaded into one of the LO lds.
  • the process module 100 1 a is configured to be able to perform a plasma oxidation process for oxidizing silicon on the wafer W surface.
  • the process modules 10 l b and 10 1 c are configured so that C VD processing for forming an insulating film such as a silicon oxide film on the wafer W can be performed.
  • the process module 101 d is configured such that after the insulating film is formed, a plasma reforming process for modifying the insulating film can be performed. It should be noted that both the plasma oxidation process and the plasma modification process may be performed in the process modules 10 la and 100 d, respectively.
  • the wafer W carried out of the load lock chamber 1 0 5 a (or 1 0 5 b) by the transfer device 1 0 9 is first loaded into the process module 1 0 1 a and the gate valve G 1 is closed before the wafer W is closed. Plasma oxidation is performed on W.
  • the gate valve G 1 is opened, and the wafer W on which the silicon oxide film is formed is transferred to the process module 1 0 1 a by the transfer device 1 0 9. Then, it is carried into one of process modules 1 0 1 b and 1 0 1 c in a vacuum state. Then, after the gate valve G 1 is closed, the CVD process is performed on the wafer W using the film forming gas. An insulating film is deposited on the silicon oxide film by the C VD process.
  • the gate valve G 1 is opened, and the wafer W on which the insulating film is formed by the CVD method is processed in the vacuum state from the process module 1 0 1 b (or 1 0 1 c) by the transfer device 10 9.
  • Module 1 0 1 Loaded into d.
  • the gate valve G1 is closed, a plasma reforming process is performed on the insulating film.
  • the gate valve G 1 of the process module 1 0 1 d is opened, and the plasma-modified wafer W is taken out by the transfer device 1 0 9, and the load lock chamber 1 0 5 a (or 1 0 5) It is carried into b).
  • the processed wafer W is stored in the wafer cassette CR of the load port LP in the reverse procedure to the above, and the processing for one wafer W in the substrate processing system 200 is completed.
  • the arrangement of each processing apparatus in the substrate processing system 200 may be any arrangement as long as it can perform processing efficiently.
  • the number of process modules in the substrate processing system 200 is not limited to four, and may be five or more.
  • FIG. 2 is a cross-sectional view schematically showing a schematic configuration of a plasma processing apparatus 100 that can be commonly used for plasma oxidation processing and plasma modification processing performed in the substrate processing system 200.
  • FIG. 3 is a plan view showing the planar antenna of the plasma processing apparatus 100 of FIG. 2.
  • the plasma processing apparatus 100 is a planar antenna having a plurality of slot-shaped holes, particularly RLSA (Radial L ine Slot A ntenna (radial line slot antenna) It is configured as an RLSA microwave plasma processing apparatus that can generate microwave-excited plasma with high density and low electron temperature by generating plasma by introducing microwaves.
  • RLSA Random L ine Slot A ntenna
  • Plasma bad - can be suitably used in di without reforming purposes .
  • the plasma processing apparatus 100 has, as main components, an airtight chamber (processing chamber) 1, a gas supply unit 18 for supplying gas into the chamber 1, and a vacuum exhaust for exhausting the chamber 1.
  • Chamber 1 is formed by a substantially cylindrical container that is grounded.
  • the chamber 1 may be formed of a rectangular tube container.
  • the chamber 1 has a bottom wall 1a and a side wall 1b made of a material such as aluminum.
  • the mounting table 2 is made of a material having high thermal conductivity, such as ceramics such as A 1 N.
  • the mounting table 2 is supported by a cylindrical support member 3 that extends upward from the center of the bottom of the exhaust chamber 11.
  • the support member 3 is made of a ceramic such as A 1 N, for example.
  • the mounting table 2 is provided with a cover ring 4 for covering the outer edge portion thereof and guiding the wafer W.
  • the covering 4 is an annular member made of a material such as quartz, A 1 N, A 1 2 0 3 , Si N or the like.
  • a resistance heating type heater 5 as a temperature adjusting mechanism is embedded in the mounting table 2. This heater 5 heats the mounting table 2 by being supplied with power from a heat source 5a, and uniformly heats the wafer W as a substrate to be processed by the heat.
  • the mounting table 2 is provided with a thermocouple (TC) 6.
  • TC thermocouple
  • the heating temperature of the wafer W can be controlled in the range from room temperature to 900 ° C., for example.
  • the mounting table 2 is provided with wafer support pins (not shown) for supporting the wafer W and moving it up and down.
  • Each wafer support pin is provided so as to protrude and retract with respect to the surface of the mounting table 2.
  • a cylindrical liner 7 made of quartz is provided on the inner periphery of the chamber 1. Further, a quartz baffle plate 8 having a large number of exhaust holes 8 a is provided in an annular shape on the outer peripheral side of the mounting table 2 in order to uniformly exhaust the inside of the chamber 1. The baffle plate 8 is supported by a plurality of support columns 9.
  • a circular opening 10 is formed in a substantially central portion of the bottom wall 1 a of the chamber 1.
  • the bottom wall 1 a is provided with an exhaust chamber 11 that communicates with the opening 10 and protrudes downward.
  • An exhaust pipe 12 is connected to the exhaust chamber 11, and is connected to an exhaust device 24 such as a vacuum pump via the exhaust pipe 12.
  • a lid body 13 having an annular opening at the center is disposed, and functions to open and close the chamber.
  • the inner periphery of the lid body 1 3 protrudes toward the inside (chamber interior space) to form an annular support portion 1 3 a.
  • the side wall 1 b of the chamber 1 is provided with an annular gas introduction part 15.
  • the gas introduction unit 15 is connected to a gas supply unit 18 that supplies an oxygen-containing gas or a plasma excitation gas.
  • the gas introduction part 15 may be provided in a nozzle shape or a shaft shape.
  • X 8 W is loaded and unloaded between the plasma processing apparatus 100 and the adjacent transfer chamber 10 3 (see FIG. 1).
  • a loading / unloading port 16 and a gate valve G1 for opening and closing the chopping loading / unloading port 16 are provided.
  • the gas supply unit 18 includes, for example, an inert gas supply source 19 a, an oxygen-containing gas supply source 19 b, and a hydrogen gas supply source 19 c.
  • the gas supply unit 18 is a gas supply source (not shown) other than the above, for example, a purge gas supply source used for replacing the atmosphere in the chamber 1, and a cleaning gas supply source used for cleaning the interior of the chamber 1. Etc. may be included.
  • N 2 gas or rare gas can be used as the inert gas.
  • rare gases include Ar gas, Kr gas, and Xe gas.
  • He gas can be used. Among these, it is particularly preferable to use Ar gas because it generates plasma stably and is excellent in economic efficiency.
  • oxygen-containing gas include oxygen gas (o 2 ), water vapor (H 2 O) Nitric oxide (NO), nitric oxide (NO), etc. can be used.
  • the inert gas, oxygen-containing gas and hydrogen gas are supplied from the gas supply section 1 8 through the inert gas supply source 1 9a, the oxygen-containing gas supply source 1 9b and the hydrogen gas supply source 19c.
  • the gas is introduced into the chamber 1 through the gas introduction part 15 through the gas introduction part 15.
  • Each gas line 20 connected to each gas supply source has a mass flow controller 2 1 and opening / closing valves 22 before and after it are provided. With such a configuration of the gas supply unit 18, the supplied gas can be switched and the flow rate can be controlled.
  • the exhaust device 24 includes a vacuum pump such as a high-speed vacuum pump such as a Tapo molecular pump. As described above, the vacuum pump is connected to the exhaust chamber 11 of the chamber 1 through the exhaust pipe 12. The gas in the chamber 1 flows uniformly into the space 1 1 a of the exhaust chamber 1 1 and is further exhausted to the outside through the exhaust pipe 1 2 by operating the exhaust device 2 4 from the space 1 1 a. The As a result, the inside of the chamber 1 can be depressurized at a high speed to a predetermined vacuum, for example, 0.13 3 Pa.
  • a predetermined vacuum for example, 0.13 3 Pa.
  • the microwave introduction part 2 7 is arranged on the lid body 1 3.
  • the main components are a transmission plate 2 8, a planar antenna 3 1, a slow wave material 3 3, a cover member 3 4, a waveguide 3 7, A matching circuit 3 8 and a microwave generator 3 9 are provided.
  • a transmission plate 28 that transmits microwaves is supported on a support portion 13 a that protrudes to the inner peripheral side of the lid body 1 3.
  • Transmitting plate 2 8 is composed of a dielectric material, such as quartz or A 1 2 0 3, AIN, etc. of the ceramic.
  • the transmission plate 2 8 and the support portion 1 3 a are hermetically sealed through a seal member 2 9. Therefore, the inside of the chamber 1 is kept airtight together with the lid.
  • the planar antenna 31 is provided above the transmission plate 28 so as to face the mounting table 2.
  • the planar antenna 3 1 has a disk shape.
  • the shape of the planar antenna 31 is not limited to a disk shape, and may be a square plate shape, for example.
  • the planar antenna 31 is locked to the upper end of the lid body 13 and grounded.
  • the planar antenna 31 is made of, for example, a copper plate with a surface plated with gold or silver, an aluminum plate, a nickel plate, or a plate of an alloy of these metals.
  • the planar antenna 3 1 has a number of slot-like microwave radiation holes 3 2 that radiate microwaves.
  • the microwave radiation hole 3 2 is formed to penetrate the planar antenna 3 1 in a predetermined pattern.
  • Each microphone mouth wave radiation hole 3 2 has an elongated rectangular shape (slot shape) as shown in FIG. 3, for example.
  • the adjacent microphone mouth wave radiation holes 3 2 are “T”. ”Arranged in a letter shape.
  • the microphone mouth wave radiation holes 32 arranged in combination in a predetermined shape for example, a letter shape are further arranged concentrically as a whole.
  • the length and arrangement interval of the microwave radiation holes 32 are determined according to the wavelength ( ⁇ g) of the microwave.
  • the intervals between the microwave radiation holes 3 2 are arranged to be A g / 4, A g Z 2, or Ag.
  • the interval between adjacent microwave radiation holes 3 2 formed concentrically is indicated by ⁇ r.
  • the shape of the microwave radiation hole 32 may be another shape such as a circular shape or an arc shape.
  • the arrangement form of the microwave radiation holes 32 is not particularly limited, and the microwave radiation holes 32 may be arranged concentrically, for example, spirally, radially, or the like.
  • a slow wave material 33 having a dielectric constant slightly larger than that of vacuum is disposed on the upper surface of the flat antenna 31, a slow wave material 33 having a dielectric constant slightly larger than that of vacuum is disposed.
  • This slow wave material 3 3 has a function of adjusting the wavelength of the microwave sine wave to be short because the wavelength of the mic mouth wave is long in a vacuum. It is configured so that it can be installed in the first chamber.
  • the material of the slow wave material 33 for example, quartz, polytetrafluoroethylene resin, polyimide resin, or the like can be used.
  • the planar antenna 3 1 and the transmission plate 28, and the slow wave material 3 3 and the planar antenna 3 1 may be in contact with each other or separated from each other. For introduction into 1, it is preferable to make contact.
  • a cover member 3 4 is provided on the upper portion of the chamber 1 so as to cover the planar antenna 3 1 and the slow wave material 3 3, and constitutes a flat waveguide with the planar antenna 3 1.
  • the cover member 3 4 is made of a metal material such as aluminum or stainless steel.
  • the upper end of the lid body 1 3 and the cover member 3 4 are sealed by a seal member 3 5.
  • a cooling water flow path 3 4 a is formed inside the cover member 3 4. By allowing cooling water to flow through the cooling water channel 3 4 a, the cover member 3 4, the slow wave material 3 3, the planar antenna 3 1, and the transmission plate 2 8 can be cooled.
  • the cover member 3 4 is grounded.
  • An opening 36 is formed at the center of the upper wall (ceiling) of the cover member 3 4, and a waveguide 37 is connected to the opening 36.
  • a microwave generator 39 that generates microwaves is connected to the other end of the waveguide 37 through a matching circuit 3 8.
  • the waveguide 37 has a circular cross-section coaxial waveguide 37a extending upward from the opening 36 of the cover member 34, and a mode at the upper end of the coaxial waveguide 37a.
  • the mode converter 40 has a function of converting the microwave propagating in the T E mode in the rectangular waveguide 37 b into the T E M mode.
  • An inner conductor 41 extends in the center of the coaxial waveguide 37a.
  • the inner conductor 41 is connected and fixed to the center of the planar antenna 3 1 at the lower end.
  • microwaves are coaxial waveguides It propagates through the inner conductor 4 1 of 3 7 a and propagates efficiently and uniformly radially into the flat waveguide formed as the cover member 3 4 and the planar antenna 3 1.
  • Microwaves whose reflected waves are suppressed in the flat waveguide are introduced into the chamber through the slots.
  • the microwave generated by the microwave generation device 39 is propagated to the planar antenna 31 via the waveguide 37, and further the transmission plate 28 is Through the chamber 1.
  • the microwave frequency for example, 2.45 GHz is preferably used, and 8.35 GHz, 1.9.8 GHz, or the like can be used.
  • the control unit 50 includes a computer.
  • the process controller 5 1 having a CPU and the user interface 5 connected to the process controller 5 1 are provided. 2 and storage unit 5 3.
  • the process controller 51 is a component related to process conditions such as temperature, pressure, gas flow rate, and microwave output (for example, a heat source 5a, a gas supply unit 1 8). It is a control means that controls the exhaust system 24, the microwave generator 39, etc.).
  • the user interface 5 2 is a keyboard that allows the process manager to input commands to manage the plasma processing device 100, a display that visualizes and displays the operating status of the plasma processing device 100, etc. have.
  • the storage unit 53 records a control program (software), processing condition data, etc. for realizing various processes executed by the plasma processing apparatus 100 under the control of the process controller 51. Saved recipes are stored. Then, if necessary, an arbitrary recipe is called from the storage unit 53 by an instruction from the user interface 52, etc., and is executed by the process controller 51, thereby controlling the process controller 51. A desired process is performed in the chamber 1 of the plasma processing apparatus 100.
  • recipes such as the control program and processing conditions are stored in a computer-readable storage medium such as a CD-ROM, hard disk, flexible disk, flash memory, DVD, or Blu-ray disk. Can be used, or can be transmitted from other devices, for example via a dedicated line, and used online.
  • plasma processing apparatus 100 configured as described above, plasma processing with less thermal budget with less damage to the underlying film or the like at a low temperature of 60 ° C. or lower, preferably 500 ° C. or lower. It can be performed.
  • the plasma processing apparatus 100 is excellent in the uniformity of plasma, it is possible to achieve the processing uniformity within the surface even for a large-diameter wafer W.
  • FIG. 5 shows a schematic configuration example of a single wafer C V D film forming apparatus 3 0 0 applicable as the process modules 1 0 1 b and 1 0 1 c.
  • This single wafer C V D film forming apparatus 300 has a substantially cylindrical processing container 30 1 that is airtight.
  • a mounting table 3 0 3 for horizontally supporting a wafer W that is an object to be processed is provided in the processing container 3 0 1.
  • the mounting table 30 3 is supported by a cylindrical support member 30 5.
  • there is a 3 0 7 embedded. This heat 307 is supplied with power from the heat power 309 to heat the wafer W to a predetermined temperature.
  • a shower head 3 1 1 is provided on the open / close ceiling 3 0 1 a of the processing container 3 0 1.
  • This shower head 3 1 1 has gas diffusion inside It has a space 3 1 1 a.
  • a large number of gas discharge holes 3 1 3 communicating with the gas diffusion space 3 1 1 a are formed on the lower surface of the shower head 3 1 1.
  • a gas supply pipe 3 1 5 communicating with the gas diffusion space 3 1 1 a is connected to the center of the shower head 3 1 1.
  • This gas supply pipe 3 1 5 is connected to, for example, dichlorosilane, dinitrogen monoxide (N 2 0) through a mass flow controller (MFC) 3 1 7 and valves 3 1 8 a and 3 1 8 b arranged before and after the mass flow controller (MFC) 3 1 7. It is connected to a gas supply source 3 1 9 for supplying a film forming raw material gas and a purge gas for substituting the atmosphere in the processing vessel 301. Then, the film forming source gas and the like are supplied from the gas supply source 3 19 to the shower head 3 11 through the gas supply pipe 3 15 and the mass flow controller 3 17.
  • MFC mass flow controller
  • An exhaust hole 3 3 1 is formed in the bottom wall 3 0 1 b of the processing vessel 3 0 1, and an exhaust device 3 3 5 is connected to the exhaust hole 3 3 1 through an exhaust pipe 3 3 3. ing.
  • the exhaust device 3 3 5 is operated so that the inside of the processing vessel 3 0 1 can be depressurized to a predetermined degree of vacuum.
  • a high frequency power source not shown
  • the raw material gas supplied into the processing vessel 3 0 1 through the shower head 3 1 1 is converted into plasma and formed. It can also be a membrane.
  • a loading / unloading port 3 37 for loading / unloading the wafer W is provided on the side wall 3 0 1 c of the processing vessel 30 1, and the loading / unloading of the wafer W is performed via the loading / unloading port 3 3 7. Out is done.
  • the loading / unloading port 3 3 7 is opened and closed by the gate valve G 1.
  • the shower head 3 1 is heated while the wafer W is heated by the heater 3 07 while the wafer W is mounted on the mounting table 30 3.
  • Source gas from 1 to wafer W By supplying, it is possible to form a thin film of, for example, S i ⁇ 2 film on the surface of the wafer W by C VD method.
  • the single wafer C VD film forming apparatus 30 having the above configuration is also controlled by the control unit 50 (see FIG. 4).
  • the C V D film forming apparatus is not limited to a single wafer type, and a batch type L P (Low P s s su ure) C VD film forming apparatus can also be used.
  • FIG. 6 shows a step of forming a first insulating film by plasma-oxidizing silicon, a step of forming a second insulating film on the first insulating film, and a step of forming the second insulating film.
  • FIG. 7 is a flowchart for explaining the main process.
  • the insulating film forming method of the present embodiment is performed, for example, by the procedure from step S1 to step S7 shown in FIG.
  • the wafer W to be processed is transferred to the plasma processing apparatus 1 0 0 (process module 1 0 1) according to the above procedure by the transfer apparatus 1 0 9 in the vacuum side transfer chamber 1 0 3.
  • step S 2 a plasma oxidation process is performed on the silicon layer 20 1 exposed on the surface of the wafer W as shown in FIG. 7A.
  • the plasma oxidation treatment as shown in FIG. 7B, the surface of the silicon layer 20 1 is oxidized to form a silicon oxide film 2 02 as a first insulating film with a predetermined thickness.
  • the plasma oxidation treatment is carried out according to the following procedures and conditions.
  • the inert gas supply source 19 a and the oxygen-containing gas supply source 19 b of the gas supply mechanism 18 are used to supply rare gases and oxygen.
  • the contained gas is Each is introduced into the chamber 1 through the gas introduction part 15. In this way, the inside of the chamber 1 is adjusted to a predetermined pressure.
  • a microwave having a predetermined frequency, for example, 2.45 GHz, generated by the microwave generator 39 is guided to the waveguide 37 via the matching circuit 38.
  • the microphone mouth wave guided to the waveguide 3 7 sequentially passes through the rectangular waveguide 3 7 b and the coaxial waveguide 3 7 a, and is supplied to the planar antenna 3 1 through the inner conductor 4 1. That is, the microwave propagates in the TE mode in the rectangular waveguide 3 7 b, and the TE mode microwave is converted into the TEM mode by the mode converter 40, and the coaxial waveguide 3 7 a It propagates toward the planar antenna 3 1.
  • the microwave is radiated to the space above the wafer W in the chamber 1 through the transmission plate 2 8 from the slot-like microwave radiation hole 3 2 formed through the planar antenna 3 1.
  • the microwave output at this time can be selected according to the purpose within a range of 1 00 0 W to 5 0 0 0 W, for example, when processing a wafer W having a diameter of 2 00 mm or more. .
  • An electromagnetic field is formed in the chamber 1 by the microwave radiated from the planar antenna 3 1 to the chamber 1 through the transmission plate 2 8, and the inert gas and the oxygen-containing gas are turned into plasma, respectively.
  • This microwave-excited plasma has a high density of approximately 1 X 10 IQ to 5 X 10 12 / cm 3 by radiating microwaves from a number of microwave radiation holes 3 2 of the planar antenna 3 1. In the vicinity of the wafer W, the plasma has a low electron temperature of about 1. le V or less.
  • microphone port wave-excited high-density plasma thus formed is, for example ⁇ as the active species in the plasma ( 'D 2) is the subject of plasma radicals and ⁇ 2 + ions, the wafer by the action of the plasmas
  • the silicon layer 20 1 on the W surface is oxidized, and a silicon oxide film 2 0 2 with less plasma damage due to ions or the like is formed.
  • a gas containing a rare gas and an oxygen-containing gas is preferably used as a processing gas for the plasma oxidation treatment. It is preferable to use Ar gas as the rare gas and o 2 gas as the oxygen-containing gas.
  • the volume flow ratio of gas 2 to the total process gas is the concentration of ⁇ 2 + ions and ⁇ D 2 ) radicals as active species in the plasma. From the viewpoint of increasing the content, it is preferably in the range of 0.1% to 30%, and more preferably in the range of 0.5% to 3%.
  • the flow rate of Ar gas is within a range of 50 mL / min (sccm) or more and 50 00 mL or less (sccm) or less.
  • the gas flow rate can be set to the above flow rate ratio within the range of 0.05 mL / min (sccm) or more and 100 mL / min (sccm) or less.
  • the treatment pressure is preferably in the range of 6.7 Pa to 2 6 7 Pa from the viewpoint of increasing the concentration of ⁇ 2 + ions and ⁇ D 2 ) radicals as active species in the plasma, It is more preferably in the range of 7 Pa to 6 7 Pa.
  • the microwave power density is 0.5 W / cm 2 or more and 3 W / cm 2 from the viewpoint of efficiently generating ⁇ 2 + ions and ⁇ ( 1 D 2 ) radicals as active species in the plasma. It can be within the following range, and is preferably within the range of 0.5 1 W / cm 2 or more and 2.5 6 W / cm 2 or less.
  • the microwave power density means the microwave power supplied per 1 cm 2 area of the transmission plate 28 (the same applies hereinafter). For example, when processing a wafer W having a diameter of 200 mm or more, it is preferable to set the microwave power within a range of 100 00 W or more and 5 00 00 W or less.
  • the heating temperature of the wafer W is preferably in the range of, for example, 20 ° C. or more and 60 ° O t or less as the temperature of the mounting table 2, and is preferably 400 ° C. or more and 60 ° C. or less. It is more preferable to set within the range.
  • the film thickness of the silicon oxide film 20 0 2 formed on the silicon layer 2 0 1 of the wafer W by the plasma oxidation treatment is 3 from the viewpoint of increasing the flatness of the interface between the silicon layer 2 0 1 and the silicon oxide film 2 0 2. It is preferably in the range of not less than nm and not more than 10 nm, and more preferably in the range of not less than 4 nm and not more than 8 nm.
  • the above conditions are stored as a recipe in the storage unit 53 of the control unit 50.
  • the process controller 51 reads the recipe and controls each component of the plasma processing apparatus 100 such as a gas supply mechanism 18, an exhaust apparatus 24, a microwave generator 39, and a heater power supply 5 a. By sending the control signal, the plasma oxidation process is performed under desired conditions.
  • Step S 3 the wafer W on which the silicon oxide film is formed is transferred to the single wafer C VD film forming device 3 0 0 (process module 10 0 lb) by the transfer device 1 0 9 in the vacuum side transfer chamber 10 3. Or transport to 1 0 1 c). This transfer is carried out in the vacuum state by the transfer device 1 09 in the vacuum side transfer chamber 10 3.
  • step S 4 as shown in FIG. 7C, a film forming process by the CVD method is performed on the silicon oxide film 20 2 formed on the surface of the wafer W.
  • a silicon oxide film 20 3 as a second insulating film is formed on the silicon oxide film 20 2.
  • the thermal C VD method is used in this embodiment using the substrate processing system 200, but the film is formed by a method such as a plasma C VD method, a reduced pressure C VD method, or an atmospheric pressure C VD method. Can be done.
  • the film forming method may be a single wafer type or a batch type. 52447
  • the film thickness T 2 of the silicon oxide film 20 3 formed on the silicon oxide film 20 2 of the wafer W by the film forming process by the C VD method is a viewpoint of increasing the reforming effect in the film thickness direction. Therefore, it is preferably in the range of 3 11 111 to 10 11111 and more preferably in the range of 4 nm to 8 nm.
  • step S5 a plasma processing apparatus 10 0 (process module 1 0 1 d) as a plasma reforming apparatus is used for the wafer W on which the silicon oxide film 20 2 and the silicon oxide film 2 0 3 are formed. Transport to. This transfer is performed in a vacuum state by the transfer device 10 9 in the vacuum side transfer chamber 103.
  • step S 6 as shown in FIG. 7E, a plasma reforming process is performed on the silicon oxide film 203. Since the procedure of the plasma reforming process performed using the plasma processing apparatus 100 conforms to the procedure of the plasma oxidation process, the description thereof is omitted here.
  • the conditions for the plasma reforming treatment in step S 6 are as follows.
  • the processing gas for the plasma reforming treatment it is preferable to use a gas containing a rare gas and an oxygen-containing gas.
  • the A r gas as the rare gas, the oxygen-containing gas 0 2 gas have preferably be used, respectively.
  • the volume flow rate ratio of ⁇ 2 gas to the total processing gas is 0 2 + ions and OD 2 ) as radicals in the plasma. From this viewpoint, it is preferably within the range of 0.1% or more and 30% or less, and more preferably within the range of 0.1% or more and 5% or less.
  • the flow rate of Ar gas is in the range of 50 00 mLZm in (sccm) or more and 5 00 00 mL / min (sccm) or less, 0 2 gas From 0. S mLZm in (sccm) to l OOO mL / min (sccm)
  • the flow rate ratio can be set.
  • the processing pressure is ⁇ 2 + ions and as the active species in the plasma
  • O ('D 2 ) radicals at a high concentration it is preferably in the range of 6.7 Pa to 2 6 7 Pa, and preferably in the range of 6.7 Pa to 6 7 Pa. More preferred.
  • the power density of the microwave increases the density of the plasma and efficiently generates O ⁇ ⁇ ⁇ ions and O ( 1 D 2 ) radicals as active species in the plasma, resulting in defects in the silicon oxide film 20 3.
  • the heating temperature of the wafer W is preferably in the range of 200 ° C. or more and 60 ° C. or less, for example, as the temperature of the mounting table 2, and is preferably 400 ° C. or more and 60 ° C. or less. It is more preferable to set within the range.
  • the above conditions are stored as a recipe in the storage unit 53 of the control unit 50.
  • the process controller 51 reads the recipe and supplies the components to the plasma processing apparatus 100 such as the gas supply section 18, the exhaust apparatus 24, the microwave generator 39, and the heater power supply 5 a. By sending a control signal, the plasma reforming process is performed under desired conditions.
  • the wafer W that has been processed by the transfer apparatus 1 0 9 in the vacuum transfer chamber 1 0 3 is transferred to the plasma processing apparatus 1 0 0 (process module 1 0 I d) Unload and store in the wafer cassette CR of the load port LP in the above procedure
  • plasma oxidation processing is performed in the substrate processing system 200.
  • the silicon substrate oxidation process by PT / JP2009 / 052447 method, the silicon oxide film 203 formation process by CVD method, and the silicon oxide film 203 modification process should be continuously performed under vacuum. Can do.
  • the oxide film formation by the CVD method may be performed by another system. In the process modules 1001a and 101d, both the plasma oxidation process in step S2 and the plasma modification process in step S6 may be performed.
  • the silicon oxide film 20 3 formed on the surface of the silicon layer 20 1 by the normal C VD method is deposited by heat.
  • the silicon surface is first thermally oxidized to form a silicon oxide film.
  • silicon has a plane orientation, as shown in FIG. 8A, fine irregularities are formed at the interface with the silicon layer 210, and the microscopic flatness is poor.
  • many defects are formed in the vicinity of the boundary between the silicon oxide film 20 3 and the silicon layer 20 1 (S io 2 / s ⁇ interface), and the interface state density increases.
  • the mobility of carriers is reduced, and for example, if it is a transistor, the operation speed is reduced, or the leakage current is increased and the electrical performance of the device is lowered.
  • a plasma oxidation process is performed using a plasma processing apparatus 100 on the surface of the silicon layer 201 at a low pressure and a low oxygen partial pressure. Apply.
  • the boundary between the silicon oxide film 20 2 thus formed and the silicon layer 2 0 1 (S i 0 2 / Si interface) can be formed extremely flat as shown in FIG. 8B. . For this reason, defects are reduced near the boundary between the silicon oxide film 20 2 and the silicon layer 2 0 1 (Si 0 2 ZSi interface), and the interface state density Increase is suppressed.
  • a silicon oxide film 20 3 is formed on the silicon oxide film 20 2 with a predetermined thickness by the C VD method. Further, the oxide film 20 3 is subjected to a plasma modification process using a plasma processing apparatus 100. This plasma apparatus is preferable for the plasma reforming treatment.
  • o 2 + ions have a large energy (1 2. le V) and act on the S i — S i bond or the bond between S i and the impurity element. It works to cut off.
  • OD 2 ) radical (4.6 e V) is the main component of the S i reaction, and easily enters the S i — S i bond cleaved by 0 2 + ions, or the bond between S i and the impurity element.
  • S i _ ⁇ Generates a S i bond.
  • O ( 3 Pj) radicals are deficient in energy (2.6 e V) and hardly contribute to the oxidation of S i. Therefore, in order to modify the silicon oxide film, it is necessary to generate a plasma containing a large amount of 0 2 + ions and O D 2 ) radicals.
  • ⁇ 2 + ions or O ( 'D 2) radicals low processing pressure conditions (2 6 7 P a or less, preferably rather is 6.
  • Figure 9 shows the chemicals generated in the silicon oxide film by the plasma modification process. It is the figure which showed the change typically. As shown, o 2 + ions and o
  • the film quality of the silicon oxide film 20 3 becomes dense, and it is modified to a high-quality film with few impurities and dangling pounds.
  • high pressure conditions for example, 3 3 3 Pa or more
  • the active species in the plasma are reduced to 0 2 + ions and O 0 2 ) radicals, and instead OP)) radicals.
  • This ⁇ ( 3 P j) radical itself is not active but has the property of passing through the silicon oxide film 20 3. Therefore, under the plasma generation conditions where this radical is dominant, ⁇ 2 + ions The excellent reforming effect such as plasma containing a lot of ⁇ ('D 2 ) radicals cannot be obtained.
  • silicon is plasma-oxidized to form a silicon oxide film so that the interface with silicon is extremely flat, and an insulating film is formed on the silicon oxide film by a CVD method.
  • the interface at the S i ⁇ 2 / S i interface The film quality can be improved with a low level density and a high density with few impurities and dangling bonds. Therefore, a decrease in the mobility of the carrier moving at the S i 0 2 / S i interface is suppressed, and the electrical performance of the device is improved.
  • a high-quality insulating film (silicon oxide film 20 2 and silicon oxide film 2 0 3) can be formed.
  • This insulating film is used, for example, as a gate insulating film of a thin film transistor (TFT) element, in particular, within a range of 5 nm or more and 100 O nm or less, preferably 8 nm or more and 100 nm or less. It can be advantageously used within the range.
  • TFT thin film transistor
  • FIG. 10 is a sectional view showing a schematic configuration of a TFT element 400 to which the insulating film forming method according to the present embodiment can be applied.
  • a gate electrode 4 0 2 made of, for example, polysilicon is partially formed.
  • a thin film of a silicon oxide film 4 0 2 a is formed on the surface of the gate electrode 4 0 2.
  • a gate insulating film 4 03 made of silicon dioxide (S i 0 2 ) is formed so as to cover the surface of the gate electrode 4 0 2 including the silicon oxide film 4 0 2 a and the glass substrate 4 0 1 Have been.
  • An a — Si (amorphous silicon) film 40 4 is formed on the gate insulating film 40 3 as a Si film for forming a transistor, and is formed on the gate electrode 4 0 2.
  • the channel portion 4 0 5 is formed.
  • a source electrode 40 6 and a drain electrode 40 7 containing a refractory metal material such as molybdenum or tungsten are formed on the a—Si film 4 0 4.
  • a passivation film 4 0 8 made of silicon nitride (Si 3 N 4 ) is formed on the source electrode 4 0 6 and the drain electrode 4 0 7 to protect the surface of the TFT element 4 0 0. Yes.
  • a gate electrode 4 0 2 made of polysilicon is formed on the glass substrate 4 0 1.
  • its surface Is subjected to plasma oxidation using a plasma processing apparatus 100, and a silicon oxide film 4202a is formed on the surface portion of the gate electrode 4202.
  • a gate insulating film 40 3 is formed by a CVD method so as to cover the surfaces of the gate electrode 40 2 and the glass substrate 4 0 1. Further, the gate insulating film 40 3 is subjected to plasma modification treatment using the plasma treatment apparatus 100, thereby reforming the silicon oxide film to be dense and containing less impurities.
  • the above processing can be performed according to the procedure from step S1 to step S7 in FIG. Then, in accordance with a conventional method, film formation and etching are repeated to form a-Si film 40 4 and pattern formation, source electrode 40 6 and drain electrode 40 7 formation and pattern formation, and passivation film
  • the TFT element 400 can be formed by forming the film 40 and forming the ITO electrode (not shown).
  • the surface portion of the gate electrode 4 0 2 is subjected to plasma oxidation using the plasma processing apparatus 1 0 0 to form a silicon oxide film 4 0 2 a. Therefore, the insulating film (silicon oxide film 40 2 a and gate insulating film 40 3) after the gate insulating film 40 3 is formed by C VD and the gate electrode 4 0 2 (poly The (silicon) interface can be made extremely flat. For this reason, defects near the boundary between the insulating film (silicon oxide film 4 0 2 a and gate insulating film 4 0 3) and the gate electrode 4 0 2 (Si 0 2 / polysilicon interface) are reduced. An increase in the surface state density is suppressed. Therefore, a decrease in mobility of the carrier moving through the interface is suppressed, and the electrical performance of the TFT element 400 can be improved.
  • the gate insulating film 40 3 has a fine film quality and is a high-quality film with few impurities and dangling bonds. Can be modified. Note that the gate insulating film 40 3 is used for the thermal budget. In view of the above, it is preferable to form a film by a plasma C VD method capable of forming at a low temperature.
  • Plasma reforming processing was performed on the silicon oxide film formed by the thermal CVD method under the following conditions 1 to 4 using the plasma processing apparatus 100 shown in FIG.
  • the modified silicon oxide film the amount of increase in film thickness, the amount of increase in refractive index, and wet etching rate by 0.125% dilute hydrofluoric acid treatment (30 seconds) were investigated.
  • the MO S capacitor was manufactured using the modified silicon oxide film as the gate insulating film, and its electrical characteristics include leak current density (Jg; — 1 0 M VZ cm), dielectric breakdown.
  • Microwave power density 2.05 W / cm 2 (per transmission plate area lcm 2 )
  • Microwave power density 2.05 W / cm 2 (per transmission plate area lcm 2 )
  • Microwave power density 2.05 W / cm 2 (per transmission plate area lcm 2 )
  • the plasma reforming treatment under Condition 4 was the same result as the thermal reforming treatment.
  • the processing pressure is high, so the production of ⁇ 2 + and ⁇ ('D 2 ) is reduced, the reforming effect is small, and the film thickness of the silicon oxide film The increase was noticeable. This is thought to be because the interface between the silicon oxide film formed by the CVD method and the underlying silicon was oxidized by 0 ( 3 P 2 ) radicals in the plasma and increased.
  • the processing pressure is preferably 2 6 7 Pa or less, for example, 6.7 Pa or more and 2 6 7 Pa or less. It was shown that the plasma reforming process in the company has a high effect of improving the quality of the silicon oxide film formed by the CVD method. On the other hand, in the case of a plasma reforming process under a high pressure condition of 2 6 7 Pa, the effect of improving the quality of the silicon oxide film formed by the CVD method is equivalent to that of the thermal reforming process. It was found to be small and to have a thickening effect.
  • the leakage current density (Jg) is shown when the plasma reforming treatment is performed under conditions 1 and 2 where the treatment pressure is as low as 2 0 0 Pa or less.
  • the pressure is 6 6 7 Pa, which is as high as 3 and greatly improved compared to the thermal reforming process.
  • Figure 11 shows the relationship between the plasma reforming process pressure in conditions 1 to 3 and the leak current.
  • the annealing process and the leakage current of the thermal oxide film are also listed. From FIG. 1 1, if the processing pressure is 2 6 7 P a following example 6. 7 P a higher 2 6 7 P a, the leakage current 2. 1 X 1 0- 4 [A / cm 2] below It can be seen that it can be suppressed. Therefore, when the purpose is to improve the leakage current characteristics, it is preferable to set the processing pressure of the plasma reforming process to 2 67 Pa or less.
  • Insulation rupture charge (Q b d) was significantly improved when the plasma reforming treatment under conditions 1 to 3 was performed compared to the thermal reforming treatment.
  • condition 2 plasma reforming treatment extremely excellent reliability exceeding the thermal oxide film was shown.
  • Figure 12 shows the relationship between Q bd and the plasma reforming process pressure under conditions 1 to 3.
  • the thermal reforming process and the leakage current of the thermal oxide film are also listed. From Fig. 12 it can be seen that Q bd can be increased to 3 3 [C / cm 2 ] or higher if the processing pressure is 5 3 3 Pa or lower. Therefore, for the purpose of improving the Q bd characteristics, it is preferable to set the processing pressure of the plasma reforming treatment to 6.7 Pa or more and 5 3 3 Pa or less, and 6.7 Pa or more 4 0 0 Pa or less is more preferable, and 6.7 Pa or more and 2 6 7 Pa or less is desirable.
  • FIG. 1 showing the relationship between ⁇ 2 / (A r + 0 2 ) ratio in the plasma modification process conditions 1 3 and Q bd.
  • the ratio of ⁇ (A r + 0 2 ) is set to 0 ⁇ 23 or less.
  • the amount of change (A vge) in the electron trap was greatly reduced by the plasma reforming treatment under conditions 1 and 2 compared to the thermal reforming treatment. Even when the plasma reforming treatment was performed under condition 3, the amount of change in the electron trap was slightly reduced compared with the reforming treatment by annealing. Therefore, it has been clarified that the plasma reforming treatment can effectively improve the ⁇ V ge characteristics by setting the ratio of O 2 / (A r + 0 2 ) to 0.23 or less.
  • Table 3 shows that when the plasma reforming process is performed, the amount of residual chlorine is 15 and the amount of residual chlorine can be removed, compared with the case where the reforming process is not performed. It is also possible to perform a thermal annealing process after the plasma modification process. By combining the plasma annealing treatment with the thermal annealing treatment, the amount of residual chlorine could be further reduced to 9.60 ⁇ 10 0 1 [atoms / cm 2 ].
  • the silicon substrate was subjected to plasma oxidation treatment under the conditions shown in Table 4 below (Condition 5 to Condition 7) to form a silicon oxide film. And peeling the formed silicon oxide film, and measuring the state of the interface (S i 0 2 / S i interface) between the silicon oxide silicon film and the silicon substrate by atomic force microscopy (A FM), flatness RM S was calculated.
  • Table 4 the state of the interface between the silicon oxide silicon film and the silicon substrate by atomic force microscopy (A FM)
  • a FM atomic force microscopy
  • plasma oxidation treatment was performed at a low treatment pressure of 1 3 3 Pa or less using a plasma treatment apparatus 100.
  • the RMS of flatness is 0.13 nm or less, and the interface between the silicon oxide film and silicon (S 0 0 2 / Si interface) can be formed extremely flat.
  • the RMS of the flatness exceeds 0.2 nm, and the silicon oxide film the interface (S i ⁇ 2 / S i field surface) of the silicon and could not be made very flat.
  • the RMS of flatness changes in the range of about 0.1 nm to 0.2 nm, which has a great effect on the performance of devices with higher integration. In order to maintain good device performance, it is preferable that the RMS of flatness is 0.13 11111 or less, for example, 0.05 to 0.13 nm or less.
  • the flatness requirement can be satisfied by performing plasma oxidation using a plasma processing apparatus 100.
  • a process pressure of plasma oxidation treatment 2 6 7 Pa or less, for example, 6.7 Pa or more and 2 6 7 Pa or less, preferably 1 3 3 Pa or less, for example, 6.7 Pa or more and 1 3 3 Pa or less, more preferably 6 7 Pa or less.
  • 6.7 Pa or more and 6 7 Pa or less are desirable.
  • the oxygen partial pressure in the plasma oxidation treatment is preferably 5 Pa or less, such as 0.1 Pa or more and 5 Pa or less, and more preferably 2 Pa or less, such as 0.1 Pa or more and 2 Pa or less.
  • the ratio of the hydrogen gas flow rate to the oxygen gas flow rate is preferably 25% or more, for example, 25% or more and 75% or less, and preferably 50% or more and 75% The following is more preferable.
  • the interface between silicon and a silicon oxide film as an insulating film is extremely flat compared to the flatness of a silicon substrate used for device manufacturing.
  • a silicon oxide film is formed on top, an insulating film is formed thereon by a CVD method, and the insulating film is formed by plasma-modifying the insulating film.
  • it is necessary to suppress the interface state density Therefore, it can be preferably used for the above-mentioned applications (for example, forming a gate insulating film of a TFT element) that require a high-quality, dense and high-quality insulating film.
  • FIG. 15 is a flowchart showing an example of the procedure of the method for forming an insulating film according to the second embodiment
  • FIG. 16 is a drawing for explaining the main steps.
  • the insulating film formation by the C VD method and the plasma modification process are repeated a plurality of times so that a dense and high-quality insulating film can be formed in a thick film.
  • step S 11 the wafer W to be processed is processed by the transfer device 1 0 9 in the vacuum-side transfer chamber 1 0 3 according to the above procedure. la).
  • step S 12 plasma oxidation is performed on the silicon layer 2 0 1 exposed on the surface of the wafer W as shown in FIG.
  • silicon of silicon layer 20 1 is oxidized from its surface to form silicon oxide film 2 02 as a first insulating film with a predetermined thickness.
  • steps S 11 and S 12 are the same as the processes in steps S 1 to S 2 of the first embodiment (see FIG. 6). Is omitted.
  • step S 13 the wafer W on which the silicon oxide film is formed is transferred to the single wafer CVD film forming apparatus 30 (process module 10 0) by the transfer apparatus 1 09 in the vacuum side transfer chamber 10 3. lb or 1 0 1 c). This transfer is carried out in a vacuum state by the transfer device 10 9 in the vacuum side transfer chamber 10 3.
  • step S 14 as shown in FIG. 16C, a film forming process by the CVD method is performed on the silicon oxide film 20 2 formed on the surface of the wafer W.
  • a silicon oxide film 20 3 as a second insulating film is formed over the silicon oxide film 20 2.
  • the C VD method is used in this embodiment using the substrate processing system 200, but the film is formed by a method such as a thermal C VD method, a reduced pressure CVD method, or an atmospheric pressure C VD method. It is possible.
  • step S 15 a plasma processing apparatus 10 0 (process module 1 0 1 d) as a plasma modification processing apparatus is used for the wafer W on which the silicon oxide film 20 2 and the silicon oxide film 20 3 are formed. ).
  • This transfer is performed in a vacuum state by the transfer device 10 9 in the vacuum side transfer chamber 103.
  • step S 16 as shown in FIG. 16 E, a plasma reforming process is performed on the silicon oxide film 203.
  • the processing from step S13 to step S16 is repeated a plurality of times as necessary. That is, as shown in FIGS. 16 F and 16 G, the silicon oxide film 2 as the second insulating film is further formed on the silicon oxide film 20 3 as the second insulating film by the CVD method.
  • Step SI 7 YES plasma reforming treatment is performed on the uppermost silicon oxide film 204.
  • FIG. 16H plasma reforming treatment is performed on the uppermost silicon oxide film 204.
  • FIG. Steps S 13 to S 16 are performed until the total thickness T 3 of the second insulating film (silicon oxide film 20 3,) reaches a predetermined thickness ( Step SI 7 YES) is repeated.
  • the processing from step S13 to step S16 can be performed in the same manner as the processing from step S3 to step S6 in the first embodiment (see FIG. 6). Description is omitted.
  • step S 16 plasma processing is performed on the wafer W that has been processed by the transfer device 10 9 in the vacuum transfer chamber 10 3 in step S 18. It is unloaded from the device 100 (process module 10 Id) and stored in the loader cassette CR of the load port LP according to the above procedure.
  • a dense and high-quality insulating film (silicon oxide film) film thickness T 3 is formed to a desired thickness by repeating steps S 13 to S 16. can do. That is, even if a thick silicon oxide film having a thickness of 10 nm to 100 nm can be formed by a single C VD method, it is difficult to improve the film quality to a fine and high quality as it is. The reason for this is that, as described above, o 2 + ions and ⁇ ('D 2 ) radicals have a low ability to permeate the silicon oxide film that is the target of the modification treatment, and therefore, the silicon oxide film that can be plasma-modified can be used.
  • the film thickness depth from the surface
  • step S12 it is preferable to plasma-oxidize the underlying silicon layer in step S12 prior to a plurality of film formation processes by the CVD method, and the interface between the silicon and the silicon oxide film can be planarized. This prevents an increase in interface state density due to the accumulation of fixed charges, ensures carrier mobility, and improves the electrical characteristics of the device.
  • both the plasma oxidation process in step S 12 and the plasma modification process in step S 16 may be performed.
  • a third embodiment of the present invention will be described with reference to FIGS. 17 and 18A to l8G.
  • the feature of the third embodiment is that, unlike the first and second embodiments, a thick silicon oxide film is formed without performing plasma oxidation of the silicon layer of the wafer W.
  • Fig. 17 is a flow chart showing the flow of the silicon oxide film formation method including the process of forming a silicon oxide film as an insulating film and its modification process, and Figs. It is drawing explaining the main process.
  • the method for forming the silicon oxide film of the present embodiment is performed, for example, by the procedure from step S 21 to step S 26 shown in FIG.
  • the wafer W to be processed is transferred to the C VD film forming device (process module 1 O la or 100 1 c by the transfer device 10 9 in the vacuum side transfer chamber 10 3. ).
  • step S 2 as shown in FIG. 1 8 A, a film formation process by the CVD method is performed on the silicon layer 2 2 1 exposed on the surface of the wafer W; As shown in Figure 1 8 B, the silicon layer 2 2
  • a silicon oxide film 2 2 2 as an insulating film is formed on 1. This C V
  • the thermal C VD method is used in this embodiment using the substrate processing system 200, but the film formation may be performed by a method such as a plasma C VD method, a low pressure CVD method, or an atmospheric pressure CVD method. Is possible.
  • the plasma CVD method is preferable from the viewpoint of a single Malvanette.
  • the children T It is preferably within the range of nm or less, and more preferably within the range of 4 nm or more and 8 nm or less.
  • Thickness T H of the silicon oxide film 2 2 2 to be reforming process is less than 2 nm, becomes large number of iterations until the thickening to the desired thickness, which is inefficient.
  • the thickness 1 of the silicon oxide film 2 2 2 exceeds 10 nm, it becomes difficult to sufficiently modify the entire thickness direction as will be described later.
  • step S 2 3 the wafer W on which the silicon oxide film 2 2 2 is formed is used as a plasma processing apparatus 1 0 0 (process module 1 0 1 b or 1 0 1 d ). This transfer is performed in a vacuum state by the transfer device 10 9 in the vacuum side transfer chamber 10 3.
  • step S 24 as shown in FIG. 18 C, a plasma reforming process is performed on the silicon oxide film 22 2.
  • the procedure and conditions of the plasma reforming process performed using the plasma processing apparatus 100 are as described in the description of the first embodiment.
  • the above conditions are stored as recipes in the storage unit 53 of the control unit 50.
  • the process controller 51 reads the recipe By sending a control signal to each component of the plasma processing apparatus 100, for example, the gas supply mechanism 18, the exhaust apparatus 24, the microwave generator 39, the heat source 5 a, etc. Plasma reforming process is performed under certain conditions.
  • step S 24 after the plasma reforming process in step S 24 is completed, the processes in step S 22 and step S 24 are repeated a plurality of times as necessary. That is, after the processing in step S 24 is completed, in step S 25, it is determined whether or not the total thickness of the modified silicon oxide film 22 2 has reached a predetermined thickness. If it has not been reached (NO in step S 2 5), the process returns to step S 2 1, and wafer W is transferred to the C VD deposition system (process module 1 0 1 a or 1 0 1 c). (Refer to step S21). Then, as shown in FIG. 18D, an insulating film is deposited again on the modified silicon oxide film 2 2 2 a by the CVD method (see step S 2 2). As a result, as shown in FIG. 18 E, the silicon oxide film 2 2 3 having a film thickness Tu is laminated on the modified silicon oxide film 2 2 a.
  • step S 23 the wafer W is transferred to the plasma processing apparatus 100 (process module 1 0 1 b or 1 0 1 d) (see step S 23), and as shown in FIG. Plasma reforming treatment is applied to the silicon oxide film 2 2 3 (see step S 24).
  • step S 2 2 and step S 2 4 is performed by the laminated insulating film 2 3 0 (modified silicon oxide films 2 2 2 a, 2 2 3 a, 2 2 2
  • the total film thickness ⁇ 2 () at this time is preferably 4 nm or more and 100 00 nm or less, and more preferably 4 nm or more and 100 nm or less.
  • the laminated insulating film 2 3 0 having a predetermined thickness is formed by repeating the processes of step S 2 2 and step S 2 4, it is determined as YES in step S 2 5.
  • the wafer W processed by the transfer device 1 0 9 in the vacuum transfer chamber 1 0 3 is transferred from the plasma processing device 1 0 0 (process module 1 0 1 b or 1 0 1 d) and Store in wafer cassette CR of single port LP.
  • a high-quality insulating film (silicon oxide film 2 2 2, 2 2 3 ⁇ ) that is dense and has no defects is obtained by repeating the processes of step S 2 2 and step S 24. ⁇ ) can be formed with a desired film thickness T 2 Q. Even if a silicon oxide film with a thickness of 10 nm to l OOO nm can be formed by a single C VD method, the entire film is modified to a dense and high-quality film by plasma modification. It is difficult to do.
  • O 2 + ions and O ( 1 D 2 ) radicals which are important active species in the plasma reforming process, have a low ability to permeate the silicon oxide film that is the target of the reforming process. This is because there is a limit to the film thickness (depth from the surface) of the silicon oxide film that can be modified by this.
  • the deposition of a silicon oxide film by an CVD method as an insulating film and the plasma modification treatment are repeatedly performed, so that the film thickness is not limited by the modification limit film thickness.
  • T 2 D it is possible to form an insulating film that is denser and of better quality than the conventional C VD film, with a film thickness equivalent to that of the conventional C VD film.
  • FIG. 19 is a cross-sectional view showing a schematic configuration of a TFT element 4 10 to which the insulating film forming method according to the third embodiment can be applied. Note that the same reference numerals as those of the TFT element 40 0 shown in FIG. 10 indicate the same or similar components, and therefore, a duplicate description is not given.
  • the element 4 10 shown in FIG. 19 is characterized in that the gate insulating film 4 0 3 of the element 4 0 0 shown in FIG. 1 0 is formed by the insulating film 4 0 3 X according to the third embodiment.
  • the gate insulating film 4 0 3 of the element 4 0 0 shown in FIG. 1 0 is formed by the insulating film 4 0 3 X according to the third embodiment.
  • a metal material that becomes the gate electrode 4 0 2 is formed on the glass substrate 4 0 1.
  • a film is formed and a pattern is formed.
  • a gate insulating film 40 3 X is formed by a CVD method so as to cover the surfaces of the gate electrode 40 2 and the glass substrate 4 0 1.
  • the C VD process and the plasma modification process are repeated a predetermined number of times as described above.
  • a first silicon oxide film 40 3 a is formed by a C VD method, and then this first silicon oxide film 40 3 a is subjected to a plasma modification process using a plasma processing apparatus 100.
  • a second silicon oxide film 40 3 b is formed on the first silicon oxide film 40 3 a by the C VD method, and then the second silicon oxide film 4 0 0 is used by using the plasma processing apparatus 1 0 0.
  • the silicon oxide film 4 0 3 b is subjected to plasma modification treatment.
  • a third silicon oxide film 40 3 c is formed on the second silicon oxide film 40 3 b by a CVD method. Next, the third silicon oxide film is used by using the plasma processing apparatus 100.
  • the film 4 0 3 c is subjected to plasma modification treatment.
  • the CVD method is preferably plasma CVD from the viewpoint of thermal budget.
  • a dense gate insulating film 40 3 X having few defects such as impurities and dangling ponds can be formed by low-temperature treatment.
  • the silicon oxide film constituting the gate insulating film 40 3 X is not limited to three layers, and may be two layers or four or more layers depending on the thickness of the gate insulating film 40 3 X.
  • the above processing is the same as the procedure from step S21 to step S26 in Fig. 17. Therefore, it can be implemented.
  • the TFT element 4 10 can be formed by forming the passivation film 4 8 8 and forming an ITO electrode (not shown).
  • the gate insulating film 40 3 X can be formed with a desired thickness by repeating the C VD process and the plasma modification process.
  • the plasma reforming process enables the gate insulating film 40 3 X to have a high-quality film with less defects such as impurities and dangling bonds. Therefore, the electrical performance of the TFT element 4 10 can be improved.
  • the present invention is not limited to the above-described embodiments, and various modifications can be made.
  • the above embodiment is exemplified plasma modification treatment subject to the insulating film as a thermal CVD silicon oxide film formed by (S i ⁇ 2 film) is not limited to the silicon oxide film by the thermal CVD method It is possible to target a silicon oxide film formed by another CVD method, for example, a plasma CVD method. In this case, a silicon oxide film having a poor film quality (for example, a poor film quality) can provide a higher modification effect.
  • the insulating film to be subjected to the plasma reforming treatment is not limited to the silicon oxide film, but, for example, a high dielectric constant metal including an oxide of a metal such as zirconium, tantalum, titanium, barium, strontium, aluminum, hafnium, etc.
  • Plasma modification treatment can also be applied to oxide films (11 1 – 1 ⁇ film). In this case, a flat interface cannot be formed simply by forming a high dielectric constant metal oxide film on the silicon surface by the CVD method.
  • PT / JP2009 / 052447 It is effective to perform plasma oxidation before film formation to form an extremely flat interface and to form a high dielectric constant metal oxide film on it.

Abstract

シリコンと絶縁膜との界面が平坦な絶縁膜をCVD法によって形成するために、複数の孔を有する平面アンテナ31によりチャンバ1内にマイクロ波を導入するプラズマ処理装置100を用い、シリコン表面を酸化して酸化珪素膜を形成する(ステップS1)。この酸化珪素膜上にCVD法により絶縁膜としての酸化珪素膜を成膜し(ステップS4)、さらに、プラズマ処理装置100を用い、チャンバ1内に希ガスと酸素を含む処理ガスを導入するとともに平面アンテナ31によりマイクロ波を導入し、6.7Pa以上533Pa以下の範囲内の圧力条件でプラズマを発生させ、該プラズマにより、絶縁膜を改質する(ステップS6)。

Description

絶縁膜の形成方法、 コンピュータ読み取り可能な記憶媒体および処 理システム
技術分野
本発明は、 C VD (C h e m i c a l V a p o r D e p o s
i t i o n ; 化学気相堆積) 法により絶縁膜を形成する絶縁膜の形 成方法、 コンピュータ読み取り可糸能な記憶媒体および処理システム に関する。
背景技術
C VD法は、 各種半導体装置の製造過程において酸化珪素膜や高 誘電率絶縁膜などの絶縁膜を形成する目的で広く利用されている。
C VD法では、 熱などのエネルギーを用いて成膜原料物質に気相反 応を生じさせ、 被処理体上に絶縁膜を形成する。
C V D法により絶縁膜を形成した場合、 以下のような問題点が指 摘されている。 まず、 第 1の問題点として、 C VD法により堆積さ せた絶縁膜と下地膜との間の界面に微小な凹凸が形成されるため界 面準位密度が大きくなる点が挙げられる。 界面準位密度が大きくな ると、 例えば下地膜がシリコン層の場合、 シリコン層と絶縁膜との 界面を移動するキャリアの移動度が低下し、 デバイスの電気的性能 を低下させてしまう ことが懸念される。 また、 凹凸による膜厚揺ら ぎが生じるため微視的に弱い箇所が存在し、 絶縁破壌寿命に影響を 及ぼす。
また、 第 2の問題点として、 C VD法やプラズマ C VD法によつ て成膜された絶縁膜 (例えば酸化珪素膜) 中には、 多くのダングリ ングポンドが存在するとともに、 成膜原料に由来する水分や塩素等 の不純物が残留しており、 膜質があまり良くないという点が挙げら れる。 このため、 C V D法やプラズマ C V D法により成膜された絶 縁膜を例えば 7 0 0 °C以上の高温でァニール処理して膜質を改善す ることが必要であった。 しかし、 熱によるエネルギー供給では、 S i 一〇結合の組み換えは不可能であるため、 成膜後のァニール処理 によって、 基礎的な膜質の改善を行う ことは困難である。 また、 ァ ニール処理による改質効果を高めようとすると、 高温での処理が必 要になるが、 高温でのァニールは、 サ一マルバジェッ トの増大につ ながる。 サーマルバジェッ トが増大すると、 シリコン層に拡散され た不純物分布の制御が困難になり、 半導体装置の品質に好ましくな い影響を与えてしまう ことが懸念される。
また、 例えば液晶ディスプレイや有機 E Lディスプレイのように ガラス基板や合成樹脂製基板を用いる場合には、 絶縁膜の改質処理 に高温でのァニ一ルを行うこと自体が不可能である。
このため、 酸化珪素膜をプラズマ処理することによって比較的低 温で膜質を改質する技術が提案されている (例えば、 特許文献 1 、 2 ) 。
特許文献 1 W O 2 0 0 2 / 0 5 9 9 5 6号
特許文献 2 W〇 2 0 0 1 Z 6 9 6 6 5号 発明の開示
発明が解決しょうとする課題
上記特許文献 1および特許文献 2に記載された、 C V D法により 形成された絶縁膜を希ガスと酸素ガスとのプラズマを用いて処理す る絶縁膜の改質処理は、 サーマルバジェッ トを低減しつつ良質な絶 縁膜を製造できる点で優れた技術である。 しかし、 このようなブラ ズマ改質処理を行っても、 シリコンと絶縁膜との界面を平坦化する ことはできない。 すなわち、 シリコン上に C V D法で絶縁膜を形成 する際には、 熱により、 まずシリコンが酸化され、 シリコン酸化膜 が形成される。 このシリコン酸化膜の形成過程では、 微視的にはシ リコンの ( 1 0 0 ) 面と ( 1 1 1 ) 面とで酸化レートが異なるため
、 シリコン酸化膜が凹凸に形成される。 そして、 この凹凸のシリコ ン酸化膜の上に、 C V D法により絶縁膜を堆積させても界面の形状 は変化しないため、 このように形成された絶縁膜に対して、 特許文 献 1 、 2の方法でプラズマ改質処理を行っても、 界面は平坦化され ず、 その凹凸は改善されない。 つまり、 プラズマによる改質処理は 、 上記第 1の問題点に対しては殆ど効果が期待できない。
本発明はかかる実情に鑑みてなされたものであり、 その目的は、 C V D法によって絶縁膜を形成する場合に、 シリコンと絶縁膜との 界面の形状を出来るだけ平坦化することが可能な絶縁膜の形成方法 を提供することである。
課題を解決するための手段
上記課題を解決するため、 本発明の絶縁膜の形成方法は、 被処理 体の表面に露出したシリコンをプラズマ酸化処理して第 1 の絶縁膜 としての酸化珪素膜を形成するプラズマ酸化処理工程と、
前記酸化珪素膜の上に C V D法によって第 2の絶縁膜を形成する C V D工程と、
前記第 2の絶縁膜に対し、 酸素を含む処理ガスのプラズマを用い て改質処理を行うプラズマ改質処理工程と、 を備えている。
本発明の絶縁膜の形成方法において、 複数の孔を有する平面アン テナにより処理室内にマイクロ波を導入するプラズマ処理装置にお いて、 処理圧力が 6 . 7 P a以上 2 6 7 P a以下の範囲内であり、 かつ前記処理ガスの全流量に対する酸素の流量比率が 0 . 1 %以上 3 0 %以下の範囲内で行われるものであることが好ましい。 さらに 、 本発明の絶縁膜の形成方法において、 前記プラズマ酸化処理工程 における前記処理圧力が 6 . 7 P a以上 6 7 P a以下の範囲内であ ることが好ましい。
また、 本発明の絶縁膜の形成方法において、 前記プラズマ改質処 理工程は、 処理圧力が 6 . 7 P a以上 2 6 7 P a以下の範囲内であ り、 かつ前記処理ガスの全流量に対する酸素の流量比率が 0 . 1 % 以上 3 0 %以下の範囲内で行われることが好ましい。 この場合、 前 記プラズマ改質処理工程における前記処理圧力が 6 . 7 P a以上 6 7 P a以下の範囲内であることが好ましい。 さらに、 前記プラズマ 改質工程は、 複数の孔を有する平面アンテナにより処理室内にマイ クロ波を導入するプラズマ処理装置により行われることが好ましい また、 本発明の絶縁膜の形成方法において、 前記第 2の絶縁膜を 、 プラズマ C V D法または熱 C V D法によって形成することが好ま しい。
また、 本発明の絶縁膜の形成方法では、 前記 C V D工程と、 前記 プラズマ改質処理工程とを、 複数回繰り返し行う ことが好ましい。
また、 本発明の絶縁膜の形成方法では、 前記プラズマ酸化処理ェ 程および前記プラズマ改質処理工程における処理温度が、 2 0 0 °C 以上 6 0 0 °C以下の範囲内であることが好ましい。
また、 本発明の絶縁膜の形成方法では、 前記プラズマ酸化処理ェ 程と前記プラズマ改質処理工程を同一チャンバ内で行う ことが好ま しい。
また、 本発明の絶縁膜の形成方法では、 前記プラズマ酸化処理ェ 程で形成される前記酸化珪素膜と前記シリコンとの界面が平坦であ ることが好ましい。 また、 本発明の絶縁膜の形成方法では、 前記第 1 の絶縁膜の膜厚 が 3 n m以上 1 0 n m以下の範囲内であり、 前記第 2の絶縁膜の膜 厚が 3 n m以上 1 O n m以下の範囲内であることが好ましい。
また、 本発明の絶縁膜の形成方法では、 前記第 2の絶縁膜が、 原 料ガスとしてジクロルシランと N 2〇を用いる C V D法によって堆 積させられた酸化珪素膜であることが好ましい。
本発明のコンピュータ読み取り可能な記憶媒体は、 コンピュータ 上で動作する制御プログラムが記憶されたコンビュ一夕読み取り可 能な記憶媒体であって、
前記制御プログラムは、 実行時に、 被処理体に対して所定の処理 を行うための複数の処理チャンバを有する処理システムにおいて、 被処理体の表面に露出したシリコンをプラズマ酸化処理して第 1 の 絶縁膜としての酸化珪素膜を形成するプラズマ酸化処理工程と、 前 記酸化珪素膜の上に C V D法によって第 2の絶縁膜を形成する C V D工程と、 前記第 2の絶縁膜に対し、 酸素を含む処理ガスのプラズ マを用いて改質処理を行うプラズマ改質処理工程と、 を含む絶縁膜 の形成方法が行なわれるように、 コンピュー夕に前記処理システム を制御させるものである。
本発明に係る処理システムは、 被処理体に対して第 1 の処理を行 う第 1の処理チャンバと、 被処理体に対して前記第 1の処理とは異 なる第 2の処理を行う第 2の処理チャンバと、 被処理体に対して前 記第 2の処理とは異なる第 3の処理を行う第 3の処理チャンバと、 を有する処理システムであって、 第 1 の処理チャンバ内で、 前記第 1 の処理として、 被処理体の表面に露出したシリコンをプラズマ酸 化処理して第 1の絶縁膜としての酸化珪素膜を形成し、 次に、 第 2 の処理チャンバにおいて、 前記第 2の処理として前記酸化珪素膜の 上に C V D法によって第 2の絶縁膜を形成し、 次に、 第 3の処理チ ヤンバにおいて、 前記第 3の処理として、 前記第 2の絶縁膜に対し 酸素を含む処理ガスのプラズマを用いてプラズマ改質処理を行うよ うに各処理チャンバを制御する制御部を備えている。 この場合、 前 記第 1の処理チャンバと、 前記第 3の処理チャンバとが、 同一の処 理チャンバであることが好ましい。
発明の効果
本発明の絶縁膜の形成方法によれば、 C V D工程に先立ち、 シリ コン表面をプラズマ酸化処理してシリコンとの界面が平坦になるよ うに第 1 の絶縁膜としてのシリコン酸化膜を形成し、 このシリコン 酸化膜上に C V D法により第 2の絶縁膜を成膜することによって、 シリコン Z絶縁膜界面付近での固定電荷の蓄積による界面準位密度 の上昇を抑制できる。 また、 第 2の絶縁膜をプラズマ改質処理する ことにより、 第 2の絶縁膜の膜質が緻密になり、 不純物やダングリ ングボンドが少ない良質な絶縁膜にすることができる。 したがって 、 本発明の絶縁膜の形成方法によれば、 シリコン/絶縁膜界面付近 でのキヤリァの移動度を確保することが可能になり、 例えば卜ラン ジス夕の動作速度などデバイスの電気的特性を改善できるとともに 、 膜質の改善によつて信頼性の高いデバィスを製造できる。 図面の簡単な説明
図 1は、 基板処理システムの概略構成を示す平面図である。
図 2は、 本発明の絶縁膜の形成方法の実施に適したプラズマ処理 装置の一例を示す概略断面図である。
図 3は、 平面アンテナの構造を示す図面である。
図 4は、 制御部の構成を示す説明図である。
図 5は、 本発明の絶縁膜の形成方法の実施に適した枚葉 C V D成 膜処理装置の一例を示す概略断面図である。 図 6は、 本発明の第 1の実施の形態に係る絶縁膜の形成方法の手 順の概略を示す説明図である。
図 7 A〜 7 Eは、 本発明の第 1の実施の形態に係る絶縁膜の形成 方法の主要な工程を説明する説明図である。
図 8 A〜 8 Bは、 プラズマ酸化処理における S i / S i 〇2界面 の平坦化の機構を模式的に説明する図面である。
図 9は、 プラズマ改質処理における改質機構を模式的に説明する 説明図である。
図 1 0は、 本発明の第 1の実施の形態に係る絶縁膜の形成方法を 適用可能な T F T素子の概略構成を示す断面図である。
図 1 1は、 プラズマ改質処理の圧力と、 MO Sキャパシ夕のリ一 ク電流特性との関係を示すグラフ図面である。
図 1 2は、 プラズマ改質処理の圧力と、 MO Sキャパシ夕の Q b d特性との関係を示すグラフ図面である。
図 1 3は、 プラズマ改質処理における〇2Z (A r +〇2) 比と Q b dとの関係を示すグラフ図面である。
図 1 4は、 原子間力顕微鏡で測定した S i 〇2/ S i 界面の平坦 度 RM Sの結果を示すグラフ図面である。
図 1 5は、 本発明の第 2の実施の形態に係る絶縁膜の形成方法の 手順の概略を示す説明図である。
図 1 6 A〜 1 6 Hは、 本発明の第 2の実施の形態に係る絶縁膜の 形成方法の主要な工程を説明する説明図である。
図 1 7は、 本発明の第 3の実施の形態に係る絶縁膜の形成方法の 手順の概略を示す説明図である。
図 1 8 A〜 1 8 Gは、 本発明の第 3の実施の形態に係る絶縁膜の 形成方法の主要な工程を説明する説明図である。
図 1 9は、 本発明の第 3の実施の形態に係る絶縁膜の形成方法を 適用可能な T F T素子の概略構成を示す断面図である。 発明を実施するための形態
[第 1の実施の形態]
以下、 本発明の実施の形態について図面を参照して詳細に説明す る。 まず、 図 1 を参照しながら本発明の実施の形態に係る絶縁膜の 形成方法が行われる基板処理システムについて説明を行う。 図 1 は 、 例えば基板としての半導体ウェハ (以下、 単に 「ウェハ」 と記す ) Wに対し、 例えばプラズマ酸化処理、 成膜処理、 改質処理等の各 種の処理を行なうように構成された基板処理システム 2 0 0 を示す 概略構成図である。 ( _の基板処理システム 2 0 0は 、 マルチチャン バ構造のクラスタツ ルとして構成されている
基板処理システム 2 0 0は、 主要な構成として 、 ゥェ八 Wに対し て各種の処理を行う 4つのプロセスモジユール 1 0 1 a , 1 0 1 b
, 1 0 1 c , 1 0 1 dと 、 これらのプロセスモンュール 1 0 1 a
1 0 1 dに対してゲートバルブ G 1 を介して接続された真空側搬送 室 1 0 3 と、 この真空側搬送室 1 0 3にゲートバルブ G 2を介して 接続された 2つのロード口ック室 1 0 5 a , 1 0 5 bと 、 これら 2 つのロードロック室 1 0 5 a, 1 0 5 bに対してゲートバルブ G 3 を介して接続されたローダーュ一ッ ト 1 0 7 とを備えている。
4つのプロセスモジユール 1 0 l a〜 : L O l dは、 ゥェ八 Wに対 して例えばプラズマ酸化処理、 C VD処理、 プラズマ改質処理など の処理を行う処理装置であ プロセスモジュール 1 0 1 a〜 1 0
1 dは、 ウェハ Wに対して同じ内容の処理を行うものであってもよ いし、 あるいはそれぞれ異なる内容の処理を行うものであってもよ い。 本実施の形態では、 少なく とも、 プロセスモジュ一ル 1 0 1 a
〜 1 O l dにおいて、 ゥェ八 Wのシリコンを酸化して酸化珪素膜を 形成するプラズマ酸化処理と、 C VD法による成膜処理と、 該成膜 処理によって形成された酸化珪素膜に対してプラズマを作用させて 改質を行うプラズマ改質処理と、 を行うことができるように構成さ れている。
真空引き可能に構成された真空側搬送室 1 0 3には、 プロセスモ ジュール 1 0 1 a〜 1 0 I dやロードロック室 1 0 5 a, 1 0 5 b に対してウェハ Wの受け渡しを行う第 1の基板搬送装置としての搬 送装置 1 0 9が設けられている。 この搬送装置 1 0 9は、 互いに対 向するように配置された一対の搬送アーム部 1 1 1 a, 1 1 l bを 有している。 各搬送ァ一ム部 l l l a, 1 1 l bは同一の回転軸を 中心として、 屈伸及び旋回可能に構成されている。 また、 各搬送ァ
—ム部 1 1 1 a , 1 1 1 bの先端には、 それぞれウェハ Wを載置し て保持するためのフォ一ク 1 1 3 a , 1 1 3 bが設けられている。 搬送装置 1 0 9は、 これらのフォーク 1 1 3 a, 1 1 3 b上にゥェ 八 Wを載置した状態で 、 プロセスモジュール 1 0 1 a〜 l 0 1 d間
、 あるいはプロセスモン 、 ュール 1 0 1 a〜 l 0 I dとロードロック 室 1 0 5 a , 1 0 5 bとの間でウェハ Wの搬送を行う。
口一ドロック室 1 0 5 a , 1 0 5 b内には、 それぞれウェハ Wを 載置する載置台 1 0 6 a 1 0 6 bが設けられている。 口一ドロッ ク室 1 0 5 a , 1 0 5 bは 、 真空状態と大気開放状態を切り替えら れるように構成されている 。 このロードロック室 1 0 5 a, 1 0 5 bの載置台 1 0 6 a , 1 0 6 bを介して、 真空側搬送室 1 0 3 と大 気側搬送室 1 1 9 (後述) との間でウェハ Wの受け渡しが行われる
Π―ダ一ュ二ッ ト 1 0 7は、 ウェハ Wの搬送を行う第 2の基板搬 送装置としての搬送装置 1 1 7が設けられた大気側搬送室 1 1 9 と
、 この大気側搬送室 1 1 9 に隣接配備された 3つのロードポート L Pと、 大気側搬送室 1 1 9の他の側面に隣接配備され、 ウェハ Wの 位置検出を行なう位置検出装置 (オリエン夕) 1 2 1 を有するチヤ ンノ 1 2 2 と、 を有している。
大気側搬送室 1 1 9は、 例えば窒素ガスや清浄空気がダウンフ口 一してクリーンな環境を形成する循環設備 (図示省略) を備え、 ク リーンな環境が維持されている。 大気側搬送室 1 1 9は、 平面視矩 形形状をなしており、 その長手方向に沿ってガイ ドレール 1 2 3が 設けられている。 このガイ ドレール 1 2 3に搬送装置 1 1 7がスラ イ ド移動可能に支持されている。 つまり、 搬送装置 1 1 7は図示し ない駆動装置により、 ガイ ドレール 1 2 3 に沿って X方向へ移動可 能に構成されている。 この搬送装置 1 1 7は、 上下 2段に配置され た一対の搬送アーム部 1 2 5 a , 1 2 5 bを有している。 各搬送ァ ーム部 1 2 5 a, 1 2 5 bは屈伸及び旋回可能に構成されている。 各搬送アーム部 1 2 5 a , 1 2 5 bの先端には、 それぞれウェハ W を載置して保持する保持部材としてのフォーク 1 2 7 a , 1 2 7 b が設けられている。 搬送装置 1 1 7は、 これらのフォーク 1 2 7 a , 1 2 7 b上にウェハ Wを載置した状態で、 ロードポート L Pのゥ ェハカセッ ト C Rと、 ロードロック室 1 0 5 a , 1 0 5 bと、 位置 検出装置 1 2 1 との間でウェハ Wの搬送を行う。
ロードポート L Pは、 ウェハカセッ ト C Rを載置できるようにな つている。 ウェハカセッ ト C Rは、 複数枚のウェハ Wを同じ間隔で 多段に載置して収容できるように構成されている。
位置検出装置 1 2 1は、 図示しない駆動モータによって回転され る回転板 1 3 3 と、 この回転板 1 3 3の外周位置に設けられ、 ゥェ ハ Wの周縁部を検出するための光学センサ 1 3 5 とを備えている。
以上のような構成を有する基板処理システム 2 0 0においては、 以下の手順でウェハ Wに対するプラズマ酸化処理、 C VD処理およ びプラズマ改質処理が行われる。 まず、 大気側搬送室 1 1 9の搬送 装置 1 1 7のフォーク 1 2 7を用い、 ロードポート L Pのウェハ力 セッ ト C Rより 1枚のウェハ Wが取り出され、 オリエン夕 1 2 1で 位置合わせされた後、 ロードロック室 1 0 5 a (または 1 0 5 b ) に搬入される。 ウェハ Wが載置台 1 0 6 a (または 1 0 6 b ) に載 置された状態のロードロック室 1 0 5 a (または 1 0 5 b ) では、 ゲー卜バルブ G 3が閉じられ、 内部が真空状態に減圧排気される。 その後、 ゲートバルブ G 2が開放され、 真空側搬送室 1 0 3内の搬 送装置 1 0 9のフォーク 1 1 3によってウェハ Wがロードロック室 1 0 5 a (または 1 0 5 b ) から運び出され、 プロセスモジュール 1 0 1 a〜: L O l dのいずれかに搬入される。
本実施の形態では、 例えばプロセスモジュール 1 0 1 aでは、 ゥ ェハ W表面のシリコンを酸化するプラズマ酸化処理を行なう ことが できるように構成されている。 また、 プロセスモジュール 1 0 l b , 1 0 1 cでは、 ウェハ W上に絶縁膜例えば酸化珪素膜などを形成 する C VD処理を行なうことができるように構成されている。 また 、 プロセスモジュール 1 0 1 dでは、 前記絶縁膜を形成した後にそ の絶縁膜を改質するプラズマ改質処理を行なうことができるように 構成されている。 なお、 プロセスモジュール 1 0 l aおよび 1 0 1 dにおいて、 それぞれプラズマ酸化処理とプラズマ改質処理の両方 の処理を行えるようにしてもよい。
搬送装置 1 0 9 によりロードロック室 1 0 5 a (または 1 0 5 b ) から運び出されたウェハ Wは、 まず、 プロセスモジュール 1 0 1 aに搬入され、 ゲートバルブ G 1 を閉じた後でウェハ Wに対してプ ラズマ酸化処理が行われる。
次いで、 前記ゲートバルブ G 1が開放され、 酸化珪素膜が形成さ れたウェハ Wが搬送装置 1 0 9 によりプロセスモジュール 1 0 1 a から真空状態のままプロセスモジュール 1 0 1 b , 1 0 1 cのいず れか片方に搬入される。 そして、 ゲートバルブ G 1 を閉じた後でゥ ェハ Wに対して成膜ガスを用いて C VD処理が行われる。 C VD処 理によって、 前記酸化珪素膜の上に絶縁膜が堆積形成される。
次いで、 前記ゲートバルブ G 1が開放され、 C V D法による絶縁 膜が形成されたウェハ Wが搬送装置 1 0 9によりプロセスモジュ一 ル 1 0 1 b (または 1 0 1 c ) から真空状態のままプロセスモジュ ール 1 0 1 dに搬入される。 そして、 ゲートバルブ G 1が閉じられ た後で前記絶縁膜に対してプラズマ改質処理が行われる。 次に、 プ ロセスモジュール 1 0 1 dのゲートバルブ G 1が開放され、 プラズ マ改質処理されたウェハ Wが、 搬送装置 1 0 9 により取り出され、 ロードロック室 1 0 5 a (または 1 0 5 b ) に搬入される。 そして 、 前記とは逆の手順でロードポート L Pのウェハカセッ ト C Rに処 理済みのウェハ Wが収納され、 基板処理システム 2 0 0 における 1 枚のウェハ Wに対する処理が完了する。 なお、 基板処理システム 2 0 0における各処理装置の配置は、 効率的に処理を行う ことができ る配置であれば、 いかなる配置構成でもよい。 さらに、 基板処理シ ステム 2 0 0におけるプロセスモジュールの数は 4つに限らず、 5 つ以上であってもよい。
図 2は、 基板処理システム 2 0 0において行われるプラズマ酸化 処理およびプラズマ改質処理に共通して利用可能なプラズマ処理装 置 1 0 0の概略構成を模式的に示す断面図である。 また、 図 3は、 図 2のプラズマ処理装置 1 0 0の平面アンテナを示す平面図である プラズマ処理装置 1 0 0は、 複数のスロッ ト状の孔を有する平面 アンテナ、 特に R L S A (R a d i a l L i n e S l o t A n t e n n a ; ラジアルラインスロッ トアンテナ) にて処理室内に マイクロ波を導入してプラズマを発生させることにより、 高密度か つ低電子温度のマイクロ波励起プラズマを発生させ得る R L S Aマ イク口波プラズマ処理装置として構成されている。 プラズマ処理装 置 1 0 0では、 1 X I 0 l fl〜 5 X l 012/ c m3のプラズマ密度で 、 かつ 0. 7〜 2 e V (特にウェハ Wの近傍では 1. l e V以下) の低電子温度を有するプラズマにより、 ウェハ Wへのダメージを与 えない処理が可能である。 従って、 プラズマ処理装置 1 0 0は、 各 種半導体装置の製造過程において、 シリコンを酸化して酸化珪素膜
(例えば S i 〇2膜) を形成するプラズマ酸化処理や、 C VD法に よって形成された酸化珪素膜 (例えば S i 02膜) をプラズマダメ —ジなく改質する目的で好適に利用できる。
プラズマ処理装置 1 0 0は、 主要な構成として、 気密に構成され たチャンバ (処理室) 1 と、 チャンバ 1 内にガスを供給するガス供 給部 1 8と、 チャンバ 1 内を減圧排気するための排気装置 2 4と、 チャンバ 1の上部に設けられ、 チャンパ 1内にマイクロ波を導入す るマイク口波導入部 2 7 と、 これらプラス' 処理装置 1 0 0の各構 成部を制御する制御部 5 0 と、 を備えている
チャンバ 1 は、 接地された略円筒状の容器により形成されている
。 なお、 チャンバ 1 は角筒形状の容器により形成してもよい。 チャ ンバ 1は、 アルミニウム等の材質からなる底壁 1 aと側壁 1 bとを 有している。
チャンバ 1 の内部には 、 被処理体であるヴェハ Wを水平に支持す るための載置台 2が設けられている。 載置台 2は、 熱伝導性の高い 材質例えば A 1 N等のセラミックスにより構成されている。 この載 置台 2は、 排気室 1 1 の底部中央から上方に延びる円筒状の支持部 材 3により支持されている。 支持部材 3は 、 例えば A 1 N等のセラ ミックスにより構成されている。 また、 載置台 2には、 その外縁部をカバ一し、 ウェハ Wをガイ ド するためのカバーリング 4が設けられている。 このカバ一リ ング 4 は、 例えば石英、 A 1 N、 A 1 2 0 3、 S i N等の材質で構成された 環状部材である。
また、 載置台 2には、 温度調節機構としての抵抗加熱型のヒータ 5が埋め込まれている。 このヒータ 5は、 ヒ一夕電源 5 aから給電 されることにより載置台 2 を加熱して、 その熱で被処理基板である ウェハ Wを均一に加熱する。
また、 載置台 2には、 熱電対 (T C ) 6が配備されている。 この 熱電対 6によって温度計測を行う ことにより、 ウェハ Wの加熱温度 を例えば室温から 9 0 0 °Cまでの範囲で制御可能となっている。
また、 載置台 2には、 ウェハ Wを支持して昇降させるためのゥェ ハ支持ピン (図示せず) が設けられている。 各ウェハ支持ピンは、 載置台 2の表面に対して突没可能に設けられている。
チャンバ 1の内周には、 石英からなる円筒状のライナー 7が設け られている。 また、 載置台 2の外周側には、 チャンバ 1内を均一排 気するため、 多数の排気孔 8 aを有する石英製のバッフルプレート 8が環状に設けられている。 このバッフルプレート 8は、 複数の支 柱 9 により支持されている。
チャンバ 1の底壁 1 aの略中央部には、 円形の開口部 1 0が形成 されている。 底壁 1 aにはこの開口部 1 0 と連通し、 下方に向けて 突出する排気室 1 1が設けられている。 この排気室 1 1 には、 排気 管 1 2が接続されており、 この排気管 1 2を介して真空ポンプ等の 排気装置 2 4に接続されている。
チヤンバ 1の上部には、 中央が環状に開口した蓋体 1 3が配置さ れ、 チャンバを開閉する機能を行う。 蓋体 1 3の内周は、 内側 (チ ヤンバ内空間) へ向けて突出し、 環状の支持部 1 3 aを形成してい チャンバ 1 の側壁 1 bには、 環状をなすガス導入部 1 5が設けら れている。 このガス導入部 1 5は 、 酸素含有ガスやブラズマ励起用 ガスを供給するガス供給部 1 8に接続されている。 なお 、 ガス導入 部 1 5はノズル状またはシャ 7一状に設けてもよい
また、 チヤ ンバ 1 の側壁 1 bには、 プラズマ処理装置 1 0 0 と、 これに隣接する搬送室 1 0 3 (図 1参照) との間で 、 ゥ X八 Wの搬 入出を行うための搬入出口 1 6 と 、 しの搬入出口 1 6 を開閉するゲ ートバルブ G 1 とが設けられている。
ガス供給部 1 8は、 例えば不活性ガス供給源 1 9 a、 酸素含有ガ ス供給源 1 9 bおよび水素ガス供給源 1 9 c を有している。 なお、 ガス供給部 1 8は、 上記以外の図示しないガス供給源として、 例え ばチャンパ 1 内雰囲気を置換する際に用いるパージガス供給源、 チ ヤ ンバ 1内をクリーニングする際に用いるクリーニングガス供給源 等を有していてもよい。
不活性ガスとしては、 例えば N 2ガスや希ガスなどを用いること がでさる。 希ガスとしては、 例えば A rガス、 K rガス、 X eガス
、 H eガスなどを用いることができる 。 これらの中でも、 プラズマ を安定して生成し、 経済性に優れている点で A rガスを用いること が特に好ましい CJ た、 酸素含有ガスとしては 、 例えば酸素ガス ( o 2 ) 、 水蒸気 ( H 2 〇) 、 一酸化窒素 ( N O ) 、 一酸化ニ窒素 ( N O ) などを用いることができる。
不活性ガス、 酸素含有ガスおよび水素ガスは、 ガス供給部 1 8の 不活性ガス供給源 1 9 a、 酸素含有ガス供給源 1 9 bおよび水素ガ ス供給源 1 9 cから、 ガスライン 2 0 を介してガス導入部 1 5に至 り、 ガス導入部 1 5からチャンバ 1内に導入される。 各ガス供給源 に接続する各々のガスライン 2 0には、 マスフローコントローラ 2 1およびその前後の開閉バルブ 2 2が設けられている。 このような ガス供給部 1 8の構成により、 供給されるガスの切替えや流量等の 制御が出来るようになつている。
排気装置 2 4は、 例えばタ一ポ分子ポンプなどの高速真空ポンプ 等の真空ポンプを備えている。 前記のように、 真空ポンプは、 排気 管 1 2 を介してチャンバ 1の排気室 1 1 に接続されている。 チャン バ 1内のガスは、 排気室 1 1の空間 1 1 a内へ均一に流れ、 さらに 空間 1 1 aから排気装置 2 4を作動させることにより、 排気管 1 2 を介して外部へ排気される。 これにより、 チャンバ 1内を所定の真 空度、 例えば 0 . 1 3 3 P aまで高速に減圧することが可能となつ ている。
次に、 マイクロ波導入部 2 7の構成について説明する。 マイクロ 波導入部 2 7は蓋体 1 3 の上に配置され、 主要な構成として、 透過 板 2 8、 平面アンテナ 3 1、 遅波材 3 3、 カバ一部材 3 4、 導波管 3 7、 マッチング回路 3 8およびマイクロ波発生装置 3 9 を備えて いる。
マイクロ波を透過させる透過板 2 8は、 蓋体 1 3 において内周側 に張り出した支持部 1 3 a上に支持される。 透過板 2 8は、 誘電体 、 例えば石英や A 1 2 0 3、 A I N等のセラミックスから構成されて いる。 この透過板 2 8 と支持部 1 3 aとの間は、 シ一ル部材 2 9 を 介して気密にシールされている。 したがって、 チャンバ 1内は蓋体 とともに気密に保持される。
平面アンテナ 3 1は、 透過板 2 8の上方において、 載置台 2 と対 向するように設けられている。 平面アンテナ 3 1は、 円板状をなし ている。 なお、 平面アンテナ 3 1の形状は、 円板状に限らず、 例え ば四角板状でもよい。 この平面アンテナ 3 1 は、 蓋体 1 3の上端に 係止され接地している。 平面アンテナ 3 1 は、 例えば表面が金または銀メツキされた銅板 、 アルミニウム板もしくはニッケル板またはこれら金属の合金の板 から構成されている。 平面アンテナ 3 1 は、 マイクロ波を放射する 多数のスロッ ト状のマイクロ波放射孔 3 2 を有している。 マイクロ 波放射孔 3 2は、 所定のパターンで平面アンテナ 3 1 を貫通して形 成されている。
個々のマイク口波放射孔 3 2は、 例えば図 3に示すように 、 細長 い長方形状 (スロッ ト状) をなしている そして、 典型的には隣接 するマイク口波放射孔 3 2が 「T」 字状に配置されてい •ο。 また、 このように所定の形状 (例えば Τ字状) に組み合わせて配置された マイク口波放射孔 3 2は、 さらに全体として同心円状に配置されて いる。
マイクロ波放射孔 3 2の長さや配列間隔は、 マイクロ波の波長 ( λ g ) に応じて決定される。 例えば、 マイクロ波放射孔 3 2の間隔 は、 A g / 4、 A g Z 2または A gとなるように配置される。 なお 、 図 3 においては、 同心円状に形成された隣接するマイクロ波放射 孔 3 2 どうしの間隔を△ rで示している。 なお、 マイクロ波放射孔 3 2の形状は、 円形状、 円弧状等の他の形状であってもよい。 さら に、 マイクロ波放射孔 3 2の配置形態は特に限定されず、 同心円状 のほか、 例えば、 螺旋状、 放射状等に配置することもできる。
平面ァンテナ 3 1の上面には、 真空より ¾大きい誘電率を有する 遅波材 3 3が配置されている 。 この遅波材 3 3は、 真空中ではマイ ク口波の波長が長くなることから、 マイク Π波の波長を短く調整す る機能を有し、 マイクロ波を効率良くマイク口波放射孔 3 2からチ ャンバ 1内へ導入でさるよ Όに構成されている。 遅波材 3 3の材質 としては、 例えば石英、 ポ Uテ卜ラフルォ □エチレン樹脂、 ポリイ ミ ド樹脂などを用いることができる。 なお、 平面アンテナ 3 1 と透過板 2 8 との間、 また、 遅波材 3 3 と平面アンテナ 3 1 との間は、 それぞれ接触させても離間させても よいが、 マイクロ波を効率よくチャンバ 1内へ導入する上で、 接触 させることが好ましい。
チャンバ 1の上部には、 これら平面アンテナ 3 1および遅波材 3 3 を覆うように、 カバー部材 3 4が設けられ、 平面アンテナ 3 1 と 偏平導波路を構成する。 カバ一部材 3 4は、 例えばアルミニウムや ステンレス鋼等の金属材料によって形成されている。 蓋体 1 3の上 端とカバー部材 3 4とは、 シ一ル部材 3 5によりシールされている 。 また、 カバ一部材 3 4の内部には、 冷却水流路 3 4 aが形成され ている。 この冷却水流路 3 4 aに冷却水を通流させることにより、 カバー部材 3 4、 遅波材 3 3、 平面アンテナ 3 1および透過板 2 8 を冷却できるようになつている。 なお、 カバー部材 3 4は接地され ている。
カバー部材 3 4の上壁 (天井部) の中央には、 開口部 3 6が形成 されており、 この開口部 3 6には導波管 3 7が接続されている。 導 波管 3 7の他端側には、 マッチング回路 3 8 を介してマイクロ波を 発生するマイクロ波発生装置 3 9が接続されている。
導波管 3 7は、 上記カバー部材 3 4の開口部 3 6から上方へ延出 する断面円形状の同軸導波管 3 7 aと、 この同軸導波管 3 7 aの上 端部にモード変換器 4 0 を介して接続された水平方向に延びる矩形 導波管 3 7 bとを有している。 モード変換器 4 0は、 矩形導波管 3 7 b内を T Eモードで伝播するマイクロ波を T E Mモードに変換す る機能を有している。
同軸導波管 3 7 aの中心には内導体 4 1が延在している。 この内 導体 4 1は、 その下端部において平面アンテナ 3 1 の中心に接続固 定されている。 このような構造により、 マイクロ波は、 同軸導波管 3 7 aの内導体 4 1 を伝播し、 カバー部材 3 4と平面アンテナ 3 1 として形成する偏平導波管内へ放射状に効率よく均一に伝播される 。 偏平導波管内で反射波を抑制されたマイクロ波がスロッ トよりチ ヤンバ内に導入される。
以上のような構成のマイクロ波導入部 2 7により、 マイクロ波発 生装置 3 9で発生したマイクロ波が導波管 3 7 を介して平面アンテ ナ 3 1へ伝搬され、 さらに透過板 2 8 を介してチャンバ 1内に導入 されるようになつている。 なお、 マイクロ波の周波数としては、 例 えば 2 . 4 5 G H zが好ましく用いられ、 他に 8 . 3 5 G H z、 1 . 9 8 G H z等を用いることもできる。
プラズマ処理装置 1 0 0 の各構成部は、 制御部 5 0に接続されて 制御される構成となっている。 制御部 5 0は、 コンピュータを有し ており、 例えば図 4に示したように、 C P Uを備えたプロセスコン トロ一ラ 5 1 と、 このプロセスコントローラ 5 1 に接続されたュ一 ザ一インターフェース 5 2および記憶部 5 3 を備えている。 プロセ スコントローラ 5 1は、 プラズマ処理装置 1 0 0において、 例えば 温度、 圧力、 ガス流量、 マイクロ波出力などのプロセス条件に関係 する各構成部 (例えば、 ヒー夕電源 5 a、 ガス供給部 1 8、 排気装 置 2 4、 マイクロ波発生装置 3 9など) を統括して制御する制御手 段である。
ユーザーインターフェース 5 2は、 工程管理者がプラズマ処理装 置 1 0 0 を管理するためにコマンドの入力操作等を行うキーボード や、 プラズマ処理装置 1 0 0の稼働状況を可視化して表示するディ スプレイ等を有している。 また、 記憶部 5 3には、 プラズマ処理装 置 1 0 0で実行される各種処理をプロセスコントローラ 5 1 の制御 にて実現するための制御プログラム (ソフ トウェア) や処理条件デ 一夕等が記録されたレシピが保存されている。 そして、 必要に応じて、 ユーザーイン夕一フェース 5 2からの指 示等にて任意のレシピを記憶部 5 3から呼び出してプロセスコント ローラ 5 1 に実行させることで、 プロセスコントローラ 5 1 の制御 下、 プラズマ処理装置 1 0 0のチャンバ 1内で所望の処理が行われ る。 また、 前記制御プログラムや処理条件デ一夕等のレシピは、 コ ンピュー夕読み取り可能な記憶媒体、 例えば C D— R O M、 ハード ディスク、 フレキシブルディスク、 フラッシュメモリ、 D V D、 ブ ルーレイディスクなどに格納された状態のものを利用したり、 ある いは、 他の装置から、 例えば専用回線を介して随時伝送させてオン ラインで利用したりすることも可能である。
このように構成されたプラズマ処理装置 1 0 0では、 6 0 0 °C以 下、 好ましくは 5 0 0 °C以下の低温で下地膜等へのダメージフリ一 でサ一マルバジェッ トの少ないプラズマ処理を行うことができる。 また、 プラズマ処理装置 1 0 0は、 プラズマの均一性に優れている ことから、 大口径のウェハ Wに対しても、 その面内で処理の均一性 を実現できる。
図 5に、 プロセスモジュール 1 0 1 b , 1 0 1 c として適用可能 な枚葉 C V D成膜装置 3 0 0の概略の構成例を示した。 この枚葉 C V D成膜装置 3 0 0は、 気密に構成された略円筒状の処理容器 3 0 1 を有している。 処理容器 3 0 1の中には被処理体であるウェハ W を水平に支持するための載置台 3 0 3が配備されている。 載置台 3 0 3は、 円筒状の支持部材 3 0 5により支持されている。 また、 載 置台 3 0 3には, ヒー夕 3 0 7が埋め込まれている。 このヒー夕 3 0 7はヒー夕電源 3 0 9から給電されることにより、 ウェハ Wを所 定の温度に加熱する。
処理容器 3 0 1の開閉天壁 3 0 1 aには、 シャワーヘッ ド 3 1 1 が設けられている。 このシャワーヘッ ド 3 1 1は、 内部にガス拡散 空間 3 1 1 aを有している。 また、 シャワーヘッ ド 3 1 1の下面に は、 ガス拡散空間 3 1 1 aに連通する多数のガス吐出孔 3 1 3が形 成されている。 また、 シャワーヘッ ド 3 1 1 の中央部には、 ガス拡 散空間 3 1 1 aに連通するガス供給配管 3 1 5が接続されている。 このガス供給配管 3 1 5は、 マスフローコントローラ (M F C ) 3 1 7 と、 その前後に配備されたバルブ 3 1 8 a , 3 1 8 bを介して 、 例えばジクロルシラン、 一酸化二窒素 (N 2〇) などの成膜原料 ガスや処理容器 3 0 1内の雰囲気置換をするためのパージガスなど を供給するガス供給源 3 1 9 に接続されている。 そして、 ガス供給 源 3 1 9から、 ガス供給配管 3 1 5、 マスフローコントローラ 3 1 7 を介して、 前記成膜原料ガスなどがシャワーヘッ ド 3 1 1へ供給 される。
処理容器 3 0 1 の底壁 3 0 1 bには、 排気孔 3 3 1が形成されて おり、 この排気孔 3 3 1 には排気管 3 3 3 を介して排気装置 3 3 5 が接続されている。 そしてこの排気装置 3 3 5 を作動させることに より処理容器 3 0 1内を所定の真空度まで減圧できるように構成さ れている。 なお、 シャワーヘッ ド 3 1 1 に、 図示しない高周波電源 から高周波電力を供給することにより、 シャワーヘッ ド 3 1 1 を介 して処理容器 3 0 1内に供給された原料ガスをプラズマ化して成膜 することもできる。
また、 処理容器 3 0 1の側壁 3 0 1 cには、 ウェハ Wを搬入、 搬 出するための搬入出口 3 3 7が設けられており、 この搬入出口 3 3 7 を介してウェハ Wの搬入出が行われる。 搬入出口 3 3 7は、 ゲー トバルブ G 1 によって開閉される。
以上のような構成の枚葉 C V D成膜装置 3 0 0では、 載置台 3 0 3 にウェハ Wを載置した状態で、 ヒー夕 3 0 7 によりウェハ Wを加 熱しつつ、 シャワーヘッ ド 3 1 1からウェハ Wへ向けて原料ガスを 供給することにより、 ウェハ Wの表面に例えば S i 〇2膜の薄膜を C VD法により成膜することができる。
以上の構成を有する枚葉 C VD成膜装置 3 0 0 も、 制御部 5 0 ( 図 4参照) によって制御される。 なお、 C V D成膜装置としては、 枚葉式に限らず、 バッチ式の L P (L o w P r e s s u r e ) C VD成膜装置を使用することも可能である。
次に、 基板処理システム 2 0 0において行われる、 プラズマ酸化 処理、 プラズマ改質処理を含む絶縁膜の形成方法について図 6およ び図 7 を参照しながら説明する。 図 6は、 シリコンをプラズマ酸化 処理して第 1 の絶縁膜を形成する工程と、 この第 1の絶縁膜の上に 第 2の絶縁膜を成膜する工程と、 この第 2の絶縁膜を改質する工程 と、 を含む絶縁膜の形成方法の流れを示すフロー図であり、 図 7は 、 その主要な工程を説明する図面である。
本実施の形態の絶縁膜の形成方法は、 例えば図 6 に示したステツ プ S 1からステップ S 7の手順により実施される。 まず、 図 6のス テツプ S 1では、 真空側搬送室 1 0 3内の搬送装置 1 0 9により、 処理対象のウェハ Wを前記の手順でプラズマ処理装置 1 0 0 (プロ セスモジュール 1 0 1 a ) に搬入する。 次に、 ステップ S 2では、 図 7 Aに示したように、 ウェハ Wの表面に露出したシリコン層 2 0 1 に対してプラズマ酸化処理を行う。 このプラズマ酸化処理によつ て、 図 7 Bに示したように、 シリコン層 2 0 1 の表面を酸化して所 定膜厚で第 1 の絶縁膜としての酸化珪素膜 2 0 2 を形成する。 ブラ ズマ酸化処理は、 以下に示す手順および条件で実施される。
[プラズマ酸化処理の手順]
まず、 プラズマ処理装置 1 0 0のチャンバ 1内を減圧排気しなが ら、 ガス供給機構 1 8の不活性ガス供給源 1 9 aおよび酸素含有ガ ス供給源 1 9 bから、 希ガスおよび酸素含有ガスを所定の流量でそ れぞれガス導入部 1 5 を介してチャンバ 1内に導入する。 このよう にして、 チャンバ 1内を所定の圧力に調節する。
次に、 マイクロ波発生装置 3 9で発生させた所定周波数例えば 2 . 4 5 GH z のマイクロ波を、 マッチング回路 3 8 を介して導波管 3 7に導く。 導波管 3 7 に導かれたマイク口波は、 矩形導波管 3 7 bおよび同軸導波管 3 7 aを順次通過し、 内導体 4 1 を介して平面 アンテナ 3 1 に供給される。 つまり、 マイクロ波は、 矩形導波管 3 7 b内では T Eモードで伝搬し、 この T Eモードのマイクロ波はモ 一ド変換器 4 0で T E Mモードに変換されて、 同軸導波管 3 7 a内 を平面アンテナ 3 1 に向けて伝搬されていく。 そして、 マイクロ波 は、 平面アンテナ 3 1 に貫通形成されたスロッ ト状のマイクロ波放 射孔 3 2から透過板 2 8 を介してチャンバ 1内におけるウェハ Wの 上方空間に放射される。 この際のマイクロ波出力は、 例えば 2 0 0 mm径以上のウェハ Wを処理する場合には、 1 0 0 0 W以上 5 0 0 0 W以下の範囲内から目的に応じて選択することができる。
平面アンテナ 3 1から透過板 2 8 を経てチャンバ 1 に放射された マイクロ波により、 チャンバ 1内で電磁界が形成され、 不活性ガス および酸素含有ガスがそれぞれプラズマ化する。 このマイクロ波励 起プラズマは、 マイクロ波が平面アンテナ 3 1 の多数のマイクロ波 放射孔 3 2から放射されることにより、 略 1 X 1 0 I Q〜 5 X 1 012 / c m3の高密度で、 かつウェハ W近傍では、 略 1. l e V以下の 低電子温度を有するプラズマとなる。 このようにして形成されるマ イク口波励起高密度プラズマは、 プラズマ中の活性種として例えば 〇 (' D2) ラジカルや〇 2 +イオンが主体のプラズマであり、 該プラ ズマの作用によりウェハ W表面のシリコン層 2 0 1が酸化されてィ オン等によるプラズマダメージが少ない酸化珪素膜 2 0 2が形成さ れる。 [プラズマ酸化処理条件]
プラズマ酸化処理の処理ガスとしては、 希ガスと酸素含有ガスと を含むガスを用いることが好ましい。 希ガスとしては A rガスを、 酸素含有ガスとしては o2ガスを用いることが好ましい。 この場合 、 全処理ガスに対するひ 2ガスの体積流量比率 (〇2ガス流量 Z全処 理ガス流量の百分率) は、 プラズマ中の活性種として〇2 +イオンお よび〇 D2) ラジカルの濃度を高くする観点から、 0. 1 %以上 3 0 %以下の範囲内とすることが好ましく、 0. 5 %以上 3 %以下 の範囲内とすることがより好ましい。 例えば 2 0 O mm径以上のゥ ェハ Wを処理する場合には、 A rガスの流量は 5 0 mL/m i n ( s c c m) 以上 5 0 0 0 m Lノ m i n ( s c c m) 以下の範囲内、 02ガスの流量は 0. 0 5 mL/m i n ( s c c m) 以上 1 0 0 0 m L /m i n ( s c c m) 以下の範囲内から、 上記流量比になるよ うに設定することができる。
また、 処理圧力は、 プラズマ中の活性種として〇2 + イオンおよ び〇 D2) ラジカルの濃度を高くする観点から、 6. 7 P a以上 2 6 7 P a以下の範囲内が好ましく、 6. 7 P a以上 6 7 P a以下 の範囲内がより好ましい。
また、 マイクロ波のパワー密度は、 プラズマ中の活性種としての 〇 2 +イオンおよび〇 ( 1 D 2 ) ラジカルを効率よく発生させる観点か ら、 0. 5 W/ c m2以上 3 W/ c m2以下の範囲内とすることが可 能であり、 0. 5 1 W/ c m2以上 2. 5 6 W/ c m2以下の範囲内 とすることが好ましい。 なお、 マイクロ波のパワー密度は、 透過板 2 8の面積 1 c m2あたりに供給されるマイクロ波パワーを意味す る (以下、 同様である) 。 例えば 2 0 0 mm径以上のウェハ Wを処 理する場合には、 マイクロ波パワーを 1 0 0 0 W以上 5 0 0 0 W以 下の範囲内とすることが好ましい。 また、 ウェハ Wの加熱温度は、 載置台 2の温度として、 例えば 2 0 0 °C以上 6 0 O t 以下の範囲内とすることが好ましく、 4 0 0 °C 以上 6 0 0 °C以下の範囲内に設定することが より好ましい。
プラズマ酸化処理によってウェハ Wのシリコン層 2 0 1 に形成さ れる酸化珪素膜 2 0 2の膜厚 は、 シリコン層 2 0 1 と酸化珪素 膜 2 0 2の界面の平坦性を高める観点から、 3 n m以上 1 0 n m以 下の範囲内が好ましく、 4 n m以上 8 nm以下の範囲内がより好ま しい。
以上の条件は、 制御部 5 0の記憶部 5 3 にレシピとして保存され ている。 そして、 プロセスコントローラ 5 1がそのレシピを読み出 してプラズマ処理装置 1 0 0の各構成部例えばガス供給機構 1 8、 排気装置 2 4、 マイクロ波発生装置 3 9、 ヒータ電源 5 aなどへ制 御信号を送出することにより、 所望の条件でプラズマ酸化処理が行 われる。
次に、 ステップ S 3では、 真空側搬送室 1 0 3内の搬送装置 1 0 9 により、 酸化珪素膜が形成されたウェハ Wを枚葉 C VD成膜装置 3 0 0 (プロセスモジュール 1 0 l bまたは 1 0 1 c ) に移送する 。 この移送は、 真空側搬送室 1 0 3内の搬送装置 1 0 9 によって真 空状態のまま実施される。
次に、 ステップ S 4では、 図 7 Cに示したように、 ウェハ Wの表 面に形成された酸化珪素膜 2 0 2の上に、 C VD法による成膜処理 を行う。 これにより、 図 7 Dに示したように、 酸化珪素膜 2 0 2の 上に重ねて第 2の絶縁膜としての酸化珪素膜 2 0 3が形成される。 この C VD法として、 基板処理システム 2 0 0を使用する本実施の 形態では熱 C VD法を用いるが、 例えばプラズマ C VD法、 減圧 C VD法、 常圧 C VD法などの方法で成膜を行うことが可能である。 なお、 成膜方法は枚葉式でもバッチ式でもよい。 52447
C VD法による成膜処理によってウェハ Wの酸化珪素膜 2 0 2の 上に積層形成される酸化珪素膜 2 0 3の膜厚 T2は、 膜厚方向に対 する改質効果を高くする観点から、 3 11 111以上 1 0 11111以下の範囲 内が好ましく、 4 nm以上 8 n m以下の範囲内がより好ましい。
次に、 ステップ S 5では、 酸化珪素膜 2 0 2および酸化珪素膜 2 0 3が形成されたウェハ Wをプラズマ改質処理装置としてのプラズ マ処理装置 1 0 0 (プロセスモジュール 1 0 1 d ) に移送する。 こ の移送は、 真空側搬送室 1 0 3内の搬送装置 1 0 9によって真空状 態のまま実施される。 次に、 ステップ S 6では、 図 7 Eに示したよ うに、 酸化珪素膜 2 0 3に対してプラズマ改質処理を実施する。 プ ラズマ処理装置 1 0 0を用いて行われるプラズマ改質処理の手順は 、 上記プラズマ酸化処理の手順に準ずるので、 ここでは説明を省略 する。 ステップ S 6のプラズマ改質処理の条件は、 以下のとおりで ある。
[プラズマ改質処理条件]
プラズマ改質処理の処理ガスとしては、 希ガスと酸素含有ガスと を含むガスを用いることが好ましい。 希ガスとしては A rガスを、 酸素含有ガスとしては 02ガスを、 それぞれ使用することが好まし い。 このとき、 全処理ガスに対する〇2ガスの体積流量比率 (〇2ガ ス流量/全処理ガス流量の百分率) は、 プラズマ中の活性種として 02 +イオンおよび O D2) ラジカルを高い濃度で生成するする観 点から、 0. 1 %以上 3 0 %以下の範囲内とすることが好ましく、 0. 1 %以上 5 %以下の範囲内とすることがより好ましい。 例えば 2 0 0 mm径以上のウェハ Wを処理する場合には、 A rガスの流量 は 5 0 0 mLZm i n ( s c c m) 以上 5 0 0 0 mL/m i n ( s c c m) 以下の範囲内、 02ガスの流量は 0. S mLZm i n ( s c c m) 以上 l O O O mL/m i n ( s c c m) 以下の範囲内から 、 上記流量比になるように設定することができる。
また、 処理圧力は、 プラズマ中の活性種として〇2 +イオンおよび
O (' D2) ラジカルを高い濃度で生成するする観点から、 6. 7 P a以上 2 6 7 P a以下の範囲内が好ましく、 6. 7 P a以上 6 7 P a以下の範囲内がより好ましい。
また、 マイクロ波のパワー密度は、 プラズマの密度が上がり、 プ ラズマ中の活性種としての O 卜 イオンおよび O ( 1 D 2 ) ラジカル を効率よく発生させて、 酸化珪素膜 2 0 3 中の欠陥を修復させる観 点から、 0. 5 1 W/ c m2以上 2. 5 6 WZ c m2以下の範囲内と することが好ましい。 例えば 2 0 0 m m径以上のウェハ Wを処理す る場合には、 マイクロ波パワーを 1 0 0 0 W以上 5 0 0 0 W以下の 範囲内とすることが好ましい。
また、 ウェハ Wの加熱温度は、 載置台 2の温度として、 例えば 2 0 0 °C以上 6 0 0 °C以下の範囲内とすることが好ましく、 4 0 0 °C 以上 6 0 0 °C以下の範囲内に設定することがより好ましい。
以上の条件は、 制御部 5 0の記憶部 5 3 にレシピとして保存され ている。 そして、 プロセスコントローラ 5 1がそのレシピを読み出 してプラズマ処理装置 1 0 0の各構成部例えばガス供給部 1 8、 排 気装置 2 4、 マイクロ波発生装置 3 9、 ヒータ電源 5 aなどへ制御 信号を送出することにより、 所望の条件でプラズマ改質処理が行わ れる。
ステップ S 6のプラズマ改質処理が終了した後は、 ステップ S 7 で真空搬送室 1 0 3内の搬送装置 1 0 9 により処理済のウェハ Wを プラズマ処理装置 1 0 0 (プロセスモジュール 1 0 I d ) から搬出 し、 前記手順でロードポート L Pのウェハカセッ 卜 C Rに収納する 以上のように、 基板処理システム 2 0 0では、 プラズマ酸化処理 P T/JP2009/052447 法によるシリコン基板の酸化処理と、 C VD法による酸化珪素膜 2 0 3の成膜処理と、 酸化珪素膜 2 0 3の改質処理を真空下で連続的 に実施することができる。 C VD法による酸化膜の形成は、 別シス テムで行っても良い。 なお、 プロセスモジュール 1 0 1 aおよび 1 0 1 dにおいて、 それぞれステップ S 2のプラズマ酸化処理とステ ップ S 6のプラズマ改質処理の両方の処理を行ってもよい。
[作用]
次に、 基板処理システム 2 0 0において実施される酸化珪素膜の 形成方法の作用機構について、 図 8 A、 8 Bを参照しながら説明す る。 通常の C VD法によってシリコン層 2 0 1の表面に成膜された 酸化珪素膜 2 0 3は、 熱により堆積されたものである。 成膜の初期 には、 まずシリコン表面が熱酸化されてシリコン酸化'膜が形成され る。 しかし、 シリコンには面方位があるため、 図 8 Aに示したよう に、 シリコン層 2 0 1 との界面に微小な凹凸が形成され、 ミクロ的 に平坦性が悪い。 また、 酸化珪素膜 2 0 3とシリコン層 2 0 1 との 境界 (S i o2/s 〖 界面) 付近では、 多くの欠陥が形成され、 界 面準位密度が大きくなる。 その結果、 キャリア (電子やホール) の 移動度が低下して例えばトランジスタであればその動作速度が低下 したり、 リーク電流を増大させてデバイスの電気的性能を低下させ たり してしまう。
本実施の形態に係る絶縁膜の形成方法では、 C VD処理に先立つ て、 まず、 シリコン層 2 0 1の表面にプラズマ処理装置 1 0 0を用 いて、 低圧、 低酸素分圧でプラズマ酸化処理を施す。 このように形 成された酸化珪素膜 2 0 2と、 シリコン層 2 0 1 との境界 (S i 〇 2/ S i界面) は、 図 8 Bに示したように、 極めて平坦に形成でき る。 このため、 酸化珪素膜 2 0 2とシリコン層 2 0 1 との境界 (S i 〇2ZS i界面) 付近では、 欠陥が少なくなり、 界面準位密度の 増加が抑制される。 そして、 この酸化珪素膜 2 0 2の上に C VD法 によって酸化珪素膜 2 0 3 を所定の厚さで形成する。 更に、 酸化膜 2 0 3 をプラズマ処理装置 1 0 0 を用いてプラズマ改質処理を行う 。 プラズマ改質処理は、 本プラズマ装置が好ましい。
プラズマ処理装置 1 0 0 を用いて酸素を含む処理ガスのプラズマ を生成する場合、 酸化活性種として、 主に、 02 +イオン、 〇 D2 ) ラジカル、 O ( 3 P j ) ラジカルが生成される。 なお、 〇 ( 3 P j ) ラジカルにおける j は 0〜 2 を示すが、 そのうちで〇 ( 3 P 2 ) ラジ カルがもっとも多く生成される。 これらの酸化活性種のうち、 o2 + イオンは大きいエネルギー ( 1 2. l e V) を有しており、 S i — S i 結合、 あるいは S i と不純物元素との結合に作用してその結合 を切断する働きをする。 O D2) ラジカル ( 4. 6 e V) は S i 反応の主役であり、 02 +イオンによって切断された S i — S i 結合 、 あるいは S i と不純物元素との結合に容易に入り込み、 安定した S i _〇— S i結合を生成する。 O (3 Pj) ラジカルはエネルギー 不足 ( 2. 6 e V) であり、 殆ど S i の酸化に寄与しない。 従って 、 酸化珪素膜を改質するためには、 02 +イオンおよび〇 D2) ラ ジカルを多く含むプラズマを生成する必要がある。 〇2 +イオンや O (' D2) ラジカルは、 低い処理圧力条件 ( 2 6 7 P a以下、 好まし くは 6. 7 P a以上 2 6 7 P a以下、 より好ましくは 6. 7 P a以 上 6 7 P a以下) でより多く生成され、 処理圧力が増加するととも に生成量が減少する。 一方、 O Pj ) ラジカルは、 処理圧力によ つて生成量が大きく変化することはない。 従って、 低い処理圧力で プラズマを生成することにより、 〇2 +イオンおよび〇 () D2) ラジ カルを多く含むプラズマが生成され、 酸化珪素膜の改質が効率よく 行われる。
図 9は、 プラズマ改質処理によって酸化珪素膜内で生じる化学的 変化を模式的に示した図である。 図示するように、 o2 +イオンや o
(' D2) ラジカルを多く含むプラズマを酸化珪素膜に作用させると 、 まず、 〇 2 +イオンが S i のダングリ ングポンドに作用してその結 合を活性化し、 O D2) ラジカルによって容易に反応が進行し、 S i - O - S i の安定した結合を生成する。 その結果、 粗密な酸化 珪素膜 2 0 3中に含まれていたダングリングボンドが減少し、 更に 、 酸化珪素膜 2.0 3中に含まれていた C V D法における成膜原料由 来の C 1 、 H、 〇 Hなどの不安定な不純物が O ( 1 D 2 ) ラジカルと の置換によって膜外へ排出される。 このような機構によって、 酸化 珪素膜 2 0 3の膜質が緻密になり、 不純物やダングリ ングポンドが 少ない良質な膜に改質される。 一方、 高い圧力条件 (例えば 3 3 3 P a以上) ではプラズマ中の活性種として 02 +イオンや O 02) ラジカルは減少し、 替わりに O P ) ) ラジカルが主体となる。 こ の〇 (3 P j) ラジカルは、 それ自身は活性ではなく酸化珪素膜 2 0 3 を透過する性質を有しているため、 このラジカルが支配的となる プラズマ生成条件では、 〇2 +イオンや〇 (' D2) ラジカルを多く含 むプラズマのような優れた改質効果は得られない。
本実施の形態の絶縁膜の形成方法では、 上記のような処理圧力に よるプラズマ中の活性種、 O 2 +イオンや〇 ( 1 D 2 ) ラジカルの変化 に着目し、 02 +イオンや〇 02) ラジカルが高い濃度で生成され る低い圧力条件 ( 2 6 7 P a以下) を選択して酸化珪素膜 2 0 3 に 対してプラズマ改質処理を行う ことによって、 粗密な酸化珪素膜 2 0 3に対して高い改質効果を得ることができた。
このように、 シリコンをプラズマ酸化処理してシリコンとの界面 が極めて平坦になるように酸化珪素膜を形成し、 この酸化珪素膜の 上に CVD法により絶縁膜を成膜し、 この絶縁膜を、 更に、 酸素を 含むプラズマで改質することにより、 S i 〇2/S i界面での界面 準位密度が小さく、 かつ緻密で不純物やダングリングボンドが少な い膜質に改善できる。 従って、 S i 0 2 / S i 界面を移動するキヤ リァの移動度の低下が抑制され、 デバイスの電気的性能が改善され た良質な絶縁膜 (酸化珪素膜 2 0 2および酸化珪素膜 2 0 3 ) を形 成することができる。 この絶縁膜は、 例えば、 薄膜トランジスタ ( T F T ) 素子のゲート絶縁膜等としての用途に用いられ、 特に、 5 n m以上 1 0 0 O n m以下の範囲内、 好ましくは 8 n m以上 1 0 0 n m以下の範囲内で有利に利用できる。
図 1 0は、 本実施の形態にかかる絶縁膜の形成方法を適用可能な T F T素子 4 0 0の概略構成を示す断面図である'。 ガラス基板 4 0 1上には、 部分的に例えばポリシリコンからなるゲート電極 4 0 2 が形成されている。 ゲート電極 4 0 2の表面には、 酸化珪素膜 4 0 2 aの薄膜が形成されている。 そして、 酸化珪素膜 4 0 2 aを含む ゲ一ト電極 4 0 2およびガラス基板 4 0 1の表面を覆うように二酸 化珪素 ( S i 0 2 ) からなるゲート絶縁膜 4 0 3が形成されている 。 ゲ一ト絶縁膜 4 0 3上にはトランジス夕を形成するための S i 系 膜として a — S i (アモルファスシリコン) 膜 4 0 4が形成されて おり、 かつゲート電極 4 0 2の上部にはチャネル部 4 0 5が形成さ れている。 a— S i膜 4 0 4の上には、 高融点金属材料例えばモリ ブデン、 タングステンなどを含むソース電極 4 0 6およびドレイン 電極 4 0 7が形成されている。 ソース電極 4 0 6およびドレイン電 極 4 0 7の上には、 窒化ケィ素 ( S i 3 N 4 ) からなるパッシベーシ ヨン膜 4 0 8が形成され、 T F T素子 4 0 0 の表面保護がなされて いる。
図 1 0に示したような構成の T F T素子 4 0 0に本実施形態の絶 縁膜の形成方法を適用する場合には、 ガラス基板 4 0 1上にポリシ リコンからなるゲート電極 4 0 2をパターン形成した後、 その表面 を、 プラズマ処理装置 1 0 0を用いてプラズマ酸化処理し、 ゲート 電極 4 0 2の表面部分に酸化珪素膜 4 0 2 aを形成しておく。 次に 、 ゲート電極 4 0 2およびガラス基板 4 0 1 の表面を覆うように、 C VD法によりゲート絶縁膜 4 0 3 を形成する。 さらに、 プラズマ 処理装置 1 0 0 を用いてゲート絶縁膜 4 0 3 をプラズマ改質処理す ることにより、 緻密で不純物の少ない酸化珪素膜に改質する。 以上 の処理は、 図 6のステップ S 1〜ステップ S 7の手順に従って実施 することができる。 その後、 常法に従い、 成膜とエッチングを繰り 返し、 a— S i 膜 4 0 4の成膜とパターン形成、 ソース電極 4 0 6 およびドレイン電極 4 0 7の成膜とパターン形成、 パッシベーショ ン膜 4 0 8の成膜ならびに I T O電極 (図示省略) の形成を行うこ とにより、 T F T素子 4 0 0を形成することができる。
図 1 0に例示した T F T素子 4 0 0では、 ゲ一ト電極 4 0 2の表 面部分を、 プラズマ処理装置 1 0 0 を用いてプラズマ酸化処理し、 酸化珪素膜 4 0 2 aを形成しておく ことにより、 C VDによりゲ一 ト絶縁膜 4 0 3が形成された後の絶縁膜 (酸化珪素膜 4 0 2 aおよ びゲート絶縁膜 4 0 3 ) とゲート電極 4 0 2 (ポリシリコン) の界 面を極めて平坦にすることができる。 このため、 絶縁膜 (酸化珪素 膜 4 0 2 aおよびゲート絶縁膜 4 0 3 ) とゲート電極 4 0 2 との境 界 ( S i 02 /ポリシリコン界面) 付近は、 欠陥が少なくなり、 界 面準位密度の増加が抑制される。 したがって、 界面を移動するキヤ リアの移動度の低下が抑制され、 T F T素子 4 0 0の電気的性能を 改善することができる。
また、 プラズマ処理装置 1 0 0 を用いて低い圧力条件でプラズマ 改質処理を行うことにより、 ゲ一ト絶縁膜 4 0 3の膜質が緻密にな り、 不純物やダングリングボンドが少ない良質な膜に改質すること ができる。 なお、 ゲート絶縁膜 4 0 3は、 サーマルバジェッ トの観 点から低温で成膜可能なプラズマ C VD法によって成膜することが 好ましい。
次に、 本発明の基礎となった実験デ一夕について説明する。 熱 C VD法により成膜された酸化珪素膜に対して、 図 2に示したプラズ マ処理装置 1 0 0 を用い、 以下の条件 1〜条件 4でプラズマ改質処 理を行った。 改質後の酸化珪素膜について、 膜厚の増加量、 屈折率 の増加量、 0. 1 2 5 %の希フッ酸処理 ( 3 0秒間) によるゥエツ トエッチングレートを調べた。 また、 改質後の酸化珪素膜をゲート 絶縁膜として用いて M〇 Sキャパシ夕を製造し、 その電気的な特性 としてリ一ク電流密度 ( J g ; — 1 0 M VZ c m) 、 絶縁破壊電荷 量 (Q b d ; 6 3 % (これは、 全体の 6 3 %の個数が示すデータで あることを意味する) ) 、 電子トラップの変化量 (A v g e ; 1 1 秒) について調べた。 なお、 比較のため、 プラズマ改質を行わない 場合、 ァニールのみによって改質を行った場合 (熱改質処理) 、 お よび熱酸化膜 (WV G法) についても上記と同様の測定を行った。 その結果を表 1 に示した。
[プラズマ改質条件 1 ]
A rガス流量 ; l O O O mL/m i n ( s c c m)
2ガス流量 ; S O O mL /m i n ( s c c m)
流量比 (〇2/A r +〇2) ; 0. 2 3
処理圧力 ; 6. 7 P a
載置台 2の温度 ; 5 0 0 °C
マイクロ波パワー ; 4 0 0 0 W
マイクロ波パワー密度 ; 2. 0 5 W/ c m2 (透過板面積 l c m2 あたり)
[プラズマ改質条件 2 ]
A rガス流量 ; 1 9 8 O mL/m i n ( s c c m) 02ガス流量 ; 2 O mL/m i n ( s c c m)
流量比 (02/A r + 02) ; 0. 0 1
処理圧力 ; 2 0 0 P a
載置台 2の温度 ; 5 0 0
マイクロ波パワー ; 4 0 0 0 W
マイクロ波パワー密度 ; 2. 0 5 W/ c m2 (透過板面積 l c m2 あたり)
[プラズマ改質条件 3 ]
A rガス流量 ; 1 2 0 O mL/m i n ( s c c m)
2ガス流量 ; 4 0 0 m L /m i n ( s c c m)
流量比 (02/A r +〇2) ; 0. 2 5
処理圧力 ; 6 6 7 P a
載置台 2の温度 ; 5 0 0 °C
マイクロ波パワー ; 4 0 0 0 W
マイクロ波パワー密度 ; 2. 0 5 W/ c m2 (透過板面積 l c m2 あたり)
[プラズマ改質条件 4 ]
A rガス流量 ; 1 2 0 0 m L / m i n ( s c c m)
02ガス流量 ; 3 7 O mL/m i n ( s c c m)
H2ガス流量 ; 3 O mLZm i n ( s c c m)
流量比 (02/A r + 02 + H2) ; 0. 2 3
流量比 ( H 2 Z A r + O 2 + H 2 ) ; 0. 0 1 9
処理圧力 ; 6 6 7 P a
載置台 2の温度 ; 5 0 0 °C
マイクロ波パワー ; 4 0 0 0 W
マイクロ波パワー密度 ; 2. 0 5 W/ c m2 (透過板面積 l c m2 あたり) [ァニール改質処理条件]
雰囲気 ; Ν2Ζθ2 = 1 0 / 0 . l L /m i n
温度 ; 9 0 0 °C
圧力 ; 1 3 3 P a
[熱酸化膜形成条件]
雰囲気 ; Η2Ζθ2 = 4 5 0 / 9 0 0 mL Zm i n ( s c c m) 温度 ; 9 5 0 °C
圧力 ; 1 5 0 0 0 P a
[熱 C VD成膜条件]
S i H2 C l 2ガス流量 ; 7 5 mLZm i n ( s c c m)
N2〇ガス流量 ; 1 5 0 mh /m i n ( s c c m)
処理圧力 ; 4 8 P a
処理温度 ; 7 8 0 °C
表 1
Figure imgf000037_0001
表 1 に示した物理分析の結果から、 2 0 0 P a以下の低い条件 1 および条件 2でプラズマ改質処理を行った場合には、 屈折率が増加 し、 ウエッ トエッチングレートが減少している。 これらのデータは 、 プラズマ改質処理によって酸化珪素膜の膜質が改善され、 膜密度 が上昇したことを示している。 また、 改質処理条件 1、 条件 2 と熱 ァニ一ルのみの改質処理とを比較すると、 条件 1 と条件 2の改質処 7 理の方が熱改質処理に比べてエッチングレートが小さく、 改質効果 がより高いことが示された。 これは、 プラズマ生成された O 2 +、 〇
(J D2) ラジカルにより、 膜中の不純物、 ダングリングポンドが減 少して緻密になったと考えられる。
また、 条件 4でプラズマ改質処理を行った場合には、 屈折率の変 化は見られず、 ウエッ トエッチングレートも熱改質処理とほぼ同等 であった。 つまり、 膜質の改善効果については、 条件 4のプラズマ 改質処理は、 熱改質処理と同様の結果であった。 しかし、 条件 4で プラズマ改質処理を行った場合には、 処理圧力が高いため、 〇2 +、 〇 (' D2) の生成が減少し、 改質効果が小さく、 酸化珪素膜の膜厚 の増加が顕著に見られた。 これは、 C VD法により成膜された酸化 珪素膜と下地のシリコンとの界面がプラズマ中の 0 (3 P 2) ラジカ ルによって酸化され、 増膜したものと考えられた。
以上の結果から、 〇2 +、 0 (' D2) ラジカルを生成しやすい観点 から、 処理圧力が 2 6 7 P a以下例えば 6. 7 P a以上 2 6 7 P a 以下が好ましく、 この条件でのプラズマ改質処理では、 C VD法に より成膜された酸化珪素膜の膜質の改善効果が高いことが示された 。 一方、 処理圧力が 2 6 7 P aと高い圧力条件でのプラズマ改質処 理の場合には、 C VD法により成膜された酸化珪素膜の膜質の改善 効果は熱改質処理と同等に小さく、 更に、 増膜作用があることが判 明した。
表 2
Figure imgf000038_0001
表 2に示した電気的特性評価の結果では、 処理圧力が 2 0 0 P a 以下と低い条件 1および条件 2でプラズマ改質処理を行った場合に は、 リーク電流密度 ( J g ) が処理圧力が 6 6 7 P aと高い条件 3 や、 熱改質処理に比べて大きく改善した。
図 1 1 に、 条件 1〜条件 3のプラズマ改質処理の処理圧力とリ一 ク電流との関係を示した。 また、 ァニール改質処理と熱酸化膜のリ ーク電流についても併せて掲載した。 この図 1 1から、 処理圧力が 2 6 7 P a以下例えば 6. 7 P a以上 2 6 7 P aであれば、 リーク 電流を 2. 1 X 1 0— 4 [A/ c m2] 以下に抑えることが可能であ ることが分かる。 従って、 リーク電流特性の改善を目的とする場合 には、 プラズマ改質処理の処理圧力を 2 6 7 P a以下にすることが 好ましい。
絶縁破壌電荷量 (Q b d) は、 条件 1〜条件 3のプラズマ改質処 理を行った場合の方が、 熱改質処理に比べて大幅に改善されていた 。 特に、 条件 2のプラズマ改質処理の場合には、 熱酸化膜を超える 非常に優れた信頼性を示した。
図 1 2に、 条件 1〜条件 3のプラズマ改質処理の処理圧力と Q b dとの関係を示した。 ここでは、 熱改質処理と熱酸化膜のリーク電 流についても併せて掲載した。 この図 1 2から、 処理圧力が 5 3 3 P a以下であれば、 Q b dを 3 3 [C/ c m2] 以上にできること がわかる。 従って、 Q b d特性の改善を目的とする場合には、 ブラ ズマ改質処理の処理圧力を 6. 7 P a以上 5 3 3 P a以下にするこ とが好ましく、 6. 7 P a以上 4 0 0 P a以下がより好ましく、 6 . 7 P a以上 2 6 7 P a以下が望ましい。
また、 図 1 3 に、 条件 1〜条件 3のプラズマ改質処理における〇 2/ ( A r + 02) 比と Q b dとの関係を示した。 プラズマ改質処理 では、 図 1 3に示したように、 〇ノ ( A r + 02) 比を 0 · 2 3以 下と酸素分圧を低くすることにより、 Q b d特性を効果的に改善で き、 特に〇2/ ( A r + 02) 比を 0. 1以下とすることで、 〇2 +ィ オン、 0 02) ラジカルの作用により緻密な膜になり、 熱酸化膜 を超える高い Q b d特性が得られることが判明した。
表 2より、 電子トラップの変化量 (A v g e ) については、 条件 1および条件 2のプラズマ改質処理を行った場合には、 熱改質処理 に比べてほぼ半減しており、 大きく改善した。 条件 3でプラズマ改 質処理を行った場合にも、 ァニールによる改質処理に比べて若干電 子トラップの変化量が減少しており改善された。 故に、 プラズマ改 質処理では、 〇2/ ( A r + 02) 比を 0. 2 3以下とすることによ り、 △ V g e特性を効果的に改善できることが判明した。
以上の結果から、 2 6 7 P a以下の低い処理圧力で、 かつ、 〇2 /A r +〇2比が 0. 2 3以下の条件でプラズマ改質処理を行う こ とにより、 酸化珪素膜を熱酸化膜と同等のレベルまで、 欠陥が少な く、 緻密で良質な膜質に改善できることが示された。 また、 このよ うにして改質された酸化珪素膜を用いることにより、 デバイスの電 気的特性の信頼性を改善できることも確認された。
次に、 プラズマ改質処理によって、 C V D法により成膜された酸 化珪素膜中に残留する塩素 (原料の S i H2 C 12由来) の量がどの ように変化するか検討を行った。 酸化珪素膜中の残留塩素量は、 T X R F (全反射蛍光 X線 ; T o t a l r e f l e c t i o n X - r a y F l u o r e s c e n c e ) 分析によって測定した。 そ の結果を表 3に示した。
表 3
Figure imgf000040_0001
表 3から、 プラズマ改質処理を実施した場合には、 改質処理を行 なわない場合に比べて 1 5と残留塩素量が少なく、 酸化珪素膜中 の不純物を除去できることが示された。 なお、 プラズマ改質処理の 後に、 熱ァニール処理を行うことも可能である。 プラズマ改質処理 に熱ァニール処理を組み合わせることにより、 更に、 残留塩素量を 9. 6 0 X 1 01 1 [ a t o m s / c m2] まで更に低下させること ができた。
次に、 プラズマ処理装置 1 0 0を用い、 下記表 4に示した条件 ( 条件 5〜条件 7 ) でシリコン基板をプラズマ酸化処理して酸化珪素 膜を形成した。 形成された酸化珪素膜を剥離して、 該酸化珪素膜と シリコン基板のシリコンとの界面 ( S i 02/ S i 界面) の状態を 原子間力顕微鏡 (A FM) で計測し、 平坦度の RM Sを算出した。 その結果を表 4および図 1 4に示した。 また、 参照のため、 シリコ ン基板を WV G法で熱酸化処理した酸化珪素膜についても、 同様に S i 〇2/ S i の界面の平坦度の RM Sを算出し、 表 4および図 1 4に示した。
表 4
Figure imgf000041_0001
上記表 4および図 1 4示した結果から、 プラズマ処理装置 1 0 0 を用いて、 1 3 3 P a以下の低い処理圧力でプラズマ酸化処理を行 つた条件 5、 条件 6では、 いずれも平坦度の R M Sが 0. 1 3 nm 以下であり、 酸化珪素膜とシリコンとの界面 ( S ί 02/ S i 界面 ) の状態を極めて平坦に形成できることが確認された。 これに対し 、 熱酸化処理や、 6 6 7 P aの高い圧力条件のプラズマ酸化処理で 形成された酸化珪素膜では、 いずれも平坦度の R M Sが 0. 2 nm を超えており、 酸化珪素膜とシリコンとの界面 ( S i 〇2/ S i 界 面) をあまり平坦化することはできなかった。
平坦度の RM Sは、 0. l n m〜 0. 2 n m程度の範囲で変化す ることにより、 高集積化が進むデバィスの性能に大きな影響を与え る。 良好なデバイス性能を維持するためには、 平坦度の RM Sが 0 . 1 3 11111以下例ぇば 0. 0 5〜 0. 1 3 n m以下であることが好 ましい。 本実施の形態の絶縁膜の形成方法では、 プラズマ処理装置 1 0 0を用いてプラズマ酸化処理を行うことにより、 上記平坦度の 要求を満たすことが可能である。
上記結果から、 酸化珪素膜とシリコンとの界面 ( S i 〇2/ S i 界面) の平坦度の RM Sを 0. 1 3 nm以下にするために、 プラズ マ酸化処理の処理圧力を、 2 6 7 P a以下例えば 6. 7 P a以上 2 6 7 P a以下とすることが好ましく、 1 3 3 P a以下例えば 6. 7 P a以上 1 3 3 P a以下とすることがより好ましく、 6 7 P a以下 例えば 6. 7 P a以上 6 7 P a以下とすることが望ましい。
また、 プラズマ酸化処理における酸素分圧は、 5 P a以下例えば 0. 1 P a以上 5 P a以下が好ましく、 2 P a以下例えば 0. 1 P a以上 2 P a以下がより好ましい。
また、 条件 5 と条件 6 との比較から、 プラズマ酸化処理において は、 処理ガス中に水素を添加することがより好ましいことも判明し た。 この場合、 酸素ガス流量に対する水素ガス流量の比率は、 2 5 %以上例えば 2 5 %以上 7 5 %以下が好ましく、 5 0 %以上 7 5 % 以下がより好ましい。
以上のように、 本実施の形態の絶縁膜の形成方法では、 シリコン と絶縁膜としての酸化珪素膜との界面が、 デバイス製造に用いられ るシリコン基板の平坦度に比べて極めて平坦になるように酸化珪素 膜を形成し、 その上に C V D法で絶縁膜を形成し、 その絶縁膜をプ ラズマ改質処理することによって、 絶縁膜を形成するので、 例えば 界面準位密度を抑制する必要性が高く、 かつ緻密かつ良質な絶縁膜 が必要となる上記アプリケーション (例えば T F T素子のゲート絶 縁膜の形成) に好ましく利用できる。
[第 2の実施の形態]
次に、 図 1 5および図 1 6 を参照しながら本発明の第 2の実施の 形態に係る絶縁膜の形成方法について説明する。 図 1 5は、 第 2の 実施の形態に係る絶縁膜の形成方法の手順の一例を示すフロー図で あり、 図 1 6は、 その主要な工程を説明する図面である。 本実施の 形態では、 プラズマ酸化処理の後に、 C V D法による絶縁膜の形成 と、 プラズマ改質処理とを複数回繰り返し行い、 緻密で良質な絶縁 膜を厚膜に形成できるようにした。
図 1 5において、 まずステップ S 1 1では、 真空側搬送室 1 0 3 内の搬送装置 1 0 9により、 処理対象のウェハ Wを前記の手順でプ ラズマ処理装置 1 0 0 (プロセスモジュール 1 0 l a ) に搬入する 。 次に、 ステップ S 1 2では、 図 1 6 Aに示したように、 ウェハ W の表面に露出したシリコン層 2 0 1 に対してプラズマ酸化処理を行 う。 このプラズマ酸化処理によって、 図 1 6 Bに示したように、 シ リコン層 2 0 1のシリコンをその表面から酸化して所定膜厚で第 1 の絶縁膜としての酸化珪素膜 2 0 2を形成する。 以上のステップ S 1 1、 ステップ S 1 2の処理は、 第 1の実施の形態のステップ S 1 〜ステップ S 2の処理 (図 6参照) と同様であるため、 詳細な説明 を省略する。
次に、 ステップ S 1 3では、 真空側搬送室 1 0 3内の搬送装置 1 0 9 により、 酸化珪素膜が形成されたウェハ Wを枚葉 C V D成膜装 置 3 0 0 (プロセスモジュール 1 0 l bまたは 1 0 1 c ) に移送す る。 この移送は、 真空側搬送室 1 0 3内の搬送装置 1 0 9 によって 真空状態のまま実施される。
次に、 ステップ S 1 4では、 図 1 6 Cに示したように、 ウェハ W の表面に形成された酸化珪素膜 2 0 2の上に、 C VD法による成膜 処理を行う。 これにより、 図 1 6 Dに示したように、 酸化珪素膜 2 0 2の上に重ねて第 2の絶縁膜としての酸化珪素膜 2 0 3が形成さ れる。 この C VD法として、 基板処理システム 2 0 0を使用する本 実施の形態では C VD法を用いるが、 例えば熱 C VD法、 減圧 C V D法、 常圧 C VD法などの方法で成膜を行う ことが可能である。 次に、 ステップ S 1 5では、 酸化珪素膜 2 0 2および酸化珪素膜 2 0 3が形成されたウェハ Wをプラズマ改質処理装置としてのプラ ズマ処理装置 1 0 0 (プロセスモジュール 1 0 1 d ) に移送する。 この移送は、 真空側搬送室 1 0 3内の搬送装置 1 0 9によって真空 状態のまま実施される。 次に、 ステップ S 1 6では、 図 1 6 Eに示 したように、 酸化珪素膜 2 0 3に対してプラズマ改質処理を実施す る。 本実施の形態では、 上記ステップ S 1 3〜ステップ S 1 6の処 理を、 必要に応じて複数回繰り返し実施する。 すなわち、 図 1 6 F および図 1 6 Gに示したように、 第 2の絶縁膜としての酸化珪素膜 2 0 3の上に、 C VD法によってさらに第 2の絶縁膜としての酸化 珪素膜 2 0 4を積層形成し、 次いで、 図 1 6 Hに示したように、 最 上層の酸化珪素膜 2 0 4にプラズマ改質処理を施す。 ステップ S 1 3〜ステップ S 1 6の処理は、 第 2の絶縁膜 (酸化珪素膜 2 0 3 , ) の合計膜厚 T3があらかじめ設定した所定の厚みになるまで ( ステップ S I 7の Y E S ) 、 繰り返し実施される。 なお、 ステップ S 1 3〜ステップ S 1 6の処理は、 第 1 の実施の形態のステップ S 3〜ステップ S 6の処理 (図 6参照) と同様に行う ことができるた め、 ここでは詳細な説明を省略する。
以上のように、 ステップ S 1 3からステップ S 1 6の処理を繰り 返した後は、 ステップ S 1 8で真空搬送室 1 0 3内の搬送装置 1 0 9により処理済のウェハ Wをプラズマ処理装置 1 0 0 (プロセスモ ジュール 1 0 I d ) から搬出し、 前記手順でロードポート L Pのゥ ェハカセッ 卜 C Rに収納する。
本実施の形態の絶縁膜の形成方法では、 このステップ S 1 3〜ス テツプ S 1 6の繰り返しにより、 緻密で良質な絶縁膜 (酸化珪素膜 ) の膜厚 T3を、 所望の厚みに形成することができる。 すなわち、 1 回の C VD法によって、 仮に 1 0 n m〜 l 0 0 0 nmの厚い酸化 珪素膜を形成できたとしても、 そのままでは緻密で良質な膜質に改 質することは困難である。 その理由は、 前記のとおり o2 +イオンや 〇 (' D2) ラジカルは、 改質処理の対象である酸化珪素膜を透過す る能力が低いため、 プラズマ改質が可能な酸化珪素膜の膜厚 (表面 からの深さ) には限界があるためである。 このため、 本実施の形態 の絶縁膜の形成方法では、 絶縁膜としての C VD法による酸化珪素 膜の堆積と、 プラズマ改質処理を繰り返し実施することによって、 所望の膜厚 T3で、 緻密で良質な膜質の絶縁膜を形成できる。
また、 複数回の C VD法による成膜処理に先立ち、 ステップ S 1 2で下地のシリコン層をプラズマ酸化処理するのが好ましく、 シリ コンと酸化珪素膜との界面を平坦化することができる。 これにより 、 固定電荷の蓄積による界面準位密度の上昇を防止し、 キャリアの 移動度を確保してデバイスの電気的特性を改善することができる。
本実施の形態におけるその他の構成、 作用および効果は、 第 1 の 実施の形態と同様である。 なお、 プロセスモジュール 1 0 l aおよ び 1 0 1 dにおいて、 それぞれステップ S 1 2のプラズマ酸化処理 とステップ S 1 6のプラズマ改質処理の両方の処理を行ってもよい
次に、 本発明の第 3の実施の形態について、 図 1 7および図 1 8 A〜 l 8 Gを参照しながら説明する。 この第 3の実施の形態の特徴 は、 第 1および第 2の実施の形態とは異なり、 ウェハ Wのシリコン 層のプラズマ酸化処理を行わずに、 酸化珪素の厚膜を形成すること である。 図 1 7は、 絶縁膜としての酸化珪素膜の成膜工程とその改 質処理工程を含む酸化珪素膜の形成方法の流れを示すフロー図であ り、 図 1 8 A〜 1 8 Gは、 その主要な工程を説明する図面である。 本実施の形態の酸化珪素膜の形成方法は、 例えば図 1 7に示した ステップ S 2 1からステップ S 2 6の手順により実施される。 まず 、 図 1 7のステップ S 2 1では、 真空側搬送室 1 0 3内の搬送装置 1 0 9により、 処理対象のウェハ Wを C VD成膜装置 (プロセスモ ジュール 1 O l aまたは 1 0 1 c ) に搬入する。
次に、 ステツプ S 2 2では、 図 1 8 Aに示したように、 ゥェ ; \ W の表面に露出したシリコン層 2 2 1の上に、 C V D法による成膜処 理を行う これにより、 図 1 8 Bに示したように、 シリコン層 2 2
1の上に絶縁膜としての酸化珪素膜 2 2 2が形成される。 この C V
D法として 、 基板処理システム 2 0 0 を使用する本実施の形態では 熱 C VD法を用いるが、 例えばプラズマ C VD法、 減圧 C V D法、 常圧 C V D法などの方法で成膜を行う ことが可能である。 なお 、 サ
、ヽ、
一マルバンエツ トの観点からはプラズマ C VD法が好ましい。
C V D法による成膜処理によつてクェハ Wのシリコン層 2 2 1上 に形成される酸化珪素膜 2 2 2の膜障
子 T , ,は、 後に行われるプラズ マ改質処理工程で十分な改質効果を得る観点から、 2 n m以上 1 0 nm以下の範囲内が好ましく、 4 nm以上 8 n m以下の範囲内がよ り好ましい。 改質処理の対象となる酸化珪素膜 2 2 2の膜厚 THが 、 2 nm未満では、 所望の膜厚まで厚膜化するまでの繰り返し回数 が多くなり、 非効率である。 一方、 酸化珪素膜 2 2 2の膜厚 1 ,が 、 1 0 nm超の場合には、 後述するように厚み方向の全体を十分に 改質することが困難になる。
次に、 ステップ S 2 3では、 酸化珪素膜 2 2 2が形成されたゥェ ハ Wをプラズマ改質処理装置としてのプラズマ処理装置 1 0 0 (プ ロセスモジュール 1 0 1 bまたは 1 0 1 d ) に移送する。 この移送 は、 真空側搬送室 1 0 3内の搬送装置 1 0 9 によって真空状態のま ま実施される。 次に、 ステップ S 2 4では、 図 1 8 Cに示したよう に、 酸化珪素膜 2 2 2に対してプラズマ改質処理を実施する。 ブラ ズマ処理装置 1 0 0 を用いて行われるプラズマ改質処理の手順およ び条件は、 第 1 の実施の形態の説明で述べたとおりである。
C VD法によって形成された酸化珪素膜 2 2 2に対して、 プラズ マ処理装置 1 0 0 を用い、 上記条件でプラズマ改質処理を行うこと により、 酸化珪素膜 2 2 2の表面から 2 n m以上 1 0 n m以下の範 囲内の深さまで実用上良好な膜質に改善することができる。 したが つて、 1回の C VD工程で形成する酸化珪素膜 2 2 2の膜厚 T uは 、 前記のとおり 2 n m以上 1 0 nm以下の範囲内とすることが好ま しく、 この場合のプラズマ改質処理時間は、 5秒以上 6 0 0秒以下 の範囲内とすることが好ましい。 上記膜厚の酸化珪素膜 2 2 2に対 して、 上記条件で 5秒未満の時間でプラズマ改質処理を行っても、 改質が不十分になる場合があり、 一方、 6 0 0秒を超えてプラズマ 改質処理を行っても改質効果の向上は期待できず、 効率的でない。
以上の条件は、 制御部 5 0の記憶部 5 3にレシピとして保存され ている。 そして、 プロセスコントローラ 5 1がそのレシピを読み出 してプラズマ処理装置 1 0 0の各構成部例えばガス供給機構 1 8、 排気装置 2 4、 マイクロ波発生装置 3 9、 ヒー夕電源 5 aなどへ制 御信号を送出することにより、'所望の条件でプラズマ改質処理が行 われる。
ステップ S 2 4のプラズマ改質処理が終了した後、 本実施の形態 では、 上記ステップ S 2 2およびステップ S 2 4の処理を、 必要に 応じて複数回繰り返し実施する。 すなわち、 ステップ S 2 4の処理 が終了した後、 ステップ S 2 5において、 改質処理された酸化珪素 膜 2 2 2の合計膜厚があらかじめ決定した所定の厚さに達している か否かを判定し、 達していなければ (ステップ S 2 5の N〇) 、 ス テツプ S 2 1 に戻って、 ウェハ Wを C VD成膜装置 (プロセスモジ ユール 1 0 1 aまたは 1 0 1 c ) に移送する (ステップ S 2 1参照 ) 。 そして、 図 1 8 Dに示したように、 改質された酸化珪素膜 2 2 2 aの上に、 C VD法によって再び絶縁膜を堆積 (ステップ S 2 2 参照) する。 これにより、 図 1 8 Eに示したように、 改質済みの酸 化珪素膜 2 2 2 aの上に、 膜厚 Tuで酸化珪素膜 2 2 3が積層され る。
次いで、 ウェハ Wをプラズマ処理装置 1 0 0 (プロセスモジュ一 ル 1 0 1 bまたは 1 0 1 d ) に移送し (ステップ S 2 3参照) 、 図 1 8 Fに示したように、 最上層の酸化珪素膜 2 2 3 にプラズマ改質 処理を施す (ステップ S 2 4参照) 。 ステップ S 2 2およびステツ プ S 2 4の処理は、 図 1 8 Gに示したように、 積層絶縁膜 2 3 0 ( 改質済みの酸化珪素膜 2 2 2 a , 2 2 3 a , 2 2 4 a , 2 2 5 a , 2 2 6 a -) の合計膜厚 T2 Q (=膜厚 TM X成膜回数) が所定の厚 みにな δまで繰り返し実施される。 このときの合計膜厚 Τ 2 ()は、 4 n m以上 1 0 0 0 nm以下とすることが好ましく、 4 nm以上 1 0 0 nm以下とすることがより好ましい。 以上のように、 ステップ S 2 2およびステップ S 2 4の処理を繰 り返して所定の厚みの積層絶縁膜 2 3 0が形成されると、 ステップ S 2 5では Y E S と判断されるため、 ステップ S 2 6で真空搬送室 1 0 3内の搬送装置 1 0 9 により処理済のウェハ Wをプラズマ処理 装置 1 0 0 (プロセスモジュール 1 0 1 bまたは 1 0 1 d) から搬 出し、 前記手順で口一ドポート L Pのウェハカセッ ト C Rに収納す る。
本実施の形態の絶縁膜の形成方法では、 ステップ S 2 2およびス テツプ S 2 4の処理の繰り返しにより、 緻密で欠陥のない良質な絶 縁膜 (酸化珪素膜 2 2 2, 2 2 3 ···) を所望の膜厚 T 2 Qで形成する ことができる。 なお、 仮に 1回の C VD法によって、 1 0 nm〜 l O O O nmの厚い膜厚で酸化珪素膜を形成できたとしても、 その全 体をプラズマ改質処理によって緻密で良質な膜質に改質することは 困難である。 後述するように、 プラズマ改質処理において重要な活 性種である O 2 +イオンや O ( 1 D 2 ) ラジカルは、 改質処理の対象で ある酸化珪素膜を透過する能力が低いため、 プラズマによる改質が 可能な酸化珪素膜の膜厚 (表面からの深さ) には限界があるためで ある。 本実施の形態の絶縁膜の形成方法では、 絶縁膜としての C V D法による酸化珪素膜の堆積と、 プラズマ改質処理を繰り返し実施 することによって、 改質限界膜厚に制約されることなく、 任意の膜 厚 T2 Dで、 従来の C VD膜に比べて緻密で良質な膜質の絶縁膜を、 従来の C VD膜と同等の膜厚で形成できる。
また、 基板処理システム 2 0 0では、 C VD法による酸化珪素膜 の成膜処理と、 その改質処理を真空下で連続的に繰り返し実施でき るので、 実用上必要なスループッ ト (例えば時間あたり 3 0枚〜 6 0枚処理) を得ながら、 従来の C VD法と同等の厚い膜厚で、 従来 の C VD膜に比べて良質な絶縁膜を形成することができる。 図 1 9は、 第 3の実施の形態にかかる絶縁膜の形成方法を適用可 能な T F T素子 4 1 0の概略構成を示す断面図である。 なお、 図 1 0に示す T F T素子 4 0 0 と同一の符号は同一あるいは類似の構成 要素を示すので、 重複した説明は行わない。 図 1 9に示す素子 4 1 0は、 図 1 0 に示す素子 4 0 0のゲート絶縁膜 4 0 3 を、 第 3の実 施の形態にかかる絶縁膜 4 0 3 Xで形成したことを特徴とする。
図 1 9に示したような構成の T F T素子 4 1 0に本実施形態の絶 縁膜の形成方法を適用する場合には、 ガラス基板 4 0 1上にゲート 電極 4 0 2 となる金属材料を成膜し、 パターン形成する。 次に、 ゲ —ト電極 4 0 2およびガラス基板 4 0 1 の表面を覆うように、 C V D法によりゲート絶縁膜 4 0 3 Xを形成する。 このゲート絶縁膜 4 0 3 Xの形成にあたっては、 前記のとおり C VD工程とプラズマ改 質処理工程とを所定回数繰返す。 すなわち、 まず第 1の酸化珪素膜 4 0 3 aを C VD法により成膜し、 引き続きこの第 1の酸化珪素膜 4 0 3 aを、 プラズマ処理装置 1 0 0 を用いてプラズマ改質処理す る。 次に、 第 1 の酸化珪素膜 4 0 3 a上に第 2の酸化珪素膜 4 0 3 bを C VD法により成膜し、 次に、 プラズマ処理装置 1 0 0 を用い てこの第 2の酸化珪素膜 4 0 3 bをプラズマ改質処理する。 さらに 、 第 2の酸化珪素膜 4 0 3 b上に第 3の酸化珪素膜 4 0 3 c を C V D法により成膜し、 次に、 プラズマ処理装置 1 0 0を用いてこの第 3の酸化珪素膜 4 0 3 cをプラズマ改質処理する。 C VD法は、 サ 一マルバジェッ 卜の観点からプラズマ C V Dが好ましい。 このよう にして、 低温処理で、 不純物やダングリ ングポンドなどの欠陥が少 ない緻密なゲート絶縁膜 4 0 3 Xを形成することができる。 なお、 ゲー卜絶縁膜 4 0 3 Xを構成する酸化珪素膜は 3層に限らず、 ゲー ト絶縁膜 4 0 3 Xの膜厚に応じて 2層あるいは 4層以上でもよい。 以上の処理は、 図 1 7のステップ S 2 1〜ステップ S 2 6の手順に 従って実施することができる。
その後、 常法に従い、 成膜とエッチングを繰り返し、 a— S i 膜 4 0 4の成膜とパターン形成、 ソース電極 4 0 6およびドレイン電 極 4 0 7の成膜とパ夕一ン形成、 パッシベーシヨン膜 4 0 8の成膜 ならびに I T O電極 (図示省略) の形成を行うことにより、 T F T 素子 4 1 0 を形成することができる。
図 1 9に例示した T F T素子 4 1 0では、 C V D処理とプラズマ 改質処理とを繰り返すことにより、 ゲート絶縁膜 4 0 3 Xを所望の 厚みで形成することが可能となる。 しかも、 プラズマ改質処理によ つて、 低温処理で、 ゲ一ト絶縁膜 4 0 3 X全体の膜質を、 不純物や 、 ダングリ ングボンドなどの欠陥が少ない緻密で良質な膜質にする ことが可能となるので、 T F T素子 4 1 0の電気的性能を改善する ことができる。
以上、 本発明の実施の形態を述べたが、 本発明は上記実施の形態 に制約されることはなく、 種々の変形が可能である。 例えば、 上記 実施例では、 プラズマ改質処理の対象となる絶縁膜として熱 C V D 法により形成された酸化珪素膜 ( S i 〇2膜) を挙げたが、 熱 C V D法による酸化珪素膜に限らず、 他の C V D法、 例えばプラズマ C V D法により形成された酸化珪素膜を対象とすることが可能である 。 この場合、 膜質があまり良好でない (例えば膜質が疎な) 酸化珪 素膜ほど高い改質効果が得られる。
また、 プラズマ改質処理の対象となる絶縁膜としては、 酸化珪素 膜に限らず、 例えばジルコニウム、 タンタル、 チタン、 バリウム、 ス トロンチウム、 アルミニウム、 ハフニウム等の金属の酸化物を含 む高誘電率金属酸化膜 (11 1 ー 1^膜) に対してもプラズマ改質処理 を適用可能である。 この場合、 シリコン表面に C V D法で高誘電率 金属酸化膜を形成しただけでは、 平坦な界面を形成できないので、 P T/JP2009/052447 成膜の前にプラズマ酸化処理を行い、 極めて平坦な界面を形成して おき、 その上に高誘電率金属酸化膜を形成することが有効である。

Claims

1. 被処理体の表面に露出したシリコンをプラズマ酸化処理して 第 1 の絶縁膜としての酸化珪素膜を形成するプラズマ酸化処理工程 と、
前記酸化珪素膜の上に請 C VD法によって第 2の絶縁膜を形成する C V D工程と、
前記第 2の絶縁膜に対し、 酸素を含む処理ガスのプラズマを用い て改質処理を行うプラズマ改質処理工程と、 を備えたことを特徴と する絶縁膜の形成方法。
2. 請求項 1 に記載の絶縁膜の形成方法囲において、 前記プラズマ 酸化処理工程により形成される前記第 1の絶縁膜は、 前記シリコン との界面の平坦度 R M Sが 0. 0 5〜 0. 1 3 nmであり、 膜厚が 3〜 1 0 nmであることを特徴とする、 絶縁膜の形成方法。
3. 請求項 2に記載の絶縁膜の形成方法において、 前記プラズマ 酸化処理工程で使用されるプラズマは、 プラズマ中の酸化活性種と して 02 +イオンおよび O D2) ラジカルの濃度が高いプラズマで あることを特徴とする、 絶縁膜の形成方法。
4. 請求項 1に記載の絶縁膜の形成方法において、 前記 C VDェ 程により形成される前記第 2の絶縁膜は、 その膜厚が 3〜 1 0 n m であることを特徴とする、 絶縁膜の形成方法。
5. 請求項 1 に記載の絶縁膜の形成方法において、 前記プラズマ 改質処理工程で用いられるプラズマは、 プラズマ中の活性種として 〇 2 +イオンおよび O ( 1 D 2 ) ラジカルの濃度が高いプラズマである ことを特徴とする、 絶縁膜の形成方法。
6. (もとのクレーム 2 ) 請求項 1 に記載の絶縁膜の形成方法に おいて、 前記プラズマ酸化処理工程は、 複数の孔を有する平面アン テナにより処理室内にマイクロ波を導入するプラズマ処理装置にお いて、 処理圧力が 6. 7 P a以上 2 6 7 P a以下の範囲内であり、 かつ前記処理ガスの全流量に対する酸素の流量比率が 0. 1 %以上 3 0 %以下の範囲内で行われることを特徴とする、 絶縁膜の形成方 法。
7. 請求項 6に記載の絶縁膜の形成方法において、 前記プラズマ 酸化処理工程における前記処理圧力が 6. 7 P a以上 6 7 P a以下 の範囲内であることを特徴とする、 絶縁膜の形成方法。
8. 請求項 1 に記載の絶縁膜の形成方法において、 前記プラズマ 改質処理工程は、 処理圧力が 6. 7 P a以上 2 6 7 P a以下の範囲 内であり、 かつ前記処理ガスの全流量に対する酸素の流量比率が 0 . 1 %以上 3 0 %以下の範囲内で行われることを特徴とする、 絶縁 膜の形成方法。
9. 請求項 8に記載の絶縁膜の形成方法において、 前記プラズマ 改質工程は、 複数の孔を有する平面アンテナにより処理室内にマイ クロ波を導入するプラズマ処理装置により行われることを特徴とす る、 絶縁膜の形成方法。
10. 請求項 8に記載の絶縁膜の形成方法において、 前記プラズマ 改質処理工程における前記処理圧力が 6. 7 P a以上 6 7 P a以下 の範囲内であることを特徴とする、 絶縁膜の形成方法。
11. 請求項 1 に記載の絶縁膜の形成方法において、 前記第 2の絶 縁膜を、 プラズマ C VD法または熱 C VD法によって形成すること を特徴とする、 絶縁膜の形成方法。
12. 請求項 1 に記載の絶縁膜の形成方法において、 前記 C VDェ 程と、 前記プラズマ改質処理工程とを、 前記第 2の絶縁膜の合計の 厚さがあらかじめ決定した所定の厚さに達するまで、 複数回繰り返 し行う ことを特徴とする、 絶縁膜の形成方法。
13. 請求項 1 に記載の絶縁膜の形成方法において、 前記プラズマ 酸化処理工程および前記プラズマ改質処理工程における処理温度が 、 2 0 0 °C以上 6 0 0 °C以下の範囲内であることを特徴とする、 絶 縁膜の形成方法。
14. 請求項 1 に記載の絶縁膜の形成方法において、 前記プラズマ 酸化処理工程と前記プラズマ改質処理工程を同一チャンバ内で行う ことを特徴とする、 絶縁膜の形成方法。
15. 請求項 1に記載の絶縁膜の形成方法において、 前記第 2の絶 縁膜が、 原料ガスとしてジクロルシランと N2〇を用いる C VD法 によって堆積させられた酸化珪素膜であることを特徴とする、 絶縁 膜の形成方法。
16. 被処理体の表面に露出したシリコンの上に C VD法によって 、 2 n m以上 1 0 n m以下の範囲内の膜厚で絶縁膜を形成する C V D工程と、
前記絶縁膜に対し、 複数の孔を有する平面アンテナにより処理室 内にマイクロ波を導入するプラズマ処理装置において、 酸素を含む 処理ガスのプラズマを用いて改質処理を行うプラズマ改質処理工程 と、
を備え、 前記 C VD工程と前記プラズマ改質処理工程とを繰り返し 行い絶縁膜を形成することを特徴とする絶縁膜の形成方法。
17. 請求項 1 6 に記載の絶縁膜の形成方法であって、 前記プラズ マ改質処理工程は、 処理圧力が 6. 7 P a以上 2 6 7 P a以下の範 囲内であり、 かつ前記処理ガスの全流量に対する酸素の流量比率が 0. 1 %以上 3 0 %以下の範囲内で行われることを特徴とする、 絶 縁膜の形成方法。
18. 請求項 1 6 に記載の絶縁膜の形成方法であって、 前記絶縁膜 の合計膜厚が 4 n m以上 1 0 0 O n m以下の範囲内になるまで前記 C V D工程と前記プラズマ改質処理工程とを繰り返すことを特徴と する、 絶縁膜の形成方法。
1 9 . コンピュ一夕上で動作する制御プログラムが記憶されたコン ピュー夕読み取り可能な記憶媒体であって、
前記制御プログラムは、 実行時に、 被処理体に対して所定の処理 を行うための複数の処理チャンバを有する処理システムにおいて、 被処理体の表面に露出したシリコンをプラズマ酸化処理して第 1 の 絶縁膜としての酸化珪素膜を形成するプラズマ酸化処理工程と、 前 記酸化珪素膜の上に C V D法によって第 2の絶縁膜を形成する C V D工程と、 前記第 2の絶縁膜に対し、 酸素を含む処理ガスのプラズ マを用いて改質処理を行うプラズマ改質処理工程と、 を含む絶縁膜 の形成方法が行なわれるように、 コンピュータに前記処理システム を制御させるものであることを特徴とするコンピュータ読み取り可 能な記憶媒体。
20. 被処理体に対して第 1の処理を行う第 1の処理チャンバと、 被処理体に対して前記第 1の処理とは異なる第 2の処理を行う第 2 の処理チャンバと、 被処理体に対して前記第 2の処理とは異なる第 3の処理を行う第 3の処理チャンバと、 を有する処理システムであ つて、
第 1の処理チャンバ内で、 前記第 1の処理として、 被処理体の表 面に露出したシリコンをプラズマ酸化処理して第 1 の絶縁膜として の酸化珪素膜を形成し、 次に、 第 2の処理チャンバにおいて、 前記 第 2の処理として前記酸化珪素膜の上に C V D法によって第 2の絶 縁膜を形成し、 次に、 第 3の処理チャンバにおいて、 前記第 3の処 理として、 前記第 2の絶縁膜に対し酸素を含む処理ガスのプラズマ を用いてプラズマ改質処理を行うように各処理チャンバを制御する 制御部を備えたことを特徴とする処理システム。
PCT/JP2009/052447 2008-02-08 2009-02-06 絶縁膜の形成方法、コンピュータ読み取り可能な記憶媒体および処理システム WO2009099254A1 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020107017596A KR101248651B1 (ko) 2008-02-08 2009-02-06 절연막의 형성 방법, 컴퓨터 판독 가능한 기억 매체 및 처리 시스템
US12/865,969 US8034179B2 (en) 2008-02-08 2009-02-06 Method for insulating film formation, storage medium from which information is readable with computer, and processing system

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2008-029477 2008-02-08
JP2008029476A JP5374748B2 (ja) 2008-02-08 2008-02-08 絶縁膜の形成方法、コンピュータ読み取り可能な記憶媒体および処理システム
JP2008029477A JP5374749B2 (ja) 2008-02-08 2008-02-08 絶縁膜の形成方法、コンピュータ読み取り可能な記憶媒体および処理システム
JP2008-029476 2008-02-08

Publications (1)

Publication Number Publication Date
WO2009099254A1 true WO2009099254A1 (ja) 2009-08-13

Family

ID=40952314

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2009/052447 WO2009099254A1 (ja) 2008-02-08 2009-02-06 絶縁膜の形成方法、コンピュータ読み取り可能な記憶媒体および処理システム

Country Status (4)

Country Link
US (1) US8034179B2 (ja)
KR (1) KR101248651B1 (ja)
TW (1) TWI445083B (ja)
WO (1) WO2009099254A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012165263A1 (ja) * 2011-06-03 2012-12-06 東京エレクトロン株式会社 ゲート絶縁膜の形成方法およびゲート絶縁膜の形成装置
WO2023112320A1 (ja) * 2021-12-17 2023-06-22 株式会社日立ハイテク 成膜方法およびプラズマ処理方法

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9685320B2 (en) * 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
JP5663384B2 (ja) * 2011-04-19 2015-02-04 三菱電機株式会社 絶縁膜の製造方法
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
US8999773B2 (en) 2012-04-05 2015-04-07 Semiconductor Energy Laboratory Co., Ltd. Processing method of stacked-layer film and manufacturing method of semiconductor device
US20170229554A1 (en) * 2016-02-05 2017-08-10 Applied Materials, Inc. High-k dielectric materials utilized in display devices
JP6586443B2 (ja) * 2017-10-10 2019-10-02 東京エレクトロン株式会社 被処理体を処理する方法
CN109887837A (zh) * 2019-03-05 2019-06-14 常州工程职业技术学院 一种晶硅电池正表面氧化膜的制备方法
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
CN110767668B (zh) * 2019-12-30 2020-03-27 杭州美迪凯光电科技股份有限公司 含纳米级表面的clcc封装体盖板、封装体和摄像模组

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0443642A (ja) * 1990-06-11 1992-02-13 G T C:Kk ゲート絶縁膜の形成方法
JPH09181072A (ja) * 1995-12-22 1997-07-11 Ricoh Co Ltd 酸化膜形成方法および酸化膜形成装置
JPH1167747A (ja) * 1997-08-21 1999-03-09 Sony Corp シリコン酸化膜の形成方法及び酸化膜成膜装置
WO2004008519A1 (ja) * 2002-07-17 2004-01-22 Tokyo Electron Limited 酸化膜形成方法および電子デバイス材料
WO2006025363A1 (ja) * 2004-08-31 2006-03-09 Tokyo Electron Limited シリコン酸化膜の形成方法、半導体装置の製造方法およびコンピュータ記憶媒体

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1265279B1 (en) 2000-03-13 2009-10-14 OHMI, Tadahiro Method of fabricating a flash memory device
CN100347832C (zh) 2001-01-25 2007-11-07 东京毅力科创株式会社 电子器件材料的制造方法
JP4083000B2 (ja) * 2002-12-12 2008-04-30 東京エレクトロン株式会社 絶縁膜の形成方法
US7060594B2 (en) * 2004-10-19 2006-06-13 Macronix International Co., Ltd. Memory device and method of manufacturing including deuterated oxynitride charge trapping structure

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0443642A (ja) * 1990-06-11 1992-02-13 G T C:Kk ゲート絶縁膜の形成方法
JPH09181072A (ja) * 1995-12-22 1997-07-11 Ricoh Co Ltd 酸化膜形成方法および酸化膜形成装置
JPH1167747A (ja) * 1997-08-21 1999-03-09 Sony Corp シリコン酸化膜の形成方法及び酸化膜成膜装置
WO2004008519A1 (ja) * 2002-07-17 2004-01-22 Tokyo Electron Limited 酸化膜形成方法および電子デバイス材料
WO2006025363A1 (ja) * 2004-08-31 2006-03-09 Tokyo Electron Limited シリコン酸化膜の形成方法、半導体装置の製造方法およびコンピュータ記憶媒体

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012165263A1 (ja) * 2011-06-03 2012-12-06 東京エレクトロン株式会社 ゲート絶縁膜の形成方法およびゲート絶縁膜の形成装置
WO2023112320A1 (ja) * 2021-12-17 2023-06-22 株式会社日立ハイテク 成膜方法およびプラズマ処理方法

Also Published As

Publication number Publication date
KR20100106576A (ko) 2010-10-01
KR101248651B1 (ko) 2013-03-28
US20110039418A1 (en) 2011-02-17
TWI445083B (zh) 2014-07-11
TW200947552A (en) 2009-11-16
US8034179B2 (en) 2011-10-11

Similar Documents

Publication Publication Date Title
WO2009099254A1 (ja) 絶縁膜の形成方法、コンピュータ読み取り可能な記憶媒体および処理システム
US8728917B2 (en) Carbon nanotube forming method and pre-treatment method therefor
KR101005953B1 (ko) 절연막 형성 방법
WO2009099252A1 (ja) 絶縁膜のプラズマ改質処理方法
WO2013035561A1 (ja) 半導体装置の製造方法及び基板処理システム
JP2012216631A (ja) プラズマ窒化処理方法
TWI235433B (en) Oxide film forming method, oxide film forming apparatus and electronic device material
KR101188574B1 (ko) 절연막의 형성 방법 및 반도체 장치의 제조 방법
JP5166297B2 (ja) 酸化珪素膜の形成方法、半導体メモリ装置の製造方法およびコンピュータ読み取り可能な記憶媒体
TW200807556A (en) Method for forming insulating film and method for manufacturing semiconductor device
WO2011114961A1 (ja) シリコン酸化膜の形成方法、及びプラズマ酸化処理装置
JP5339327B2 (ja) プラズマ窒化処理方法および半導体装置の製造方法
CN109314046A (zh) 基板处理装置、半导体装置的制造方法以及记录介质
JP5357487B2 (ja) シリコン酸化膜の形成方法、コンピュータ読み取り可能な記憶媒体およびプラズマ酸化処理装置
TW200402093A (en) Manufacturing method of electronic device material
JP5374749B2 (ja) 絶縁膜の形成方法、コンピュータ読み取り可能な記憶媒体および処理システム
JP5374748B2 (ja) 絶縁膜の形成方法、コンピュータ読み取り可能な記憶媒体および処理システム
WO2004017396A1 (ja) 半導体基体上の絶縁膜を形成する方法
TW201304012A (zh) 電漿氮化處理方法、電漿氮化處理裝置及半導體裝置的製造方法
JP2012079785A (ja) 絶縁膜の改質方法
US20230102051A1 (en) Film forming method and film forming apparatus
JP2023050068A (ja) 成膜方法及び成膜装置
JP2023043583A (ja) 基板処理方法、基板処理装置および半導体構造

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 09709402

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 20107017596

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 12865969

Country of ref document: US

122 Ep: pct application non-entry in european phase

Ref document number: 09709402

Country of ref document: EP

Kind code of ref document: A1