TW201618189A - 矽氧化物之沉積方法 - Google Patents

矽氧化物之沉積方法 Download PDF

Info

Publication number
TW201618189A
TW201618189A TW104122669A TW104122669A TW201618189A TW 201618189 A TW201618189 A TW 201618189A TW 104122669 A TW104122669 A TW 104122669A TW 104122669 A TW104122669 A TW 104122669A TW 201618189 A TW201618189 A TW 201618189A
Authority
TW
Taiwan
Prior art keywords
substrate
plasma
tantalum oxide
reaction chamber
oxide film
Prior art date
Application number
TW104122669A
Other languages
English (en)
Other versions
TWI679701B (zh
Inventor
康虎
金完基
艾里恩 拉芙依
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201618189A publication Critical patent/TW201618189A/zh
Application granted granted Critical
Publication of TWI679701B publication Critical patent/TWI679701B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Oxygen, Ozone, And Oxides In General (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)

Abstract

此處之實施例係聚焦於電漿輔助原子層沉積(PEALD)製程。傳統的PEALD技術會造成薄膜上之特徵部的頂部及底部具有高品質,但在側壁處則品質不佳。本篇所揭露之實施例因整個薄膜更一致的濕式蝕刻率以及電子特性為證而達到了更一致的薄膜品質。本篇所揭露之實施例可以在每一個PEALD反應循環中使用一或多個相對較高的沉積溫度、相對較高的RF功率以產生電漿,以及/或相對較長的RF電漿暴露持續期間。

Description

矽氧化物之沉積方法
本發明係關於矽氧化物的沉積方法。
積體電路的製造包含許多不同的處理步驟。其中一項常使用的操作就是介電膜的沉積。此薄膜可沉積在相當扁平的基板上、或者也可沉積在矽基板上或內部圖案化之特徵部間的間隙內。沉積此等薄膜的一種方法是透過電漿輔助原子層沉積法(PEALD)。在此種方法中,係以循環的方式來進行多種操作,以沉積保形膜。典型上,PEALD製程包含下列步驟:(a)提供一劑量的第一反應物至一反應室,(b)清洗該反應室,(c)流入第二反應物至該反應室,(d)點燃反應室內的電漿,(e)熄滅電漿並清洗反應室。由於輸送/吸收至基板表面之前驅物的特性,一單一循環之PEALD製程便沉積一單一的材料層。此操作可重複數次,以沉積額外的單層,直到達到所需的薄膜厚度。
此處所提出之各種實施例係關於在半導體基板上形成矽氧化物薄膜的方法及設備。某些實施例使用了比起習知方法相對較高的沉積溫度、相對較高位準的RF功率以及/或相對較長的電漿暴露持續期間。在某些案例中,會在沉積期間定期執行額外的電漿處理。且,在某些案例中可能形成雙層膜,其底層係以與上層不同的條件來進行沉積。
在所揭露實施例之一態樣中,係提供在一單一或多站反應室內之基板表面上沉積矽氧化物薄膜的方法,其步驟包含: (a)在容許含矽反應物吸附至該基板之該表面的條件下,流入汽相之該含矽反應物至該反應室; (b) 在(a)步驟之後,流入汽相之一含氧反應物至該反應室,並將該基板之該表面暴露至電漿中,以驅動該含矽反應物以及該含氧反應物之間的表面反應,以形成該矽氧化物薄膜,其中流入該含氧反應物以及將該基板之該表面暴露至該電漿中的步驟至少部分同時發生,且其中該基板之溫度在步驟(a)及(b)期間係維持在約435-550℃之間,且其中該電漿的產生係使用基板面積每一平方公分介於約2.1-3.6 Watts的全RF功率,且其中該基板之該表面係暴露至步驟(b)的該電漿中約2-5秒的持續時間;以及(c) 重複步驟(a)及(b)直到該矽氧化物薄膜達到一目標厚度。
在某些案例中,該矽氧化物薄膜乃形成於具有深寬比約10或更高的凹陷特徵部內。該凹陷特徵部具有介於約2-100μm的寬度,例如約5-50μm,或是5-20μm。在某些案例中,該凹陷特徵部為一矽通孔。該凹陷特徵部亦可形成一3D NAND結構的一部份。
清洗步驟可在適當時候導入。例如,本方法步驟可包含在停止流入該含矽反應物之後且在開始流入該含氧反應物之前,執行後反應物清洗,並在將該基板之該表面暴露至該電漿之後、執行後電漿清洗。在步驟(a)中該含矽反應物流入該反應室約0.2-1秒的持續時間。該後反應物清洗的持續時間約介於0.2-1秒。流入該含氧反應物至該反應室以及將該基板之該表面暴露至該電漿中的步驟持續約0.1-0.5秒。在某些案例中,該含矽反應物包含經胺基取代之矽烷,而其中該含氧反應物包含至少下列之一:氧、一氧化二氮、臭氧、一氧化碳、一氧化氮、二氧化氮、硫氧化物、二氧化硫、含氧碳氫化合物、水以及前述之混合物。在某些案例中,該經胺基取代之矽烷包含BTBAS。亦可使用許多其他的反應物。在某些案例中,在步驟(a)及(b)期間,該反應室內之壓力乃維持在約2-6 Torr。
根據所揭露之實施例所形成之薄膜常是一高品質的薄膜,以相對低的濕式蝕刻率為證。在某些實施例中,該矽氧化物薄膜在靠近該凹陷特徵部的頂部開口附近的場域展現出第一濕式蝕刻率、在該凹陷特徵部的頂部角落展現出第二濕式蝕刻率、在該凹陷特徵部的一側壁的中間展現出第三濕式蝕刻率、而在該凹陷特徵部的底部展現出第四濕式蝕刻率,其中該第一濕式蝕刻率係等於或大於該第三濕式蝕刻率。該第一濕式蝕刻率係等於或大於該第二濕式蝕刻率、而該第二濕式蝕刻率係等於或大於該第三濕式蝕刻率、該第三濕式蝕刻率係等於或大於該第四濕式蝕刻率。在某些案例中,該第一濕式蝕刻率、該第二濕式蝕刻率、該第三濕式蝕刻率以及該第四濕式蝕刻率彼此之間的差異不大於約15%。
根據本揭露之實施例所形成之薄膜也可以展現出優異的電性品質。例如,該矽氧化物薄膜具有介於約-7.6至-12.7MC/cm之間的破壞電壓。該矽氧化物薄膜展現出之平帶電壓在該整個基板中的差異不大於約1.3V。
如前所述,本方法亦包含執行定期電漿處理。該電漿處理包含:自一處理電漿產生氣體產生一處理電漿,持續將該基板之該表面暴露至該處理電漿約10-100秒的時間。該處理電漿可能具有使該薄膜更緻密化的效果。在某些案例中,該處理電漿產生氣體包含氧、氧與氬的混合物或是氦。其中當使用氧與氬的混合物時,該處理電漿產生氣體中之氧:氬的比例介於約0.5:1及2:1之間,以每分鐘標準公升(SLM)量測。該處理電漿產生氣體的流率介於約5-20 SLM之間。當該基板之該表面暴露至該處理電漿時,該反應室中的壓力係維持在介於約2-6 Torr之間。在某些案例中,該處理電漿的產生係使用基板面積每一平方公分介於約0.3-1.8 Watts的全RF功率。
此處之實施例亦關於形成雙層膜的案例。例如,本方法更包含:(d)在步驟(c)之後,在容許一第二含矽反應物吸附至該基板之該表面的條件下,流入汽相之該第二含矽反應物至該反應室; (e) 在(d)步驟之後,流入汽相之一第二含氧反應物至該反應室,並將該基板之該表面暴露至一第二電漿中,以驅動該第二含矽反應物以及該第二含氧反應物之間的表面反應,以形成一第二矽氧化物薄膜,其中流入該第二含氧反應物的步驟以及將該基板之該表面暴露至該第二電漿中的步驟至少部分同時發生,且其中該基板之溫度在步驟(d)及(e)期間係維持在約400℃以下,且其中該第二電漿的產生係使用基板面積每一平方公分介於約0.7-1.8 Watts的全RF功率,且該RF功率僅以高頻RF提供,其中該基板之該表面係暴露至步驟(e)的該第二電漿中約0.5-1秒的持續時間;以及(f) 重複步驟(d)及(e)直到該第二矽氧化物薄膜達到一第二目標厚度。
在本實施例之另一態樣中,係提供在半導體基板上形成矽氧化物雙層膜的方法,該方法包含:(a)透過一原子層沉積反應而在一第一溫度下於該基板上形成一第一矽氧化物層,該原子層沉積反應係涉及在一第一RF功率下產生一第一電漿、且定期將該第一電漿暴露至該基板持續一第一持續期間,且(b)透過一第二原子層沉積反應而在一第二溫度下於該第一矽氧化物層上形成一第二矽氧化物層,該第一矽氧化物層與該第二矽氧化物層共同形成該矽氧化物雙層膜,其中該第二矽氧化物層的形成係涉及在一第二RF功率下產生一第二電漿、且定期將該第二電漿暴露至該基板持續一第二持續期間,其中該第一溫度較該第二溫度高,且其中該第一RF功率大於該第二RF功率,且其中該第一持續期間較該第二持續時間長,且其中該第一矽氧化物層具有較該第二矽氧化物層低的濕式蝕刻率。
該第一矽氧化物層的厚度可能呈現為該矽氧化物雙層膜之整體厚度的約20%或更薄。在某些實施例中,該第一RF功率乃介於基板面積每一平方公分約2.1-3.6 Watts之間,且其中該第一持續期間為介於約2-5秒之間。在此些或其他案例中,該第二RF功率乃介於基板面積每一平方公分約0.7-1.8 Watts之間,且其中該第二持續期間為介於約0.5-1秒之間。該第一溫度乃介於約435-550℃之間。該第二溫度則是約400℃或400℃以下。
在本揭露實施例之再另一態樣中,係提供一種在基板表面沉積矽氧化物薄膜的設備,該設備包含:一反應室; 一電漿源,供應該反應室中的電漿; 一或多個入口,用以提供一含矽反應物以及一含氧反應物至該反應室; 一基板支架,用以支撐該反應室中之該基板;以及一控制器,其具有指令用以:(a)在容許該含矽反應物吸附至該基板之該表面的條件下,流入汽相之該含矽反應物至該反應室;在(a)步驟之後,流入汽相之該含氧反應物至該反應室,並將該基板之該表面暴露至電漿中,以驅動該含矽反應物以及該含氧反應物之間的表面反應,以形成該矽氧化物薄膜,其中該控制器具有指令,以使流入該含氧反應物的步驟以及將該基板之該表面暴露至該電漿中的步驟兩者至少部分同時發生,且其中該控制器具有指令,以使該基板之溫度在步驟(a)及(b)期間維持在約435-550℃之間,且其中該控制器具有指令,以使電漿的產生使用基板面積每一平方公分介於約2.1-3.6 Watts的全RF功率,且僅使用高頻RF來產生電漿,以及其中該控制器具有指令,使該基板之該表面在步驟(b)中暴露至該電漿中約2-5秒的持續時間;以及(c)重複步驟(a)及(b)直到該矽氧化物薄膜達到一目標厚度。
在各種實施例中,該控制器更具有指令,以在停止流入該含矽反應物之後且在開始流入該含氧反應物之前,執行清洗該反應室,且在將該基板之該表面暴露至該電漿之後、清洗該反應室。該控制器具有指令,以在步驟(a)將該含矽反應物流入該反應室約0.2-1秒的持續時間,之後在步驟(a)及(b)之間清洗該反應室約0.2-1秒的持續時間,在步驟(b)中流入該含氧反應物至該反應室以及將該基板之該表面暴露至電漿持續約0.5-1秒,在步驟(b)之後清洗該反應室約0.1-0.5秒的持續時間。該控制器也具有指令,在步驟(a)及(b)期間,使該反應室內的壓力維持在介於約2-6 Torr之間。
該控制器更具有指令,使該基板之該表面藉由下列步驟暴露至一電漿處理:自一處理電漿產生氣體產生一處理電漿; 將該基板之該表面暴露至該處理電漿約10-100秒的持續時間,以藉此使該矽氧化物薄膜更緻密化。在一些案例中,該控制器具有指令,使該處理電漿的產生係使用基板面積每一平方公分介於約0.3-1.8 Watts的全RF功率。
在某些案例中,該控制器具有指令以形成雙層膜。例如,該控制器更具有指令,進行:(d)在步驟(c)之後,在容許一第二含矽反應物吸附至該基板之該表面的條件下,流入汽相之該第二含矽反應物至該反應室; (e) 在(d)步驟之後,流入汽相之一第二含氧反應物至該反應室,並將該基板之該表面暴露至一第二電漿中,以驅動該第二含矽反應物以及該第二含氧反應物之間的表面反應,以形成一第二矽氧化物薄膜,其中該控制器具有指令,使流入該第二含氧反應物至該反應室的步驟以及將該基板之該表面暴露至該第二電漿中的步驟至少部分同時發生,其中該控制器具有指令,使該基板之溫度在步驟(d)及(e)期間係維持在約400℃以下,其中該控制器具有指令,使該第二電漿的產生係使用基板面積每一平方公分介於約0.7-1.8 Watts的全RF功率,且該RF功率僅以高頻RF提供,且其中該控制器具有指令,使該基板之該表面在步驟(e)中係暴露至該第二電漿中約0.5-1秒的持續時間;以及(f) 重複步驟(d)及(e)直到該第二矽氧化物薄膜達到一第二目標厚度。
在本揭露實施例之再另一個態樣中,係提供一種在半導體基板上形成矽氧化物雙層膜的設備,其包含:一反應室; 一電漿源,供應該反應室中的電漿; 一或多個入口,用以提供一含矽反應物以及一含氧反應物至該反應室; 一基板支架,用以支撐該反應室中之該基板;以及一控制器,其具有指令用以:(a)透過一第一原子層沉積反應而在一第一溫度下於該基板上形成一第一矽氧化物層,該第一原子層沉積反應係涉及在一第一RF功率下產生一第一電漿、且定期將該第一電漿暴露至該基板持續一第一持續期間,且(b)透過一第二原子層沉積反應而在一第二溫度下於該第一矽氧化物層上形成一第二矽氧化物層,該第一矽氧化物層與該第二矽氧化物層共同形成該矽氧化物雙層膜,其中該第二矽氧化物層的形成係涉及在一第二RF功率下產生一第二電漿、且定期將該第二電漿暴露至該基板持續一第二持續期間,其中該第一溫度較該第二溫度高,且其中該第一RF功率大於該第二RF功率,且其中該第一持續期間較該第二持續時間長,且其中該第一矽氧化物層具有較該第二矽氧化物層低的濕式蝕刻率。
該控制器具有指令,以沉積該雙層膜,俾使該第一矽氧化物層的厚度呈現為該矽氧化物雙層膜之整體厚度的約20%或更薄。
在本揭露實施例之再另一個態樣中,係提供一種在半導體基板上形成矽氧化物膜的設備,其包含:一反應室;一電漿源,供應該反應室中的電漿;一或多個入口,用以提供一含矽反應物以及一含氧反應物至該反應室;一基板支架,用以支撐該反應室中之該基板;以及一控制器,其具有指令用以根據此處所揭露之任一方法而將該該矽氧化物薄膜沉積於該基板上。
本發明之此些及其他特徵將參照所附圖示來說明。
在本申請案中,「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」、「部分製成之積體電路」等用語均可互相交替使用。熟知本技藝者當理解「部分製成之積體電路」可指稱積體電路製造過程之任何階段中於其上的矽晶圓。典型上用於半導體裝置產業之晶圓或基板具有200mm、300mm或450mm的直徑。此處提供之流率以及功率位準係與那些具有四個300mm晶圓於其中之四個站反應器共同使用。該流率以及功率位準係基於基板面積而線性調整。下面的詳細描述均假定本發明係於一晶圓上實施。然而,本發明並不限於此。其工作件可以是各種形狀、尺寸以及材料。除了半導體晶圓之外,其他的工作件(例如印刷電路板以及類似者)亦可以利用本發明。
在下面的說明中,設定了許多特定細節以提供對呈現之實施例的完整瞭解。本揭露之實施例在缺乏某些或全部此等特定細節亦可能實施。在某些例子中,為人熟知之處理操作便不再詳加描述,以避免不必要地模糊此等揭露之實施例。雖然此等揭露之實施例會與特定實施例一同說明,吾人應理解此並非對揭露之實施例的限制。
半導體裝置的製造典型上係涉及在整合製造處理中於一平面或非平面基板上沉積一或多層薄膜。在該等整合處理的某些態樣中,沉積對基板表面形貌保形的薄膜是有用的。有一種反應在某些涉及化學汽相沉積(CVD)處理的案例中是有用的。在典型的CVD處理中,係同時導入複數個汽相反應物至反應室中,並進行汽相反應。反應的成品便沉積在基板表面上。該反應可由電漿驅動,此種情況稱之為電漿輔助化學汽相沉積(PECVD)反應。如於此所使用者,CVD一詞除非另加說明,否則均意欲包含PECVD。CVD處理會有某些缺點,故在某些文章中會被視為較不妥當。例如,CVD汽相反應之質量輸送限制可能導致「麵包條狀」(bread-loafing)的沉積效果而在頂部表面處(例如閘極堆疊的頂部表面)顯示較厚的沉積、在凹陷表面處(如閘極堆疊的底部角落)則顯示出較薄的沉積。且由於某些晶粒可能具有區分裝置密度的區域,對整個晶圓表面的質量輸送效果可能導致晶粒內及晶圓內厚度的不同。此等厚度變異可導致某些區域過度蝕刻、而其他區域蝕刻不足,進而使裝置效能及晶粒產出變差。關於CVD處理的另一問題是常常無法在高深寬比的特徵部上形成保形膜。隨著裝置尺寸持續縮小,這個問題越來越令人憂心。
在許多案例中的另一個有用的反應類型是原子層沉積(ALD)。CVD處理主要使用汽相反應來快速沉積材料至基板表面,然而ALD處理則主要涉及表面協調反應而使材料以較為緩慢的循環方式沉積。ALD處理的一種類型是電漿輔助原子層沉積(PEALD)處理,其中該反應係藉由暴露至電漿而驅動。在ALD處理中,反應物係以一種非重疊性的循環方式輸送,以最小化或減少不想要的汽相反應。典型的ALD反應涉及:(1)將在反應室中之一基板暴露至一第一汽相反應物(例如含矽反應物)並容許該反應物吸附至該基板表面; (2)清洗反應室(例如施加真空以抽空反應室,或流入惰性氣體以便將第一反應物掃出反應室);(3)將該基板暴露至一第二汽相反應物(例如含氧反應物或含氮反應物);(4)將該基板暴露至一能源(例如電漿或熱能)以驅動第一反應物及第二反應物之表面反應;以及(5)再度清洗反應室。這些步驟可一直重複以建立所需厚度之薄膜。在各種案例中,步驟(3)和(4)全部或部分重疊發生,俾使第二反應物在基板暴露至電漿時輸送至反應室。ALD處理有時也稱之為保形膜沉積(CFD)處理,尤其是在步驟(3)和(4)之間不進行清洗(或者不完全清洗)或者步驟(3)和(4)在時間上重疊發生。於此所使用者,ALD一詞若未另外說明的話,其係包含熱ALD、PEALD以及CFD。
取決於前驅物給劑步驟之暴露時間以及前驅物之黏著係數,每一ALD循環可例如沉積厚度約0.5-3Å的一薄膜層。
在下列的美國專利申請案中乃描述了使用ALD/CFD來形成薄膜的方法,每一者均包含於此以供參照:美國專利申請案第13/084,399號,2011年4月11日申請; 美國專利申請案第13/953,616號,2013年7月29日申請; 美國專利申請案第14/074,596號,2013年11月7日申請;以及美國專利申請案第14/144,107號,2013年12月30日申請。
傳統的PEALD製程會導致所沉積的保形薄膜具有實質一致的厚度。然而雖然薄膜在厚度上一致,但在品質上卻可能不一致。在某些案例中,比起沉積在靠近特徵部頂部附近場域的薄膜或是特徵部底部的薄膜,沉積在凹陷特徵部之側壁上的薄膜的品質較差。此種不佳的品質可由側壁處之薄膜比特徵部頂部附近或是底部的薄膜具有較高濕式蝕刻率而得證。高濕式蝕刻率係與低密度、低品質薄膜相關聯。
圖1A顯示一特徵部150,其排列有透過傳統ALD方法所沉積之矽氧化物152。此圖之左邊部分顯示沉積完成之矽氧化物152,而圖的右邊部分則顯示在執行濕式蝕刻之後的矽氧化物152。矽氧化物152在特徵部150的側壁上蝕刻的最快,尤其是靠近特徵部150的底部附近。這種在特徵部內的不均勻蝕刻率並不理想,且指出不均勻薄膜品質。
在此並不想被理論或動作機制所限制,吾人相信在傳統PEALD方法中,在特徵部的側壁及特徵部的其他區域之間的薄膜品質差異可能是因離子定向性而發生。當基板暴露至電漿時,出現在電漿中的離子係以一種實質線性的方式移動而衝擊基板表面。此等離子可能提供將前驅物材料轉換成高品質薄膜的能量。由於該特徵部為凹陷狀,而離子係以實質線性方式移動,故靠近特徵部場域附近之衝擊到基板的離子數乃遠高於在特徵部底部及側壁處。因特徵部的幾何形狀,其底部及側壁係被有效地遮蔽了。此種差異可能導致選擇性地使靠近特徵部頂部附近的薄膜更緻密化,而使在側壁及底部(尤其是側壁)的薄膜則較不緻密化。且,又是因為凹陷特徵部的幾何尺寸,由離子撞擊側壁及底部所輸送的能量乃低於離子撞擊特徵部之其他區域所輸送的能量。如此可導致在側壁發生之反應與其他區域不同。另一個因素可能是電漿中出現的相關離子及自由基數量。此處所揭露之反應參數可用來減輕這些影響並產出具有較均勻特性之薄膜。
此處所描述之處理係用來產出矽氧化物薄膜。除非文章中有明確提及,矽氧化物一詞係涵蓋主要由矽及氧之化學計量與非化學計量的固態組成。矽氧化物薄膜可能有各種形態,包含各種結晶度、粗糙度等。
圖1B顯示一流程圖,說明根據一基線傳統ALD技術之薄膜沉積方法。方法100開始於操作102,其中一基板係被接收進一反應室。該反應室可以是一單一站、也可以是複數個站(例如在某些案例中是2或4個站)。此處給予之流率及功率位準係假定使用4個站的反應器,每一站具有一300mm直徑的晶圓。許多其他的設定是可能的。該基板會被頻繁地圖案化,以在其中具有數個凹陷特徵部。在其他案例中,該基板可能未被圖案化或是平坦的。該基板可能維持在400℃以下的溫度,例如約50-400℃或是在某些案例中約300-400℃。此基板溫度相較於某些揭露實施例來說是相當冷的。反應室可以維持在約2-7 Torr之間的壓力。
在操作104中,流入一劑量的第一反應物至該反應室。該第一反應物可以約1-2 mL/min(基於反應物之預行汽化量,假定輸送至4個300 mm直徑的晶圓)的速率導入。此數字對應於基板面積每平方公尺約3.5-7.1 mL/min的流率。在此所使用者,基板面積的計算乃基於基板半徑而計算之基板的單一側的面積(也就是說,一單一300 mm晶圓的面積A=πr2 =70,700 mm2 ,或者約707cm2 ,或者約0.07m2 ,而四站的總基板面積約2830cm2 ,或者約0.28m2 )。基板面積的計算是不包含出現在晶圓上之特徵部所增加之額外表面面積。流率可針對其他尺寸之基板而作線性修改。該第一反應物可以與例如Ar或N2 的惰性載體氣體來一起導入。載體氣體之流率可介於約5-10 SLM,對應至基板面積每平方公尺為約17-36 SLM之間的流率。第一反應物導入該反應室的持續時間可以低於約1秒,例如介於約0.2-1秒。該反應物吸附至基板表面,包含適用的凹陷特徵部內。當所沉積的薄膜包含矽時,該第一反應物典型上便是含矽反應物。
接著,在操作106時清洗反應室。在某些實施例中,該清洗包含以例如Ar或N2 的無抗氣體(non-reactive gas)清掃反應室。該無抗氣體可以一突發性推力輸送,例如約20-40 SLM,對應至基板面積每平方公尺為約70-140 SLM。或者或是額外地,該清洗可以包含藉由執行抽吸來清空反應室。例如在此案例中,比起在反應物輸送期間,反應室中的壓力在抽吸期間係大幅下降。該清洗可以持續約0.2-1秒。清洗操作106的目的是為了將所有或實質上所有未吸附的第一反應物自反應室移除。在某些實施例中,該清洗/清掃可能不那麼徹底,而只有一部份的第一反應物自反應室中移除。最佳的清洗條件可能取決於所需的薄膜特性。除非另外說明,此處描述之清掃或清洗可以是部分清掃或清洗、完全清掃或清洗或是實質完全清掃或清洗。在某些實施中,此清洗/清掃可能不發生。
接著,在操作108中,流入一第二反應物至反應室。該第二反應物大致為一含氧反應物。該第二反應物可以約10-20 SLM的速率流入,此數字對應於基板面積每平方公尺約35-71 SLM的流率。第二反應物流入的持續時間可以介於約0.5-1秒。
第一反應物及第二反應物中的每一個均可以是反應物混合物。在一例中,第二反應物可包含氧及一氧化二氮兩者。由於不只一個反應物被同時輸送至反應室中,該等反應物可以在輸送之前(例如在一不同的混合容器中)或輸送之後(例如在反應室本身中)進行混合。
在操作110中,反應室中的電漿係被點燃並被暴露至基板表面。在各種實施例中,操作108及110至少部分同時(有時完全同時)發生。在一特別例子中,第二反應物係持續提供。在其他實施例中,操作108及110同時開始。在某些案例中,第二反應物可以在操作110的電漿點燃發生之前、先在操作108時預先流入反應室。在某些實施例中,第二反應物係在操作108時流入反應室,接著在操作110的電漿點燃發生之前、先被清掃/清洗出該反應室。然而在不同案例中,該清洗可以不發生。電漿暴露的步驟有時也稱之為轉換步驟,且如前所述,其可能與輸送第二反應物重疊發生。電漿暴露的步驟可能持續約0.5-1秒,相較於某些揭露實施例來說是相當短的。在某些案例中該電漿可以使用RF功率來產生,例如總共約2,000-5,000 Watts分配至四個站,每個站均有一個300 mm的晶圓於其中。此數字係對應於基板面積每平方公分約0.7-1.8 Watts的全RF電漿功率。此RF功率相較於某些揭露實施例係相當低的。用來產生電漿的RF功率可以包含低頻(LF)分量(例如約250-450 kHz)以及/或高頻(HF)分量(例如約13.56 MHz或約27 MHz)。在不同案例中僅使用HF頻率。
接著,在操作112時,電漿被排除且進行反應室清洗。如同在操作106時所談論之清洗,此可能包含反應室之清掃以及/和抽吸。當進行清掃時,會以例如Ar或N2 的無抗氣體清掃反應室,係以例如約20-40 SLM的流率流入,該數字乃對應於基板面積每平方公尺約70-140 SLM的流率。在某些案例中,該清洗乃持續進行約0.1-0.5秒。在某些案例中,並不執行此清掃/清洗。操作104-112可能沉積一具有由前驅物吸附特性所界定之厚度的薄膜(例如一單層或更少之沉積材料)。
接下來,在操作114時,進行薄膜厚度的監控。若該薄膜夠厚,方法100就會停止,該基板便準備好進行進一步處理。若該薄膜還不夠厚,方法100便繼續從操作104開始重複。可以進行額外的循環,以將該薄膜打造成所需厚度。
當使用多站反應室時,基板可在沉積期間在多個不同站之間移動。使用多站反應室是有利的,因為其可促進高產出並使成本最小化。例如,在該多個站之間可共享電漿生成設備以及管線。且當使用多站反應室時,由於每一腔室可同時處理數個基板,便只需要較少數量的處理設備就可達成相同的產出。使用多站反應器的另一個優點是空間的不一致性可以降到最低。每一站均有某些不一致之處而可影響沉積結果。當使用多站反應室時,基板會在各個不同站之間循環,每一站的不一致性便可被剩下的站所平衡/最小化。此種循環大幅地改善晶圓與晶圓之間的一致性。
多站反應室可以有兩個以上的站。在此些或其他的案例中,反應室可以有約16個或更少的站,例如約8個或更少的站。在一特殊例子中,係使用四個站。在每一站中可出現不同的基板。ALD循環可以切割成幾個階段,而該等基板便在每一組階段之間傳送到一個新站去。每一階段包含數個各別的ALD循環。在一例中,在四個站中約執行了2000次ALD循環。當以一單一基板來考量時,前500次循環可能在第一站執行,下一500次循環可能在第二個站,在更下一500次循環可能在第三站執行,而剩下的500次循環可能在第四站執行。亦可以使用額外數量的較短階段(例如每一階段/站進行100次循環)。在此例中,基板循環通過每一站數次(例如四個站總共2000次循環,每一階段100次,每一基板會經過每一站5次)。
如前所述,電漿特性對於所沉積的薄膜有很大影響。在許多實施例中,該電漿是電容耦合電漿。然而亦可以使用其他種類的電漿,例如感應耦合電漿。可以使用包含RF、DC以及微波電漿產生器的各種不同類型的電漿產生器。該電漿可以是直接電漿(也就是在反應室中產生的電漿)、或是遠端產生之電漿。圖1B以及相關的描述係關於使用基線製程窗之ALD處理。比起某些揭露實施例,此製程窗係利用了相對低的溫度、低RF功率以及/或低RF時間。
圖1C呈現一時序圖,說明如圖1B所述之ALD處理中的某些操作。尤其是圖1C顯示出處理室中之前驅物流(例如第一反應物,如含矽反應物)、反應氣體流(例如第二反應物,如含氧反應物)、RF電漿活化以及壓力。此處顯示多個階段,包含前驅物給劑階段、清洗階段、轉換階段以及後RF清洗階段。包含在虛線方塊內的部分代表一單一ALD循環。在此例中,反應氣體的輸送與RF活化同時發生。
在上下文中,某些實施例係參照「基線製程」來說明,其大致是依照圖1B及1C所呈現之處理及條件。在此等基線製程中,第一及第二反應物係輸送至四個站反應器(例如加州 Fremont的Lam Research所出之 Vector® Extreme 或 Vector® Express reactor),於其中進行反應而在300 mm晶圓上產生矽氧化物薄膜。如所說明,所揭露之實施例並不限於用在300 mm晶圓,對於熟悉本技藝者應可理解用於300 mm晶圓之處理條件可依照所使用之其他晶圓尺寸而修改。
在基線製程中,晶圓溫度為約400℃。用於基線製程中之壓力為約5-6 Torr。第一反應物(含矽反應物)係以在流率約5-10 SLM的Ar或N2 載體氣體中以約1-2 mL/min的流率輸送、持續輸送0.2-1秒。第一反應物之流動係以該反應物之預行汽化量來測量。第二反應物為例如氧以及/或一氧化二氮,並以約10-20 SLM的速率輸送,持續時間介於約0.5-1秒。且在基線製程的轉換階段期間,係提供高頻RF功率,以在13.56MHz及約5000 Watts的情況下生成電漿。該電漿係停留約0.5-1秒。
基線製程之揭露改良包含(a)在至少兩個轉換階段期間增加沉積溫度,(b)增加轉換階段期間之暴露時間,以及/或(c)增加轉換階段期間之電漿功率。落於此些改良範圍的製程並不需要上述之完全相同的基線條件。例如,他們可以使用不同的第一及第二反應物、壓力範圍(例如約 0.1-20 Torr)以及/或RF頻率範圍(約1-50 MHz)。上述範圍的提供係用於4站PECVD室,以沉積薄膜至300 mm矽晶圓上。流率及功率可依照其他尺寸之反應器而進行調整。基線製程的其他變異可例如參照圖2A而在下面揭露。
圖2A呈現一流程圖,說明根據此處所揭露之某些實施例而在半導體基板上沉積一材料層的方法200。相較於圖1B的方法100以及上述之基線製程,圖2A之方法200使用較熱的基板溫度、較高(HF)RF功率、以及較長的RF暴露時間。且,圖2A之方法200使用額外之定期電漿處理步驟,將詳述如下。
方法200始於操作202,而將一基板接收進一反應室。如前所述,反應室可以有一單一站或是複數個站。基板可頻繁地加以圖案化以具有數個凹陷特徵部於其中。在其他案例中,基板可不加以圖案化或可為平坦的。基板可維持在約435-550℃之間的溫度,例如約450-550℃之間或是約500-550℃之間。此溫度相較於基線方法是相對高的。該反應室可維持在約2-7 Torr之間的壓力。
在操作204中,第一反應物可以約1-2 mL/min(基於反應物之預行汽化量並假定單一300 mm直徑的晶圓)的流率導入。此數字對應於基板面積每平方公尺約3.5-7.1 mL/min的流率。該第一反應物可以與例如Ar或N2 的惰性載體氣體來一起導入。載體氣體之流率可介於約5-10 SLM,對應至基板面積每平方公尺為約17-36 SLM之間的流率。第一反應物導入該反應室的持續時間可以低於約1秒,例如介於約0.2-1秒。該第一反應物典型上是含矽反應物並吸附至基板表面。
接著,在操作206時清洗反應室。在某些實施例中,該清洗包含以例如Ar或N2 的無抗氣體清掃反應室。在基線方法中,該無抗氣體可以一突發性推力輸送,例如約20-40 SLM,對應至基板面積每平方公尺為約70-140 SLM。或者或是額外地,該清洗可以包含藉由執行抽吸來清空反應室。例如在此案例中,比起在反應物輸送期間,反應室中的壓力在抽吸期間係大幅下降。該清洗可以持續約0.2-1秒。
接著,在操作208中,流入一第二反應物至反應室。該第二反應物大致為一含氧反應物。該第二反應物可以約10-20 SLM的速率流入,此數字對應於基板面積每平方公尺約35-71 SLM的流率。第二反應物流入的持續時間可以介於約0.5-1秒。如前述對於基線方法的說明,第一反應物及第二反應物的每一個均可以是反應物的混合物。
在操作210中,反應室中的電漿係被點燃並被暴露至基板表面。在各種實施例中,操作208及210至少部分同時(有時完全同時)發生。操作208及210可能同時開始,或者可不同時開始。在某些案例中,第二反應物可以在操作210的電漿點燃發生之前、先在操作208時預先流入反應室。電漿暴露的步驟可能持續約2-5秒,相較於該基線方法來說是相當長的。在某些案例中該電漿可以使用RF功率來產生,例如總共約6,000-10,000 Watts分配至四個站,每個站均有一個300 mm的晶圓於其中。此數字係對應於基板面積每平方公分約 2.1-3.6 Watts的全RF電漿功率。此RF功率相較於某些揭露實施例係相當高的。在各種實施例中,用來產生電漿的RF功率僅包含高頻頻率(例如約13.56 MHz或約27 MHz)。在某些案例中僅提供約13.56 MHz的RF功率而沒有其他的頻率。
接著,在操作212時,電漿被排除且進行反應室清洗。如同在操作206時所談論之清洗,此可能包含反應室之清掃以及/和抽吸。當進行清掃時,會以例如Ar或N2 的無抗氣體清掃反應室,其係以例如約20-40 SLM的流率流入,該數字乃對應於基板面積每平方公尺約70-140 SLM的流率。在某些案例中,該清洗乃持續進行約0.1-0.5秒。在某些案例中,此清掃/清洗是選擇性的,雖然使用後電漿清洗可協助促進高品質薄膜的形成。操作204-212大致產出一單層材料層(或由孔洞材料所決定之一飽和層)的沉積,雖然在某些實施例中可能產出少於一單層材料層。
當電漿排除之後,可在操作213時進行選擇性額外的電漿處理。該電漿處理的進行可協助促進完全的氧化以及/或進一步使薄膜更緻密化。該電漿處理可能具有消除薄膜表面出現的懸空鍵(例如Si-H鍵)的效果。如下面實驗段落所顯示,該電漿處理會促進形成具有低濕式蝕刻率(與高品質薄膜相關)的薄膜。
該電漿處理乃定期執行。在某些案例中,該電漿處理乃於每一ALD循環中執行。然而,此方法會延長處理時間而因此降低產出。在某些實施中,一單一電漿處理可影響到複數個沉積材料層,故較不頻繁的間歇性/定期性電漿處理便已足夠。在某些案例中,每5個或更多個循環才進行一次電漿處理,例如每10個或更多個循環。在此些或其他案例中,每100個或更少個循環才進行一次電漿處理,例如每50個或更多個循環。在一特殊例中,每50個ALD循環才進行一次該額外的電漿處理。
用來產生處理電漿之氣體稱之為處理電漿產生氣體。在某些案例中,該處理電漿產生氣體可以包含氧、氦以及/或氬。在一例中,係使用實質純氧或實質純氦。在另一例中,係使用氧與氬的混合物。在此例中,氧:氬的相對體積流量可介於約0.5:1及2:1之間。該處理電漿產生氣體的流率介於約5-20 SLM之間,其對應於基板面積每平方公尺為約17-71 SLM。在電漿處理期間,反應室可以維持在約2-6 Torr之間的壓力。當處理電漿係透過RF產生時,該RF功率可介於總共約 1,000-5,000 Watts分配至四個站,每個站均有一個300 mm的晶圓。此數字係對應於基板面積每平方公分約 0.3-1.8 Watts的RF電漿功率。用來產生該電漿的RF功率可以包含低頻(LF)分量以及高頻(HF)分量兩者。在某些案例中僅包含LF或HF分量。
該電漿處理步驟乃於ALD循環之間進行。在電漿被排除之後,反應室可進行清洗(例如透過清掃以及/或抽吸)以排出任何不想要的氣體。該清洗可稱之為預電漿處理清洗。此預電漿處理清洗可持續進行約5-30秒,例如約20秒。接著,可以進行管線填充(line charge)一小段時間,約1-10秒(例如3秒)以使氣體輸送管線填充處理電漿產生氣體。此做法可使處理電漿產生氣體流穩定。在管線填充之後,處理電漿便在反應室中被點燃,且基板便暴露至該處理電漿約10-100秒的時間,例如約15-60秒,或介於約20-35秒之間。在某些實施例中,此操作213之額外之定期電漿處理係省略不作。
接下來,在操作214時,進行薄膜厚度的監控。若該薄膜夠厚,方法200就會停止,該基板便準備好進行進一步處理。若該薄膜還不夠厚,方法200便繼續從操作204開始重複。可以進行額外的循環,以將該薄膜打造成所需厚度。
當使用多站反應室時,基板可如上所述般地在多個不同站之間移動。
使用相對較高的沉積溫度、高RF功率、長的RF暴露持續期間以及定期電漿處理會協助促進形成具有低濕式蝕刻率及良好電性之緻密的高品質薄膜。例如矽氧化物(在300:1 BOE中)之濕式蝕刻率可能介於約0.2-0.5Å/秒,例如在某些案例中介於約0.2-0.4 Å/秒。
根據所揭露之實施例所沉積之薄膜可能具有介於約3.8-4.0的介電常數。該薄膜可能具有介於約-7.6~-12.7 MC/cm的BDV。該薄膜可能具有介於約-4.7~-10.4 V的Vfb 。該薄膜可能具有在 1 MV/cm2 少於約7.6E-10的漏電流,以及/或在 2 MV/cm2 少於約3.9E-10的漏電流。這些特性可能是平均的特性,例如基板上(靠近邊緣的一點、中心點以及中心與邊緣中間的一點)三點的平均。在某些案例中,該薄膜可能具有變異不超過約1.3V的Vfb ,例如整個基板不超過約1.3V。
如上所述,第一反應物常常為一含矽反應物而第二反應物(有時稱之為輔助反應物)常是一含氧反應物。
含矽反應物的例子包含但不限於矽烷、鹵基矽烷或氨基矽烷。矽烷包括氫及/或碳基,但不包括鹵素。矽烷的範例為甲矽烷(SiH4)、二矽烷(Si2H6)、以及像是甲基矽烷、乙基矽烷、 異丙基矽烷、t-丁基矽烷、二甲基矽烷、二乙基矽烷、二-t-丁基矽烷、丙烯基矽烷、二級-丁基矽烷、 叔己基矽烷、異戊基矽烷、t-丁基二矽烷、雙-t-丁基二矽烷、四-乙基-正-矽酸鹽(又稱為四-乙氧基-矽烷或TEOS)及其類似者的有機矽烷。鹵基矽烷包括至少一鹵基並且可以或未必包含氫及/或碳基。鹵基矽烷的範例為碘基矽烷、溴基矽烷、氯基矽烷、與氟基矽烷。雖然鹵基矽烷(尤其是氟基矽烷)可能來自會蝕刻矽材料的反應性鹵化物物種,但在此處所述的某些實施例中,含矽反應物在電漿激發時並不存在。特定的氯基矽烷為四氯基矽烷(SiCl4 )、三氯基矽烷(HSiCl3 )、二氯基矽烷(H2 SiCl2 )、單氯基矽烷(ClSiH3 )、氯基丙烯基矽烷、氯基甲基矽烷、二氯基甲基矽烷、氯基二甲基矽烷、氯基乙基矽烷、t-丁基氯基矽烷、雙-t-丁基氯基矽烷、氯基異丙基矽烷、氯基-二級-丁基矽烷、t-丁基二甲基氯基矽烷、叔己基二甲基氯基矽烷及其類似者。氨基矽烷包括鍵結於一矽原子的至少一氮原子,但也可包含氫、氧、鹵素及碳。氨基矽烷的範例為單-、雙-、三-與四-氨基矽烷(分別為(H3 Si(NH2 )4 、H2 Si(NH2 )2 、HSi(NH2 )3 與Si(NH2 )4 ),以及受替代的單-、雙-、三-與四-氨基矽烷,例如t-丁基氨基矽烷、甲基氨基矽烷、四丁基矽烷胺、雙(三級丁基氨基)矽烷(SiH2 (NHC(CH3 )3 )2 (BTBAS))、三級-丁基矽基胺甲酸酯、SiH(CH3 )-(N(CH3 )2 )2 、SiHCl-(N(CH3 )2 )2 、(Si(CH3 )2 NH)3 及類似者。氨基矽烷的進一步範例為三矽基胺(N(SiH3 )3 )。含矽反應物亦可以是兩種以上此等反應物的混合物。
含氧反應物的範例包括氧、臭氧、一氧化二氮、一氧化氮、二氧化氮、一氧化碳、二氧化碳、一氧化硫、二氧化硫、水、含氧的碳氫化物等等。含氧反應物亦可以是兩種以上此等反應物的混合物。
例如在得知此處提供之教示後,對於熟習本技藝者為明顯或可辨識者,亦可使用其他的前驅物。所揭露之製程窗亦可以用來達到矽氧化物薄膜之高品質高密度層。
在某些實施例中,額外的電漿處理以及/或所揭露之製程窗(對相對長的電漿暴露使用高功率RF,並在相對高溫下執行)可能對薄膜產生某些反效果。相較於習知/基線方法,透過所揭露之方法所形成之薄膜可能會遭受晶圓內有點高的不一致性。且,所揭露之製程窗以及相關的額外電漿處理可能會因在習知步驟中使用較長的RF電漿暴露期間以及執行額外電漿處理所花費的時間,而使產出降低。
這些問題藉由在某些實施例中形成一「雙層」而可以被處理。該雙層方法可讓與下伏層相接之處形成一高品質介面,同時最大化晶圓內一致性及產出。換句話說,雙層方法可以用來對付與所揭露之製程窗相關的某些缺點,俾使儘管用來沉積該雙層的一部份係使用相對嚴厲的處理條件,仍可維持高產出以及高一致性。
當使用雙層方法時,底層可以根據所揭露之利用高溫、高電漿功率、長的電漿暴露期間以及額外的電漿處理(如圖2A的相關描述)其中一者以上(或全部)的技術而進行沉積。此底層係與其下伏層形成一高品質的介面,且可被用為介電絕緣層(例如在深溝渠及其他上下文中)。該底層薄膜典型上具有非常良好的電性,例如崩潰電壓、平帶電壓、介電常數以及漏電流。該底層中可獲得之電性例子係提供如上。該雙層之上層可根據習知之處理方法而沉積,例如使用與圖1B描述相關之基線製程。該上層乃協助促進晶圓內的一致性,因為該基線製程典型上係提供比圖2A的處理更佳的一致性。該雙層之上層可以用來完全填充由該雙層之底層所排列之間隙。此雙層方法改善了產出,因為整體雙層中僅有一部份根據所揭露之方式(涉及較長RF時間以及選擇性額外電漿處理)進行沉積。該高品質底層可能佔有該雙層之整體厚度的約5-25%,例如介於約10-20%。該雙層在某些實施例中(例如在形成3D-NAND結構或TSV結構的文中)可能具有之整體厚度介於約700-1000Å。
圖2B顯示一流程圖,說明在基板上沉積一雙層的方法。該雙層之底層可根據揭露於上之技術(例如使用高基板溫度、高RF功率、長RF暴露期間以及額外的電漿處理等其中一個以上)來進行沉積,而該雙層之上層可根據上述之基線技術(例如使用相對較低的基板溫度、較低RF功率、較短RF暴露期間、有或沒有額外的電漿處理等其中一個以上)來進行沉積。
方法250始於操作252,其中薄膜之一底層的沉積乃利用設計用來產生具有低濕式蝕刻率之高品質緻密化薄膜的第一組ALD反應條件。該反應係例如根據圖2A之方法200來進行。一般說來,該雙層之底層的形成係利用(1)相對較高溫度(例如介於約435-550℃,或450-550℃或500-550℃),以及/或(2)相對較高功率(例如總共約6,000-10,000 Watts分配至四個站,對應於基板面積每平方公分約 2.1-3.6 Watts),以及/或(3)相對較長的RF暴露期間(例如每循環約2-5秒),以及/或(4)此處所描述之額外電漿處理。
方法250持續進行到操作254,其中薄膜之一上層的沉積乃利用設計用來產生相較於該雙層之底層具有相對較高產出且具有高度一致性之薄膜的第二組ALD反應條件。此部分的沉積係例如根據圖1B之方法100來進行。相較於該底層,上層的形成係利用(1)相對較低溫度(例如低於約400℃,例如50-400℃或300-400℃),以及/或(2)相對較低功率(例如總共約2,000-5,000 Watts分配至四個站,對應於基板面積每平方公分約 0.7-1.8 Watts),以及/或(3)相對較短的RF暴露期間(例如介於約0.5-1秒)。在形成該雙層之上層的期間,關於圖2A之操作213所描述之額外電漿處理可執行亦可不執行。在某些案例中,可省略該電漿處理以協助使產出最大化。在其他案例中,該電漿處理可用來協助確保最終雙層中所需的電性以及其他特性。該薄膜之上層可能佔有該雙層之整體厚度的約75-95%,例如介於整體厚度之約80-90%。
吾人可預期所揭露之實施例針對在凹陷特徵部處達成一致薄膜品質是有利的。然而,該方法亦可用來在其他種類的基板(例如扁平基板或具有凸出特徵部之基板)上沉積薄膜。在這些非凹陷類基板的上下文中對於改善側壁品質以及整體薄膜一致性的需求並不那麼大。
在某些實施例中,基板包含一個以上之具有約10:1或更高之深寬比的凹陷特徵部。在這些或其他案例中,特徵部的深寬比可以介於約30:1或更低,例如約25:1或更低、或20:1或更低。此比例係定義為凹陷特徵部之深度:寬度。在其他案例中,特徵部的深寬比可以更高或更低於所揭露的值。從所揭露之實施例中可預期具有深寬比介於約10:1及20:1之間的特徵部可獲得最大的好處,雖然即使是扁平晶圓亦可獲利。在某些案例中,凹陷特徵部具有介於約2-100μm的寬度,例如約5-50μm,或是5-20μm。
相較於熱生長之矽氧化物,根據此處揭露實施例所形成之薄膜具有平均濕式蝕刻率約1.2-1.6,在某些案例中例如介於約1.24-1.59。在該薄膜係形成於溝渠或其他凹陷特徵部內的情況下,其平均濕式蝕刻率係以在頂部、頂部角落、側壁的中間部分以及特徵部的底部四處的濕式蝕刻率的平均來計算(也就是這四個WERs一起平均)。相較於熱生長之矽氧化物,側壁的中間部分具有平均濕式蝕刻率約1.1-1.5,在某些案例中例如介於約1.14-1.46。特徵部側壁的中間部分的WER以及特徵部頂部的WER之間的比例(WER側壁 /WER頂部 )可以介於約1.05-1.11,在某些案例中例如介於約1.06-1.09。在其他案例中,此比例(WER側壁 /WER頂部 )乃低於約1,例如介於約 0.9-1,或是介於約 0.9-0.95。在蝕刻(例如在300:1 BOE,150秒)之後,當考慮與原始薄膜厚度相比之剩餘薄膜厚度時,該特徵部的頂部可能至少剩下約77%,該特徵部的頂部角落可能至少剩下約43%,該特徵部的中間部分可能至少剩下約78%,以及/或該特徵部的底部可能至少剩下約84%。設備
執行所揭露之方法的合適設備包含:達成該等處理程序的硬體以及一系統控制器,該控制器具有控制根據本實施例之處理操作的指令。例如在某些實施例中,該硬體可以包含一個以上之PEALD站,該等站係包含於具有一控制器之處理工具中。
圖3顯示一方塊圖,說明用來實施本揭露實施例之一示範設備。如圖中所示,反應器300包含一處理室324,其包含該反應器之其他元件,並作為容納由例如一電容類型系統所產生之電漿,該電容類型系統包含與接地加熱器方塊320一同運作之噴淋頭314。連接至匹配網路306之高頻RF產生器304及低頻RF產生器302乃連接至噴淋頭314。由匹配網路306供應之功率及頻率乃足以自處理氣體產生電漿。本發明之一實施係同時使用HFRF產生器以及LFRF產生器。在一典型的製程中,高頻RF分量大致約介於2-60 MHz;在一較佳實施例中,該HF分量為約13.56 MHz或27 MHz。低頻RF分量大致約介於250-400 kHz;在一特殊實施例中,該LF分量為約350 kHz。
在該反應器內,一晶圓支座318係支撐一基板316。該支座典型上包含一夾頭、一叉狀物以及/或升降銷,以在沉積及/或電漿處理反應期間或之間固定及移動基板。該夾頭可以是靜電夾頭、機械夾頭或各種可供業界及/或研究上使用之其他類型的夾頭。
該處理氣體乃藉由入口312而導入。複數個來源氣體管線310係連接至歧管308。該等氣體可預先混合也可不要。此處使用合適之閥調及質流控制機構來確保在該製程之沉積及電漿處理階段期間輸送正確的氣體。在此案例中,單一或複數個化學前驅物係以液體形式輸送,並使用液體流動控制機構。接著在氣體於歧管(已被加熱至高於其汽化點)內的運送期間,該氣體在到達該沉積室之前,已先被汽化並與其他處理氣體混合。
處理氣體透過出口322而排出腔室300。真空幫浦326(例如一階段或兩階段機械式乾式幫浦以及/或渦輪分子幫浦)典型上係藉由一封閉迴圈控制之流動限制裝置(例如節流閥或擺動閥)而將處理氣體抽出並維持反應器內的適當低壓。
本發明可在一多站或單站工具中實施。在特定實施例中,係使用具有四站沉積方式的300 mm Novellus VectorTM 工具或是具有六站沉積方式的200 mm SequelTM 工具。該等實施例亦可在設計用來處理450 mm基板或其他尺寸基板的設備上實施。吾人可以在每次沉積以及/或後沉積電漿處理之後將晶圓編以索引,直到完成所有需要的沉積及處理,或者也可在將晶圓編以索引之前、先在單一站中進行複數個沉積及處理。結果顯示出在兩種情況下之薄膜應力是相同的。然而在單一站中進行複數個沉積及處理實質上比起在每一次沉積以及/或處理後進行編以索引的情況較為快速。
圖4顯示一示意圖,說明一具有入站負載鎖2402及一出站負載鎖2404(其中一者或兩者可以包含一遠端電漿源)之多站處理工具2400的實施例。一機器人2406係在大氣壓力下用以自一透過盒2408所裝載之卡匣而將晶圓移動通過一大氣開口2410而至入站負載鎖2402。機器人2406係將一晶圓放置在入站負載鎖2402內的支座2412上,大氣開口2410接著關閉,然後關上負載鎖。在入站負載鎖2402包含遠端電漿源的情況時,晶圓可以在被導入處理室2414之前先暴露至負載鎖中的遠端電漿處理。且,該晶圓亦可在入站負載鎖2402中加熱,以例如移除濕氣及吸附之氣體。接著,開啟連接至處理室2414的腔室運送口2416,另一個機器人(未顯示)便將該基板放到反應器中而位於反應器中之第一站的支座上,以進行處理。雖然圖4中所說明之實施例包含負載鎖,吾人應理解在某些實施例中可以提供直接讓晶圓進入處理站。
所述之處理室2414包含四個處理站,如圖4所顯示之實施例中將其編以1到4的號碼。每一站均具有一加熱支座(第1站所示之2418)以及氣體管線入口。吾人應理解在某些實施例中,每一處理站均可以有不同或複數個目的。雖然所述之處理室2414包含4個站,吾人應瞭解根據本揭露之處理室可以具有任意適當數量的站。例如在某些實施例中,處理室可以具有5個或更多的站,而在其他實施例中,處理室可以具有3個或更少的站。
圖4亦說明一晶圓搬運系統2490之實施例,該系統係用以在處理室2414中傳送晶圓。在某些實施例中,晶圓搬運系統2490可以在不同處理站之間以及/或處理站與負載鎖之間傳送晶圓。吾人應理解任何適當的晶圓搬運系統均可使用。非限制性的例子包含晶圓旋轉料架以及晶圓搬運機器人。圖4亦說明系統控制器2450之一實施例,其係用來控制處理條件以及處理工具2400之硬體狀態。系統控制器2450可以包含一個以上之記憶體裝置2456、一個以上之大量儲存裝置2454以及一個以上之處理器2452。處理器2452可以包含一CPU或電腦、類比以及/或數位輸入/輸出連接器、步進馬達控制板等。
在某些實施例中,系統控制器2450控制了處理工具2400的所有活動。系統控制器2450執行了儲存在大量儲存裝置2454中、裝載至記憶體裝置2456中且於處理器2452中執行的系統控制軟體2458。系統控制軟體2458可以包含控制下列各者之指令:由處理工具2400所執行之一特定處理中之時序、氣體混合物、腔室以及/或站的壓力、腔室以及/或站的溫度、RF功率位準、RF頻率、基板、支座、夾頭以及/或基座位置、以及其他參數。系統控制軟體2458可以任何適當的方式配置。例如,各種處理工具元件子程序或控制物件可以被寫入該必要處理工具元件之控制操作中,以根據所揭露之方法來實現處理工具的各種處理。系統控制軟體2458可以任何適當之電腦可讀程式語言來編碼。
在某些實施例中,系統控制軟體2458可以包含輸入/輸出控制(IOC)程序指令,以控制上述的各種參數。例如,PEALD製程的每一階段可以包含一個以上由系統控制器2450所執行的指令。該等用以為一PEALD製程而設定製程條件之指令可以被包含在一相應的PEALD配方階段。在某些實施例中,該PEALD配方階段可以被依序配置,俾使PEALD製程階段的所有指令與該製程階段同時執行。
在某些實施例中,可以使用儲存在大量儲存裝置2454以及/或與系統控制器2450相關聯之記憶體裝置2456中之其他電腦軟體以及/或程式。為此目的的程式或程式區段的例子包含基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、以及電漿控制程式。
基板定位程式可以包含處理工具元件之程式碼,該元件係用來將基板裝載至支座2418上、並控制基板與處理工具2400之其他零件之間的間距。
處理氣體控制程式可以包含用以控制氣體組成以及流率的編碼,並且用以選擇性的將氣體在沉積之前先流入一個以上的處理站,以穩定處理站內的壓力。壓力控制程式可以包含用以控制處理站內壓力的編碼,其係利用調節例如該處理站之排除系統的節流閥、流入該處理站之氣體流等等。
加熱器控制程式可以包含的編碼係用以控制流至加熱基板用之加熱單元的電流。或者,加熱器控制程式可以控制輸送至基板之熱傳氣體(例如氦)的輸送。加熱器控制程式可以包含使基板溫度維持在任何所揭露之範圍內的指令。
電漿控制程式可以包含的編碼係用以設定施加至一個以上處理站中之處理電極的RF功率位準以及頻率,例如使用此處所揭露之任何RF功率位準。該電漿控制程式亦可以包含控制如上所揭露之每一電漿暴露之持續期間的編碼。
在某些實施例中,可以有一與系統控制器2450相關聯之使用者界面。該使用者界面可以包含一顯示螢幕、該設備以及/或處理條件之繪圖軟體顯示器、以及例如指向裝置、鍵盤、觸控螢幕、麥克風等的使用者輸入裝置。
在某些實施例中,由系統控制器2450所調整之參數可能與處理條件相關。非限制性的例子包含處理氣體組成及流率、溫度、壓力、電漿條件(例如RF功率位準、頻率以及暴露時間)等等。這些參數可以配方(可以利用使用者界面來輸入)的形式提供給使用者。
用以監控處理的信號可以從各種處理工具感應器而由系統控制器2450之類比以及/數位輸入連接器所提供。用於控制處理之信號可以在處理工具2400之類比及數位輸出連接器上輸出。可被監控之處理工具感應器的非限制性的例子包含質流控制器、壓力感測器(例如壓力計)、熱電偶等等。可使用合適之程式化反饋以及控制演算法處理來自這些感應器的資料以維持處理條件。
系統控制器2450可以提供程式指令以實施上述之沉積處理。該程式指令可以控制各種處理參數,例如DC功率位準、RF功率位準、RF頻率、RF電漿暴露期間、壓力、溫度等等。該指令可以控制該等參數以根據此處所述之各種實施例進行薄膜堆疊之原位沉積的操作。
上述之各種硬體及方法實施例均可以與光刻圖案化工具或製程一起使用,而例如用於半導體裝置、顯示器、LEDs、太陽光電板以及類似品的製造及生產。典型上、雖然並非必須,此等工具/製程會與一共用製造設備一起使用或執行。
薄膜之光刻圖案化典型上包含下列之一部分或所有步驟,每一步驟均使用數個可能的工具來達成:(1)利用旋塗或噴塗工具施加光阻於一工作件(例如具有矽氮化物薄膜形成於其上之一基板)上;(2)利用一熱板或加熱爐或其他合適的熱處理工具進行對該光阻的熱處理;(3)利用例如晶圓步進器之工具將該光阻暴露至可見光、UV光或X光;(4)利用例如濕式清洗台或噴霧式顯影劑的工具使該光阻顯影,以便選擇性地移除光阻並藉此使其圖案化;(5)利用乾式或電漿輔助蝕刻工具將該光阻圖案轉移至下伏之薄膜或工作件;以及(6)利用例如RF或微波電漿光阻剝除劑的工具來移除光阻。在某些實施例中,在施加光阻之前,可以先沉積可灰化硬式遮罩層(例如非晶碳層)及另一合適之硬式遮罩(例如抗反射層)。
吾人應理解此處所述之結構及/或方法本質係用以說明之用,且此些實施例或範例不應視為限制性,因為有許多變異均為可能。此處描述之特定程序或方法可能代表任意數量之處理策略其中的一或多個。因此,所述之各種動作可以所述之順序執行、或以其他順序、或同時、或在某些案例中省略的方式執行。類似地,上述之處理的順序亦可變動。
本揭露之標的包含此處所揭露之各種處理、系統及結構、以及其他特徵、功能、動作、以及/或特性、以及其他任一或全部均等物之各種新穎性及非顯而易見性之組合及次組合。實驗
實驗結果顯示出所揭露之製程窗可以用來沉積具有低濕式蝕刻率以及優良電性之高品質薄膜。
圖5顯示出於各種不同沉積條件下、在四種不同基板溫度沉積之矽氧化物薄膜的濕式蝕刻率(與熱生長矽氧化物相關)。如表1所示,條件1-4係與特定之RF功率位準、RF電漿暴露持續期間、壓力以及某些流率相關。在這些不同條件中,其他的反應參數係維持不變。為了清晰起見,該等條件係標示在圖表中每一長條的最上方。
表1
並非所有的條件均在每一溫度下測試。如圖所示,提高基板溫度會導致生成薄膜之較低濕式蝕刻率。例如,與習知基線製程之400℃相較,435℃的案例顯示出濕式蝕刻率下降4%,460℃的案例顯示出濕式蝕刻率下降8%,495℃的案例顯示出濕式蝕刻率下降13%。此相關性表示較高的基板溫度可能導致較高品質的薄膜。
圖6顯示一圖,說明矽氧化物薄膜(與熱生成矽氧化物相關)在不同RF電漿暴露期間之濕式蝕刻率。較長之RF電漿暴露期間會導致較低之濕式蝕刻率。且,以較長之RF電漿暴露期間所沉積之薄膜顯示出較低的抗壓應力。較長之RF暴露的一個缺點是會增加薄膜厚度(晶圓內以及晶圓與晶圓之間兩者)的不均勻性。尤其是較長之RF暴露期間會導致薄膜輪廓具有更厚且更傾斜的邊緣。
形成額外的薄膜並利用所揭露之選擇性電漿處理進行測試。每一受測試之電漿處理係以每50個ALD循環進行一次,持續約30秒,壓力約4 Torr,使用約2500 Watts的RF功率分配至四個站,每一站中有一300 mm的晶圓。第一電漿處理涉及將該基板暴露至氦電漿。第二電漿處理涉及將該基板暴露至氧電漿。第三電漿處理涉及將該基板暴露至氧/氬電漿(輸送之氧:氬的比例為2:1)。以該等電漿處理過的薄膜顯示出稍微較低的抗壓應力以及較低的濕式蝕刻率。以氦電漿處理過的薄膜亦顯示出較高的折射指數,其表示較為緻密的薄膜。
另一薄膜係沉積為一雙層。該雙層之底層約150Å厚,以高RF功率(6,000 Watts分配至四個站)、長的RF暴露期間(2秒)、以及高溫(495℃)並以每50個ALD循環進行一氦電漿處理而形成。該雙層之上層約為850Å厚,係根據基線製程窗所沉積而不進行任何額外電漿處理。該雙層在濕式蝕刻率、電性以及一致性(晶圓與晶圓之間以及晶圓內)上顯示出非常良好的結果。該雙層案例中的一致性比起整個薄膜都是根據所揭露之製程窗來沉積的案例改善許多。
在許多所沉積之薄膜上進行傅氏轉換紅外線光譜(FTIR)分析。在所有案例中,薄膜有利地未顯示出任何與OH鍵(在約3000 cm-1 的波數會發現的)相關的信號。此表示該揭露之電漿處理並未使薄膜降解。且,資料顯示出在使用較高溫度以及/或較長RF暴露時間時,與Si-O-Si鍵(延伸)相關之信號增強了。該信號的增強可由吸光度以及峰值波數的增加而得證。利用該選擇性電漿處理所沉積之薄膜亦可進行FTIR分析。雖然氧以及氧/氬電漿處理確實在FTIR結果中顯示出影響,氦電漿處理卻使Si-O-Si信號還原。
每一薄膜亦被測試其電性,包含崩潰電壓(BDV)、平帶電壓(Vfb )、介電常數(k)以及漏電流。每一薄膜均以在晶圓上的三點(邊緣、中央、以及邊緣到中央之間的一點)來作測試。該電性(BDV、Vfb 以及漏電流)、以及該電性之晶圓內一致性會隨著更高的溫度以及更久的RF暴露期間而改善。該氧以及氧/氬電漿處理改善了漏電流以及晶圓內電性一致性,此由整個晶圓之Vfb 的緊密分佈而得證。氧/氬電漿處理會導致具有優異電性一致性的薄膜。比起其他的電漿處理,由定期的氦電漿處理所形成之薄膜顯示出具有較低BVD、較高漏電流以及整個基板上Vfb 的較大範圍之稍微較差的電性。
圖7A呈現測試用晶圓700的兩個圖,該測試用晶圓700具有一矽氧化物層702沉積於其上。該測試用晶圓包含由該矽氧化物702所排列之數個溝渠704。其頂部、頂部角落、側壁以及底部的位置均標示於圖上。該矽氧化物702的沉積乃根據基線製程窗於400℃、在四個站中使用5000 Watts全RF功率、以及0.5秒的RF暴露期間而形成。圖7A上部的圖呈現出已沉積矽氧化物702的測試用晶圓700。圖7A下部的圖呈現出矽氧化物702已暴露至濕式蝕刻製程之後的測試用晶圓700。該蝕刻製程涉及將該測試用晶圓700浸於緩衝氧化物蝕刻(BOE)溶液(BOE:水 300:1)約150秒的時間。
表2說明顯示於圖7A中與測試用晶圓700相關之蝕刻結果。
表2
相較於薄膜之任意其他部分之WER,側壁處之WER是非常高的。薄膜之頂部顯示出最低的濕式蝕刻率,接著是底部、再來是頂部角落、最後是側壁。
圖7B呈現測試用晶圓710的圖,該測試用晶圓710具有一矽氧化物層712沉積於其上。如同圖7A,該測試用晶圓710包含由該矽氧化物712所排列之數個溝渠714。上部的圖呈現出已沉積的測試用晶圓710,而下部的圖呈現出與上述圖7A相關之濕式蝕刻製程之後的測試用晶圓710。該矽氧化物712係根據落於所揭露製程窗之一實施例而沉積。具體來說,矽氧化物712係於495℃、使用6000 Watts全功率分配至四個站中、以及使用2秒的暴露期間而沉積。
表3說明顯示於圖7B中與測試用晶圓710相關之蝕刻結果。
表3
相較於圖7A之溝渠704顯示出之結果,在此例中,溝渠714的各個不同部分的濕式蝕刻率遠較為一致。溝渠714之頂部角落相當薄,可能是因為沉積時的濺鍍。此等濺鍍以及薄的角落在某些文中並不是特別的問題,雖然在其他文中角落濺鍍應保持在最少量。越往特徵部底部WER越低。具體而言,特徵部的頂部以及頂部角落顯示出大約相同的WER,其乃高出側壁處之WER,而側壁之WER又高出底部的WER。
圖7C呈現測試用晶圓720的圖,該測試用晶圓720具有一矽氧化物層722沉積於其上。如同圖7A,該測試用晶圓720包含由該矽氧化物722所排列之數個溝渠724。上部的圖呈現出已沉積的測試用晶圓720,而下部的圖呈現出與上述圖7A相關之濕式蝕刻製程之後的測試用晶圓720。該矽氧化物722係根據落於所揭露製程窗之一實施例而沉積。具體來說,矽氧化物722係於495℃、使用6000 Watts全功率分配至四個站中、以及使用5秒的暴露期間而沉積。
表4說明顯示於圖7C中與測試用晶圓720相關之蝕刻結果。
表4
如圖7C所示,矽氧化物722在靠近溝渠724頂部角落處係沉積的非常的薄。吾人相信在薄膜沉積期間對該矽氧化物及其下伏之矽氮化物兩者發生了強烈的濺鍍。溝渠724之側壁及底部沉積的較厚,表示從頂部及頂部角落部分濺鍍掉的材料又重新沉積在側壁及底部部分。由於較長的暴露時間會導致濺鍍增加,吾人應小心確保所選擇之RF暴露期間不會過度濺鍍薄膜。最佳的RF暴露期間乃與特定的前驅物、流率、RF功率、以及其他用於沉積時的因子相關。在此例中,頂部角落係於濕式蝕刻製程中完全被蝕刻。
圖7D呈現測試用晶圓730的圖,該測試用晶圓730具有一矽氧化物層732沉積於其上。如同圖7A,該測試用晶圓730包含由該矽氧化物732所排列之數個溝渠734。上部的圖呈現出已沉積的測試用晶圓730,而下部的圖呈現出與上述圖7A相關之濕式蝕刻製程之後的測試用晶圓730。該矽氧化物732係根據落於所揭露製程窗之一實施例而沉積。具體來說,矽氧化物732係於約495℃、使用6000 Watts全功率分配至四個站中、以及使用2秒的暴露期間而沉積。矽氧化物732係於每50個ALD循環使用一氦電漿處理而沉積。
表5說明顯示於圖7D中與測試用晶圓730相關之蝕刻結果。
表5
在此例中,矽氧化物732的頂部角落顯示出在沉積期間的濺鍍信號,雖然比起圖7C中的矽氧化物薄膜722的程度較小。越朝向特徵部底部,其濕式蝕刻率越低。溝渠734之頂部部分顯示出最高的WER,接著是頂部角落、最來是側壁,最後是底部。
圖8彙整了顯示於圖7A-7D以及表2-5的結果。
100‧‧‧方法
102、104、106、108、110、112、114‧‧‧操作
150‧‧‧特徵部
152‧‧‧矽氧化物
200‧‧‧方法
202、204、206、208、210、212、213、214‧‧‧操作
250‧‧‧方法
252、254‧‧‧操作
300‧‧‧反應器
302‧‧‧LFRF產生器
304‧‧‧HFRF產生器
306‧‧‧匹配網路
308‧‧‧歧管
310‧‧‧來源氣體管線
312‧‧‧入口
314‧‧‧噴淋頭
316‧‧‧基板
318‧‧‧晶圓支座
320‧‧‧加熱器方塊
322‧‧‧出口
324‧‧‧處理室
326‧‧‧真空幫浦
2400‧‧‧多站處理工具
2402‧‧‧入站負載鎖
2404‧‧‧出站負載鎖
2406‧‧‧機器人
2408‧‧‧盒
2410‧‧‧大氣開口
2412‧‧‧支座
2414‧‧‧處理室
2416‧‧‧腔室運送口
2418‧‧‧加熱支座
2450‧‧‧系統控制器
2452‧‧‧處理器
2454‧‧‧大量儲存裝置
2456‧‧‧記憶體裝置
2458‧‧‧系統控制軟體
2490‧‧‧晶圓搬運系統
700、710、720、730‧‧‧測試用晶圓
702、712、722、732‧‧‧矽氧化物
704、714、724、734‧‧‧溝渠
圖1A顯示一特徵部,其排列有具有不均勻薄膜品質的矽氧化物;
圖1B顯示一流程圖,說明根據基線原子層沉積方案之一薄膜沉積方法;
圖1C描述一時序圖,說明根據某些實施例來進行原子層沉積反應;
圖2A顯示一流程圖,說明根據一原子層沉積方案之某些揭露實施例來進行薄膜沉積的方法;
圖2B顯示一流程圖,說明根據某些實施例來進行沉積雙層膜的方法;
圖3顯示一簡化圖,說明根據某些實施例之一單一站反應室;
圖4顯示根據某些揭露實施例之用以在基板上沉積薄膜之多工具半導體處理設備;
圖5顯示一圖,說明在不同溫度下所產生之薄膜的濕式蝕刻率;
圖6顯示一圖,說明在不同RF電漿暴露時間下所產生之薄膜的濕式蝕刻率;
圖7A-7D顯示在各種沉積條件下沉積完成及蝕刻後之矽氧化物薄膜;
圖8總結了見於圖7A-7D之蝕刻結果。
150‧‧‧特徵部
152‧‧‧矽氧化物

Claims (37)

  1. 一種在單一或多站反應室內之基板表面上沉積矽氧化物薄膜的方法,其步驟包含: (a)在容許含矽反應物吸附至該基板之該表面的條件下,流入汽相之該含矽反應物至該反應室; (b) 在(a)步驟之後,流入汽相之一含氧反應物至該反應室,並將該基板之該表面暴露至電漿中,以驅動該含矽反應物以及該含氧反應物之間的表面反應,以形成該矽氧化物薄膜, 其中流入該含氧反應物至該反應室以及將該基板之該表面暴露至該電漿中的步驟至少部分同時發生, 且其中該基板之溫度在步驟(a)及(b)期間係維持在約435-550℃之間, 且其中該電漿的產生係使用基板面積每一平方公分約2.1-3.6 Watts的全RF功率,且 其中該基板之該表面係暴露至步驟(b)的該電漿中約2-5秒的持續時間;以及 (c) 重複步驟(a)及(b)直到該矽氧化物薄膜達到一目標厚度。
  2. 如申請專利範圍第1項之在單一或多站反應室內之基板表面上沉積矽氧化物薄膜的方法,其中該矽氧化物薄膜乃形成於具有深寬比約10或更高的凹陷特徵部內。
  3. 如申請專利範圍第2項之在單一或多站反應室內之基板表面上沉積矽氧化物薄膜的方法,其中該凹陷特徵部具有介於約5-50μm的寬度。
  4. 如申請專利範圍第3項之在單一或多站反應室內之基板表面上沉積矽氧化物薄膜的方法,其中該凹陷特徵部為一矽通孔(TSV)。
  5. 如申請專利範圍第3項之在單一或多站反應室內之基板表面上沉積矽氧化物薄膜的方法,其中該凹陷特徵部形成一3D NAND結構的一部份。
  6. 如申請專利範圍第1項之在單一或多站反應室內之基板表面上沉積矽氧化物薄膜的方法,其步驟更包含在停止流入該含矽反應物之後,執行後反應物清洗,並在將該基板之該表面暴露至該電漿之後、執行後電漿清洗。
  7. 如申請專利範圍第6項之在單一或多站反應室內之基板表面上沉積矽氧化物薄膜的方法,其中在步驟(a)中該含矽反應物流入該反應室約0.2-1秒的持續時間,其中該後反應物清洗的持續時間約0.2-1秒,其中流入該含氧反應物至該反應室以及將該基板之該表面暴露至該電漿中的步驟持續約0.5-1秒,且其中該後電漿清洗的步驟持續約0.1-0.5秒。
  8. 如申請專利範圍第1項到第7項其中任一項之在單一或多站反應室內之基板表面上沉積矽氧化物薄膜的方法,其中該含矽反應物包含經胺基取代之矽烷,而其中該含氧反應物包含至少下列之一:氧、一氧化二氮、臭氧、一氧化碳、一氧化氮、二氧化氮、硫氧化物、二氧化硫、含氧碳氫化合物、水以及前述之混合物。
  9. 如申請專利範圍第8項之在單一或多站反應室內之基板表面上沉積矽氧化物薄膜的方法,其中該經胺基取代之矽烷包含BTBAS。
  10. 如申請專利範圍第9項之在單一或多站反應室內之基板表面上沉積矽氧化物薄膜的方法,其中在步驟(a)及(b)期間,該反應室內之壓力乃維持在約2-6 Torr。
  11. 如申請專利範圍第1項到第7項其中任一項之在單一或多站反應室內之基板表面上沉積矽氧化物薄膜的方法,其中該矽氧化物薄膜在靠近該凹陷特徵部的頂部開口附近的場域展現出第一濕式蝕刻率、在該凹陷特徵部的頂部角落附近展現出第二濕式蝕刻率、在該凹陷特徵部的一側壁的中間展現出第三濕式蝕刻率、而在該凹陷特徵部的底部展現出第四濕式蝕刻率,其中該第一濕式蝕刻率係等於或大於該第三濕式蝕刻率。
  12. 如申請專利範圍第11項之在單一或多站反應室內之基板表面上沉積矽氧化物薄膜的方法,其中該第一濕式蝕刻率係等於或大於該第二濕式蝕刻率、其中該第二濕式蝕刻率係等於或大於該第三濕式蝕刻率、其中該第三濕式蝕刻率係等於或大於該第四濕式蝕刻率。
  13. 如申請專利範圍第11項之在單一或多站反應室內之基板表面上沉積矽氧化物薄膜的方法,其中該第一濕式蝕刻率、該第二濕式蝕刻率、該第三濕式蝕刻率以及該第四濕式蝕刻率彼此之間的差異不大於約15%。
  14. 如申請專利範圍第1項到第7項其中任一項之在單一或多站反應室內之基板表面上沉積矽氧化物薄膜的方法,其中該矽氧化物薄膜具有一介於約-7.6至-12.7MC/cm之間的破壞電壓。
  15. 如申請專利範圍第1項到第7項其中任一項之在單一或多站反應室內之基板表面上沉積矽氧化物薄膜的方法,其中該矽氧化物薄膜展現出一平帶電壓,該平帶電壓在該整個基板中的差異不大於約1.3V。
  16. 如申請專利範圍第1項到第7項其中任一項之在單一或多站反應室內之基板表面上沉積矽氧化物薄膜的方法,其步驟更包含定期將該基板之該表面暴露至一電漿處理,該電漿處理包含: 自一處理電漿產生氣體產生一處理電漿; 將該基板之該表面暴露至該處理電漿約10-100秒之間的時間,以藉此使該矽氧化物薄膜更緻密化。
  17. 如申請專利範圍第16項之在單一或多站反應室內之基板表面上沉積矽氧化物薄膜的方法,其中該處理電漿產生氣體包含氧。
  18. 如申請專利範圍第17項之在單一或多站反應室內之基板表面上沉積矽氧化物薄膜的方法,其中該處理電漿產生氣體更包含氬,且其中以每分鐘標準公升(SLM)量測,該處理電漿產生氣體中之氧:氬的比例介於約0.5:1及2:1之間。
  19. 如申請專利範圍第16項之在單一或多站反應室內之基板表面上沉積矽氧化物薄膜的方法,其中該處理電漿產生氣體包含氦。
  20. 如申請專利範圍第16項之在單一或多站反應室內之基板表面上沉積矽氧化物薄膜的方法,其中該處理電漿產生氣體的流率約5-20 SLM之間,且其中當該基板之該表面暴露至該處理電漿時,該反應室中的壓力係維持在約2-6 Torr之間。
  21. 如申請專利範圍第16項之在單一或多站反應室內之基板表面上沉積矽氧化物薄膜的方法,其中該處理電漿的產生係使用基板面積每一平方公分約0.3-1.8 Watts的全RF功率。
  22. 如申請專利範圍第1項到第7項其中任一項之在單一或多站反應室內之基板表面上沉積矽氧化物薄膜的方法,其步驟更包含: (d)在步驟(c)之後,在容許一第二含矽反應物吸附至該基板之該表面的條件下,流入汽相之該第二含矽反應物至該反應室; (e) 在(d)步驟之後,流入汽相之一第二含氧反應物至該反應室,並將該基板之該表面暴露至一第二電漿中,以驅動該第二含矽反應物以及該第二含氧反應物之間的表面反應,以形成一第二矽氧化物薄膜, 其中流入該第二含氧反應物至該反應室以及將該基板之該表面暴露至該第二電漿中的步驟至少部分同時發生, 且其中該基板之溫度在步驟(d)及(e)期間係維持在約400℃以下, 且其中該第二電漿的產生係使用基板面積每一平方公分約0.7-1.8 Watts的全RF功率,且該RF功率僅以高頻RF提供, 其中該基板之該表面係暴露至步驟(e)的該第二電漿中約0.5-1秒的持續時間;以及 (f) 重複步驟(d)及(e)直到該第二矽氧化物薄膜達到一第二目標厚度。
  23. 一種在半導體基板上形成矽氧化物雙層膜的方法,其步驟包含: (a)透過一原子層沉積反應而在一第一溫度下於該基板上形成一第一矽氧化物層,該原子層沉積反應係涉及在一第一RF功率下產生一第一電漿、且定期將該第一電漿暴露至該基板持續一第一持續期間,且 (b)透過一第二原子層沉積反應而在一第二溫度下於該第一矽氧化物層上形成一第二矽氧化物層,該第一矽氧化物層與該第二矽氧化物層共同形成該矽氧化物雙層膜,其中該第二矽氧化物層的形成係涉及在一第二RF功率下產生一第二電漿、且定期將該第二電漿暴露至該基板持續一第二持續期間,其中該第一溫度較該第二溫度高,且其中該第一RF功率大於該第二RF功率,且其中該第一持續期間較該第二持續時間長,且其中該第一矽氧化物層具有較該第二矽氧化物層低的濕式蝕刻率。
  24. 如申請專利範圍第23項之在半導體基板上形成矽氧化物雙層膜的方法,其中該第一矽氧化物層的厚度呈現為該矽氧化物雙層膜之整體厚度的約20%或更薄。
  25. 如申請專利範圍第23項之在半導體基板上形成矽氧化物雙層膜的方法,其中該第一RF功率乃介於基板面積每一平方公分約2.1-3.6 Watts之間,且其中該第一持續期間為約2-5秒。
  26. 如申請專利範圍第23項到第25項其中任一項之在半導體基板上形成矽氧化物雙層膜的方法,其中該第一溫度乃介於約435-550℃之間,而該第二溫度則是在約400℃以下。
  27. 一種在基板表面沉積矽氧化物薄膜的設備,該設備包含: 一反應室; 一電漿源,供應該反應室中的電漿; 一或多個入口,用以提供一含矽反應物以及一含氧反應物至該反應室; 一基板支架,用以支撐該反應室中之該基板;以及 一控制器,其具有指令用以: (a)在容許該含矽反應物吸附至該基板之該表面的條件下,流入汽相之該含矽反應物至該反應室; (b)在(a)步驟之後,流入汽相之該含氧反應物至該反應室,並將該基板之該表面暴露至電漿中,以驅動該含矽反應物以及該含氧反應物之間的表面反應,以形成該矽氧化物薄膜, 其中該控制器具有指令,以將流入該含氧反應物的步驟以及將該基板之該表面暴露至該電漿中的步驟兩者至少部分同時發生, 且其中該控制器具有指令,以使該基板之溫度在步驟(a)及(b)期間維持在約435-550℃之間, 且其中該控制器具有指令,以使電漿的產生使用基板面積每一平方公分約2.1-3.6 Watts的全RF功率,且僅使用高頻RF來產生電漿,以及 其中該控制器具有指令,使該基板之該表面在步驟(b)中係暴露至該電漿中約2-5秒的持續時間;以及 (c)重複步驟(a)及(b)直到該矽氧化物薄膜達到一目標厚度。
  28. 如申請專利範圍第27項之在基板表面沉積矽氧化物薄膜的設備,其中該控制器更具有指令,以在停止流入該含矽反應物之後且在開始流入該含氧反應物之前,執行清洗該反應室,且在將該基板之該表面暴露至該電漿之後、清洗該反應室。
  29. 如申請專利範圍第28項之在基板表面沉積矽氧化物薄膜的設備,其中該控制器具有指令,以在步驟(a)將該含矽反應物流入該反應室約0.2-1秒之間的持續時間,之後在步驟(a)及(b)之間清洗該反應室約0.2-1秒的持續時間,在步驟(b)中流入該含氧反應物至該反應室以及將該基板之該表面暴露至電漿持續約0.5-1秒,在步驟(b)之後清洗該反應室約0.1-0.5秒的持續時間。
  30. 如申請專利範圍第27項之在基板表面沉積矽氧化物薄膜的設備,其中該控制器具有指令,在步驟(a)及(b)期間,使該反應室內的壓力維持在約2-6 Torr之間。
  31. 如申請專利範圍第27項到第30項中任一項之在基板表面沉積矽氧化物薄膜的設備,其中該控制器更具有指令,使該基板之該表面藉由下列步驟暴露至一電漿處理: 自一處理電漿產生氣體產生一處理電漿; 將該基板之該表面暴露至該處理電漿約10-100秒的持續時間,以藉此使該矽氧化物薄膜更緻密化。
  32. 如申請專利範圍第31項之在基板表面沉積矽氧化物薄膜的設備,其中該控制器具有指令,使該處理電漿的產生係使用基板面積每一平方公分約0.3-1.8 Watts的全RF功率。
  33. 如申請專利範圍第27項到第30項中任一項之在基板表面沉積矽氧化物薄膜的設備,其中該反應室中具有複數個站。
  34. 如申請專利範圍第27項到第30項中任一項之在基板表面沉積矽氧化物薄膜的設備,其中該控制器更具有指令,進行: (d)在步驟(c)之後,在容許一第二含矽反應物吸附至該基板之該表面的條件下,流入汽相之該第二含矽反應物至該反應室; (e) 在(d)步驟之後,流入汽相之一第二含氧反應物至該反應室,並將該基板之該表面暴露至一第二電漿中,以驅動該第二含矽反應物以及該第二含氧反應物之間的表面反應,以形成一第二矽氧化物薄膜, 其中該控制器具有指令,使流入該第二含氧反應物至該反應室以及將該基板之該表面暴露至該第二電漿中的步驟至少部分同時發生, 且其中該控制器具有指令,使該基板之溫度在步驟(d)及(e)期間係維持在約400℃以下, 且其中該控制器具有指令,使該第二電漿的產生係使用基板面積每一平方公分約0.7-1.8 Watts的全RF功率,且僅使用高頻RF,以及 其中該控制器具有指令,使該基板之該表面在步驟(e)中暴露至該第二電漿中約0.5-1秒的持續時間;以及 (f) 重複步驟(d)及(e)直到該第二矽氧化物薄膜達到一第二目標厚度。
  35. 一種在半導體基板上形成矽氧化物雙層膜的設備,其包含: 一反應室; 一電漿源,供應該反應室中的電漿; 一或多個入口,用以提供一含矽反應物以及一含氧反應物至該反應室; 一基板支架,用以支撐該反應室中之該基板;以及 一控制器,其具有指令用以: (a)透過一第一原子層沉積反應而在一第一溫度下於該基板上形成一第一矽氧化物層,該第一原子層沉積反應係涉及在一第一RF功率下產生一第一電漿、且定期將該第一電漿暴露至該基板持續一第一持續期間,且 (b)透過一第二原子層沉積反應而在一第二溫度下於該第一矽氧化物層上形成一第二矽氧化物層,該第一矽氧化物層與該第二矽氧化物層共同形成該矽氧化物雙層膜,其中該第二矽氧化物層的形成係涉及在一第二RF功率下產生一第二電漿、且定期將該第二電漿暴露至該基板持續一第二持續期間,其中該第一溫度較該第二溫度高,且其中該第一RF功率大於該第二RF功率,且其中該第一持續期間較該第二持續時間長,且其中該第一矽氧化物層具有較該第二矽氧化物層低的濕式蝕刻率。
  36. 如申請專利範圍第35項之在半導體基板上形成矽氧化物雙層膜的設備,其中該控制器具有指令,以沉積該雙層膜,俾使該第一矽氧化物層的厚度呈現為該矽氧化物雙層膜之整體厚度的約20%或更薄。
  37. 一種在半導體基板上形成矽氧化物膜的設備,其包含: 一反應室; 一電漿源,供應該反應室中的電漿; 一或多個入口,用以提供一含矽反應物以及一含氧反應物至該反應室; 一基板支架,用以支撐該反應室中之該基板;以及 一控制器,其具有指令用以根據申請專利範圍第1項至第26項中的任一方法而將該該矽氧化物薄膜沉積於該基板上。
TW104122669A 2014-07-18 2015-07-14 矽氧化物之沉積方法 TWI679701B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/335,785 US9685320B2 (en) 2010-09-23 2014-07-18 Methods for depositing silicon oxide
US14/335,785 2014-07-18

Publications (2)

Publication Number Publication Date
TW201618189A true TW201618189A (zh) 2016-05-16
TWI679701B TWI679701B (zh) 2019-12-11

Family

ID=55075155

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104122669A TWI679701B (zh) 2014-07-18 2015-07-14 矽氧化物之沉積方法

Country Status (3)

Country Link
US (1) US9685320B2 (zh)
KR (2) KR102443554B1 (zh)
TW (1) TWI679701B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11081340B2 (en) 2018-01-15 2021-08-03 Applied Materials, Inc. Argon addition to remote plasma oxidation
TWI811409B (zh) * 2018-07-27 2023-08-11 日商東京威力科創股份有限公司 電漿處理方法及電漿處理裝置

Families Citing this family (353)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP6159172B2 (ja) * 2013-06-26 2017-07-05 東京エレクトロン株式会社 温度制御方法及びプラズマ処理装置
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9802828B2 (en) * 2014-10-03 2017-10-31 Applied Materials, Inc. Precursors suitable for high temperature atomic layer deposition of silicon-containing films
US9875888B2 (en) * 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US10096464B2 (en) * 2014-10-04 2018-10-09 Applied Materials, Inc. Atomic layer deposition of high density silicon dioxide
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
JP6456764B2 (ja) * 2015-04-28 2019-01-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9607837B1 (en) * 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9698042B1 (en) 2016-07-22 2017-07-04 Lam Research Corporation Wafer centering in pocket to improve azimuthal thickness uniformity at wafer edge
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
JP6456893B2 (ja) * 2016-09-26 2019-01-23 株式会社Kokusai Electric 半導体装置の製造方法、記録媒体および基板処理装置
US10049869B2 (en) * 2016-09-30 2018-08-14 Lam Research Corporation Composite dielectric interface layers for interconnect structures
US9824884B1 (en) 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) * 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) * 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) * 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
JP6956592B2 (ja) * 2017-10-31 2021-11-02 東京エレクトロン株式会社 シリコン酸化膜を形成する方法および装置
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US20190189447A1 (en) * 2017-12-19 2019-06-20 Lam Research Corporation Method for forming square spacers
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10446394B2 (en) * 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) * 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US20190345608A1 (en) * 2018-05-08 2019-11-14 Lam Research Corporation Method of providing a plasma atomic layer deposition
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
JP7073924B2 (ja) * 2018-06-06 2022-05-24 東京エレクトロン株式会社 原子層成長法を用いて基板上に薄膜を成膜する方法、または装置
US20190378725A1 (en) * 2018-06-08 2019-12-12 Lam Research Corporation Method for transferring a pattern from an organic mask
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
JP7494209B2 (ja) * 2019-05-01 2024-06-03 ラム リサーチ コーポレーション 調整された原子層堆積
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
WO2021092197A1 (en) * 2019-11-08 2021-05-14 Lam Research Corporation Plasma-enhanced atomic layer deposition with radio-frequency power ramping
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US20220157602A1 (en) * 2020-11-18 2022-05-19 Applied Materials, Inc. Silicon oxide gap fill using capacitively coupled plasmas
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114622183A (zh) * 2020-12-11 2022-06-14 湖南红太阳光电科技有限公司 一种制备氧化硅薄膜的方法
JP2022095428A (ja) * 2020-12-16 2022-06-28 東京エレクトロン株式会社 成膜方法及び成膜装置
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US20230030436A1 (en) * 2021-07-30 2023-02-02 Applied Materials, Inc. Plasma treatment process to densify oxide layers
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (306)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4843472A (zh) 1971-10-04 1973-06-23
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
JPH0293071A (ja) 1988-09-29 1990-04-03 Toshiba Corp 薄膜の形成方法
TW201848B (zh) 1991-11-08 1993-03-11 Advanced Micro Devices Inc
US5223443A (en) 1992-02-19 1993-06-29 Integrated Device Technology, Inc. Method for determining wafer cleanliness
JPH06177120A (ja) 1992-10-27 1994-06-24 Sony Corp 層間絶縁膜の形成方法
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US5593914A (en) 1996-03-19 1997-01-14 Radiant Technologies, Inc. Method for constructing ferroelectric capacitor-like structures on silicon dioxide surfaces
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US6069058A (en) 1997-05-14 2000-05-30 United Semiconductor Corp. Shallow trench isolation for semiconductor devices
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US6100202A (en) 1997-12-08 2000-08-08 Taiwan Semiconductor Manufacturing Company Pre deposition stabilization method for forming a void free isotropically etched anisotropically patterned doped silicate glass layer
US6509601B1 (en) 1998-07-31 2003-01-21 Samsung Electronics Co., Ltd. Semiconductor memory device having capacitor protection layer and method for manufacturing the same
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6218293B1 (en) 1998-11-13 2001-04-17 Micron Technology, Inc. Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
AU3229600A (en) 1999-02-12 2000-08-29 Gelest, Inc. Chemical vapor deposition of tungsten nitride
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
KR100356473B1 (ko) 1999-12-29 2002-10-18 주식회사 하이닉스반도체 반도체 소자의 알루미늄 옥사이드 박막 형성 방법
JP3437832B2 (ja) 2000-03-22 2003-08-18 東京エレクトロン株式会社 成膜方法及び成膜装置
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US20030008070A1 (en) 2001-06-12 2003-01-09 Applied Materials,Inc Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
EP1292970B1 (en) 2000-06-08 2011-09-28 Genitech Inc. Thin film forming method
KR100721503B1 (ko) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US20050230047A1 (en) 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
JP2002134497A (ja) 2000-10-23 2002-05-10 Sony Corp 半導体装置の製造方法
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
JP3437830B2 (ja) 2000-11-28 2003-08-18 東京エレクトロン株式会社 成膜方法
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
WO2002080244A2 (en) 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP2003045864A (ja) 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
EP1421606A4 (en) 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
EP1485513A2 (en) 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US7374617B2 (en) 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100472777B1 (ko) 2002-06-26 2005-03-10 동부전자 주식회사 박막 적층 방법
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6784049B2 (en) 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6730164B2 (en) 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
WO2004032196A2 (en) 2002-10-03 2004-04-15 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
CN101572232B (zh) 2002-12-20 2011-12-21 应用材料有限公司 形成高质量的低温氮化硅层的方法
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US6890656B2 (en) 2002-12-20 2005-05-10 General Electric Company High rate deposition of titanium dioxide
KR100546852B1 (ko) 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6930058B2 (en) 2003-04-21 2005-08-16 Micron Technology, Inc. Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge
KR101191222B1 (ko) 2003-04-23 2012-10-16 아익스트론 인코포레이티드 트랜지언트 강화 원자층 증착
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6765303B1 (en) 2003-05-06 2004-07-20 Advanced Micro Devices, Inc. FinFET-based SRAM cell
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
KR100500472B1 (ko) 2003-10-13 2005-07-12 삼성전자주식회사 리세스 게이트 트랜지스터 구조 및 형성방법
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
US7088003B2 (en) 2004-02-19 2006-08-08 International Business Machines Corporation Structures and methods for integration of ultralow-k dielectrics with improved reliability
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
KR100538096B1 (ko) 2004-03-16 2005-12-21 삼성전자주식회사 원자층 증착 방법을 이용하는 커패시터 형성 방법
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7449345B2 (en) 2004-06-15 2008-11-11 Headway Technologies, Inc. Capping structure for enhancing dR/R of the MTJ device
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7148155B1 (en) * 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
JP4701691B2 (ja) 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US7365027B2 (en) 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7176084B2 (en) 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
KR100734748B1 (ko) 2005-09-08 2007-07-03 주식회사 아이피에스 인시튜 질화물(in-situ nitride) 박막증착방법
US20070065576A1 (en) 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20070087581A1 (en) 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US7524743B2 (en) 2005-10-13 2009-04-28 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
JPWO2007043709A1 (ja) 2005-10-14 2009-04-23 日本電気株式会社 半導体装置の製造方法およびその製造装置
US7897217B2 (en) 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
US20110198756A1 (en) 2005-11-28 2011-08-18 Thenappan Ue Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
JP2007180362A (ja) 2005-12-28 2007-07-12 Toshiba Corp 半導体装置
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
TWI424498B (zh) 2006-03-31 2014-01-21 Applied Materials Inc 用以改良介電薄膜之階梯覆蓋與圖案負載的方法
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
JP2007287890A (ja) 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法、プラズマcvd装置
JP2007287889A (ja) 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
EP2032738A1 (en) 2006-06-16 2009-03-11 Fuji Film Manufacturing Europe B.V. Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma
US20080014759A1 (en) 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8366953B2 (en) 2006-09-19 2013-02-05 Tokyo Electron Limited Plasma cleaning method and plasma CVD method
JP5258229B2 (ja) 2006-09-28 2013-08-07 東京エレクトロン株式会社 成膜方法および成膜装置
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US20080087890A1 (en) 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
KR100816759B1 (ko) 2006-11-09 2008-03-25 삼성전자주식회사 가변저항 스토리지를 갖는 비휘발성 기억 장치 및 동작방법
DE112008000368T5 (de) 2007-02-12 2009-12-24 Lotus Applied Technology, LLC, Beaverton Herstellung von Verbundmaterialien unter Verwendung von Atomschichtabscheidung
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (ko) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
EP2011898B1 (en) 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
JP5098882B2 (ja) 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
CN101889331A (zh) 2007-09-18 2010-11-17 乔治洛德方法研究和开发液化空气有限公司 形成含硅膜的方法
US8119424B2 (en) 2007-09-28 2012-02-21 Everspin Technologies, Inc. Electronic device including a magneto-resistive memory device and a process for forming the electronic device
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
KR20090057665A (ko) 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
KR101248651B1 (ko) * 2008-02-08 2013-03-28 도쿄엘렉트론가부시키가이샤 절연막의 형성 방법, 컴퓨터 판독 가능한 기억 매체 및 처리 시스템
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (ja) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8373254B2 (en) 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
ES2335638B1 (es) 2008-08-01 2011-02-09 Cosentino, S.A. Articulo en forma de tabla o losa fabricado de aglomerado petreo recubierto con laminas delgadas transparentes de tio2 o zno mediante tecnicas de deposicion en via seca con alta resistencia frente a la degradacion solar.
US20100051578A1 (en) 2008-09-04 2010-03-04 Shuo-Che Chang Method for fabricating an integrated circuit
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US7910491B2 (en) * 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
WO2010062582A2 (en) 2008-10-27 2010-06-03 Applied Materials, Inc. Vapor deposition method for ternary compounds
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8197915B2 (en) 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
JP2011023576A (ja) 2009-07-16 2011-02-03 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US8169024B2 (en) 2009-08-18 2012-05-01 International Business Machines Corporation Method of forming extremely thin semiconductor on insulator (ETSOI) device without ion implantation
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8072800B2 (en) 2009-09-15 2011-12-06 Grandis Inc. Magnetic element having perpendicular anisotropy with enhanced efficiency
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8946672B2 (en) 2009-11-11 2015-02-03 Nec Corporation Resistance changing element capable of operating at low voltage, semiconductor device, and method for forming resistance change element
US8691675B2 (en) 2009-11-25 2014-04-08 International Business Machines Corporation Vapor phase deposition processes for doping silicon
US8728958B2 (en) * 2009-12-09 2014-05-20 Novellus Systems, Inc. Gap fill integration
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
WO2011087698A2 (en) 2009-12-22 2011-07-21 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5514129B2 (ja) 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
ES2923774T3 (es) 2010-05-21 2022-09-30 Asm Int Nv Método de fabricación de una celda solar
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
KR101710658B1 (ko) * 2010-06-18 2017-02-27 삼성전자 주식회사 관통 전극을 갖는 3차원 적층 구조의 반도체 장치 및 그 반도체 장치의 시그널링 방법
KR20130062980A (ko) 2010-07-22 2013-06-13 시너스 테크놀리지, 인코포레이티드 원자층 증착에서 불활성 기체 플라즈마를 이용한 기판 표면의 처리
JP5490753B2 (ja) * 2010-07-29 2014-05-14 東京エレクトロン株式会社 トレンチの埋め込み方法および成膜システム
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8524612B2 (en) * 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
WO2012057889A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
CN103228983A (zh) 2010-11-10 2013-07-31 纳米系统公司 量子点薄膜、照明器件及照明方法
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
JP2012160671A (ja) 2011-02-02 2012-08-23 Toshiba Corp 磁気ランダムアクセスメモリ及びその製造方法
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
WO2012148439A1 (en) 2011-04-25 2012-11-01 William Marsh Rice University Direct growth of graphene films on non-catalyst surfaces
JP5551129B2 (ja) 2011-09-07 2014-07-16 株式会社東芝 記憶装置
JP2013058521A (ja) 2011-09-07 2013-03-28 Toshiba Corp 記憶装置及びその製造方法
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
CN103890910B (zh) 2011-09-23 2017-05-17 诺发系统公司 等离子体活化保形电介质膜沉积的方法和装置
US9194041B2 (en) 2011-11-02 2015-11-24 Ube Industries, Ltd. Tris(dialkylamide)aluminum compound, and method for producing aluminum-containing thin film using same
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5843318B2 (ja) 2012-02-14 2016-01-13 株式会社Adeka Ald法用窒化アルミニウム系薄膜形成用原料及び該薄膜の製造方法
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
JP6125247B2 (ja) 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
CA2927191C (en) 2013-10-17 2022-02-15 Nanosys, Inc. Light emitting diode (led) devices
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150159271A1 (en) 2013-12-09 2015-06-11 Veeco Ald Inc. Deposition of non-isostructural layers for flexible substrate
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11081340B2 (en) 2018-01-15 2021-08-03 Applied Materials, Inc. Argon addition to remote plasma oxidation
TWI756705B (zh) * 2018-01-15 2022-03-01 美商應用材料股份有限公司 添加氬至遠端電漿氧化
TWI811409B (zh) * 2018-07-27 2023-08-11 日商東京威力科創股份有限公司 電漿處理方法及電漿處理裝置

Also Published As

Publication number Publication date
KR102628080B1 (ko) 2024-01-23
US20160020092A1 (en) 2016-01-21
US20160163539A9 (en) 2016-06-09
KR20160011149A (ko) 2016-01-29
KR102443554B1 (ko) 2022-09-14
KR20220127795A (ko) 2022-09-20
US9685320B2 (en) 2017-06-20
TWI679701B (zh) 2019-12-11

Similar Documents

Publication Publication Date Title
KR102628080B1 (ko) 실리콘 옥사이드를 증착하기 위한 방법들
TWI649803B (zh) 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充
CN111247269B (zh) 介电膜的几何选择性沉积
US10629429B2 (en) Selective deposition of silicon oxide
CN107799390B (zh) 用于半导体图案化应用的高干法蚀刻速率材料
KR102341824B1 (ko) 캡슐화 방법
TWI654336B (zh) 具有脈衝式電漿曝露之電漿輔助式原子層沉積
TWI640469B (zh) 用於進階圖案化之軟著陸奈米層
CN104651807B (zh) 用于低温ald 膜的室底涂层制备方法
US9076646B2 (en) Plasma enhanced atomic layer deposition with pulsed plasma exposure
TWI547587B (zh) 平滑之含矽膜
TW201704517A (zh) 藉由原子層沉積及原子層蝕刻的保形膜之沉積
TW201623682A (zh) 原子層沉積所形成的氮化矽膜之特徵部內溼蝕刻速率的均勻降低用方法及設備
KR20130115261A (ko) 컨포멀 막들의 플라즈마 활성화된 성막
TW201546314A (zh) 用以降低金屬氧化物與金屬氮化物膜中的表面粗糙度之射頻循環清洗
TW201413044A (zh) 高氣壓、高電力電漿活化保形膜沉積
JP7494209B2 (ja) 調整された原子層堆積