TWI547587B - 平滑之含矽膜 - Google Patents

平滑之含矽膜 Download PDF

Info

Publication number
TWI547587B
TWI547587B TW100130548A TW100130548A TWI547587B TW I547587 B TWI547587 B TW I547587B TW 100130548 A TW100130548 A TW 100130548A TW 100130548 A TW100130548 A TW 100130548A TW I547587 B TWI547587 B TW I547587B
Authority
TW
Taiwan
Prior art keywords
film
plasma
reactant
ruthenium
substrate
Prior art date
Application number
TW100130548A
Other languages
English (en)
Other versions
TW201219595A (en
Inventor
凱斯 福克斯
董 紐
喬 沃馬克
曼地炎 史林雷
喬治 安德魯 安東尼力
史拉文德克 巴特 凡
珍妮佛 歐勞夫林
Original Assignee
諾菲勒斯系統公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 諾菲勒斯系統公司 filed Critical 諾菲勒斯系統公司
Publication of TW201219595A publication Critical patent/TW201219595A/zh
Application granted granted Critical
Publication of TWI547587B publication Critical patent/TWI547587B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02505Layer structure consisting of more than two layers
    • H01L21/02507Alternating layers, e.g. superlattice
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium

Description

平滑之含矽膜
本發明係關於一種用於在一基板上形成一膜之方法,尤其是用於在一基板上形成一含矽膜之方法。
此申請案主張於2010年9月13日所申請之標題為「膜堆疊體之原位經電漿增強化學氣相沈積」(IN-SITU PLASMA-ENHANCED CHEMICAL VAPOR DEPOSITION OF FILM STACKS)之美國臨時專利申請案第61/382,465號;於2010年9月13日所申請之標題為「平滑之基於矽烷之膜」(SMOOTH SILANE-BASED FILMS)之美國臨時專利申請案第61/382,468號;於2010年10月19日所申請之標題為「膜堆疊體之原位經電漿增強化學氣相沈積」(IN-SITU PLASMA-ENHANCED CHEMICAL VAPOR DEPOSITION OF FILM STACKS)之美國臨時專利申請案第61/394,707號;及於2010年12月16日所申請之標題為「平滑之含矽膜」(SMOOTH SILICON-CONTAINING FILMS)之美國臨時專利申請案第12/970,853號之優先權,該等申請案之全文出於所有之目的而以引用之方式併入本文中。
使用於三維(3D)記憶體裝置之膜堆疊體圖案化可能相當困難。用於沈積膜層之一些習知之原子層沈積(ALD)、化學氣相沈積(CVD)、高密度電漿化學氣相沈積(HDP-CVD)及電漿增強化學氣相沈積(PECVD)製程可能產生粗糙至不可接受之膜,造成膜層之間不可接受之介面混合且可能由於經連續沈積之膜層之間之真空斷裂而造成介面缺陷。隨著建立膜堆疊體,所產生之粗糙膜介面及介面缺陷可能由於相繼沈積之層而嚴重化,使得該膜堆疊體之頂面對於下游之圖案化製程而言粗糙至不可接受。此外,該膜堆疊體內之介面缺陷可能導致該3D記憶體裝置中之結構性缺陷及/或電氣缺陷。
本文所描述之多個實施例係關於沈積超平滑含矽膜。例如,一所揭示之實施例提供一種用於在原位於基板上形成包含一第一膜及一第二膜之一膜堆疊體之方法,該第一膜與該第二膜具有不同之材料組成。該方法包含,在一第一膜沈積階段中,將一第一反應氣體混合物供應至一處理站,使用該第一反應氣體混合物而維持一第一電漿,使用該第一電漿在該基板上沈積該第一膜;且在一第二膜沈積階段中且不具有一中間真空斷裂,將一第二反應氣體混合物供應至該處理站,使用該第二反應氣體混合物而維持一第二電漿,使用該第二電漿在該基板上沈積該第二膜,且控制該第二膜沈積階段之一製程參數,使得該第二膜之該絕對粗糙度隨著該第二膜之厚度增加而減小。
另一所揭示之實施例包含,將一含矽反應物供應至該經電漿增強化學氣相沈積裝置;將一共反應物供應至該經電漿增強化學氣相沈積裝置;將一經電容性耦合之電漿供應至該經電漿增強化學氣相沈積裝置之一處理站,該電漿包含自該含矽反應物產生之矽基團及自該共反應物產生之共反應物基團;及在該基板上沈積該含矽膜,該含矽膜具有之一折射率為介於1.4至2.1之間,當在一矽基板上測量(即,在直接地沈積於一矽基板上之一膜上測量)時,該含矽膜進一步包含小於或等於4.5 之一絕對粗糙度。
提供此發明內容之目的在於以一簡化之形式介紹一些選定之概念,該等概念將於下文之實施方式中而描述。此發明內容並不意在識別所申請之標的物之關鍵特徵或重要特徵,亦不意在用於限制所申請標的物之範圍。此外,所申請之標的物並不限於能夠解決此發明之任何部分中所列舉之任何或所有缺點之實施案。
一些記憶體裝置(諸如NAND快閃記憶體記憶體)係配置成二維陣列。因為此等記憶體裝置限於一平面配置,晶粒大小及記憶體密度參數可能限制該裝置之總記憶容量。反過來,轉至較大晶粒大小來擴展記憶體容量可能在相當大程度上增加該記憶體裝置之成本,這將延遲較大容量記憶體裝置之採用。近來已提出用於將記憶體閘極配置成三維(3D)陣列之一些方法。一些此等方法包含藉由圖案化具有交替之膜組成之堆疊體而形成之電晶體。圖1示意性地顯示位於一基板106上之包含第一膜層102及第二膜層104之一膜堆疊體100。
圖案化此等膜堆疊體可能相當困難。例如,起因於沈積事件之間之真空斷裂而造成之沈積物破裂及/或膜破裂之粗糙膜表面可能在隨繼沈積之層中形成波紋。隨著該膜堆疊體建立,此等波紋之大小可能增加且可能造成下游之圖案化操作中之聚焦、曝光及蝕刻問題。因此,每個層均需要高度平滑。
用於沈積此等氧化矽膜之一些方法包含使用基於原矽酸四乙酯(Si(OC2H5)4或TEOS)之經電漿增強化學氣相沈積(PECVD)製程或基於矽烷之PECVD製程。然而,此等習知之PECVD製程可能造成粗糙至不可接受之膜。例如,用於沈積SiO2之習知之基於矽烷之PECVD製程對於沈積於一裸矽基板上之一個1000 之膜而言表現出一絕對粗糙度(Ra)為7.2 ,而用於沈積SiO2之習知之基於TEOS之PECVD製程對於沈積於一矽基板上之一個1000 膜而言,表現出之一粗糙度為4.5 Ra(即,在直接沈積於一裸矽基板上之膜上測量)。
堆疊粗糙膜之影響可累積,因此該膜堆疊體之一頂面可比個別之膜更粗糙。圖2及圖3顯示藉由原子力顯微術(AFM)而測量之實例SiN/SiO2膜堆疊體之表面粗糙度影像。圖2繪示使用基於TEOS之PECVD SiO2沈積製程之具有11對SiN/SiO2膜之一膜堆疊體之一AFM影像200。為了參考,沈積於一裸矽基板上之一個1000 之氮化矽膜之粗糙度為5.1 Ra。
在圖2所示之該實例中,位於該膜堆疊體之頂部之基於TEOS之SiO2膜表現出之一粗糙度係約9.9 Ra至10.6 Ra。圖3繪示使用基於矽烷之PECVD SiO2製程(例如,使用流速係約500 sccm至600 sccm之矽烷)而製成之具有11對交替之SiN/SiO2膜之一膜堆疊體之一AFM影像300。在圖3中所示之實例中,該SiO2膜具有之一粗糙度係約17 Ra至19 Ra。因此,應理解,習知之PECVD SiO2製程可沈積比下伏之膜更為粗糙之膜。
用於沈積平滑二氧化矽膜之一些其他方法採用高密度電漿化學氣相沈積(HDP-CVD)製程。然而,HDP-CVD製程一般採用大於2×1010個離子每立方公分之離子密度。當沈積該SiO2層時,此高離子密度沈積環境可能無選擇性地噴濺下伏之膜。此可能導致不可接受之層間氧化,因此可能導致膜介面處之電氣缺陷或結構性缺陷。此外,相對於用於PECVD製程中之經電容耦合電漿源,HDP-CVD製程一般使用感應耦合電漿源,該等電漿源相對較貴且更容易在製程中產生缺陷。此外,因為HDP-CVD製程設備可能並不適合於在原位沈積一種以上之膜組成,在處理期間可能需要真空斷裂,從而可能導致包含層間缺陷及額外之設備成本。
因此,描述了關於使用經電容性耦合之電漿源而沈積超平滑含矽膜之多個實施例,超平滑含矽膜包含介電膜,諸如氧化矽(例如,SiO2及其次氧化物)、氮氧化矽及氮化矽,及傳導性膜,諸如多晶矽及非晶矽。在一些實施例中,超平滑含矽膜之交替層可能於一處理工具中之原位沈積(例如,在沈積階段之間不具有一真空斷裂及/或空氣曝露)。實例膜堆疊體包含但是不限於,交替之二氧化矽層及氮化矽層、交替之多晶矽層及二氧化矽層、交替之多晶矽層及氮化矽層,及交替之摻雜非晶矽及/或多晶矽層及未經摻雜之非晶矽及/或多晶矽層。
作為一實例,圖4顯示具有14對根據本發明之一超平滑PECVD製程之一實例而沈積之氮化矽膜與超平滑二氧化矽膜之一實例膜堆疊體之一AFM影像400。在圖4所示之該實例中,該超平滑PECVD SiO2頂層具有之一粗糙度係約4.6 Ra,相較於上述及圖2及圖3中所顯示之習知之PECVD SiO2製程,其表現出表面粗糙度之大於兩倍之改良,但是沈積於氮化矽表面上之一些超平滑PECVD氧化矽膜(下文將進一步描述)表現出之粗糙度值係約3.6 Ra。此外,如上文所解釋,沈積於裸矽基板上之一個1000 氮化矽膜之粗糙度為5.1 Ra。因此,應理解,在一些實施例中,該超平滑PECVD SiO2製程可提供之一頂面粗糙度小於一下伏膜之一粗糙度。例如,在一些實施例中,一超平滑PECVD SiO2製程可具有之一絕對粗糙度係一下伏膜之粗糙度之90%或更小。
不希望受限於理論,據信,在一些實施例中,該超平滑PECVD膜之超平滑特性係起因於一些條件,在此等條件下,表面吸收率、重排及/或裝配反應發生之速度及/或充裕度遠遠大於在氣相聚合及吸收反應中。在此等條件下,在該電漿中產生之基團相較於在該基板上方之氣相中反應,相對容易被吸收至該基板且結合至基板表面。
因此,根據本發明之一超平滑PECVD製程可提供所具有之一絕對粗糙度大致上獨立於厚度之一膜。例如,在一些實例中,一超平滑PECVD SiO2膜當在一矽基板上測量時,一厚度達3000 之膜可表現出小於或等於4.5 之表面粗糙度。例如,圖5顯示比較作為各種實例製程而沈積於裸矽基板上之二氧化矽膜(包括習知之基於矽烷之PECVD製程實例(點502)、習知之基於TEOS之PECVD製程實例(點504))與藉由根據本發明之一實例超平滑PECVD製程而沈積之超平滑二氧化矽膜(點506)之厚度之一函數之表面絕對粗糙度之圖表500。圖5中所示之習知PECVD製程所製成之實例膜之特徵在於,具有氣相聚合反應,其發生速率大於表面裝配及重排反應。因此,點502及點504傾向於隨著膜厚度增加而增加絕對粗糙度。相比之下,圖5中所示之該實例超平滑PECVD二氧化矽膜對於一個高達3000 之膜厚度而言,一表面粗糙度係約2.5 Ra,同時具有大致上恆定之絕對粗糙度。
圖5亦顯示藉由高密度電漿化學氣相沈積(HDP-CVD)製程所沈積之實例二氧化矽膜與上述之超平滑PECVD沈積之二氧化矽膜實例之間之一比較。如圖5中所示,該實例超平滑PECVD二氧化矽膜與該實例HDP-CVD二氧化矽膜具有大致相等之絕對表面粗糙度(點508)。然而,如上所述,HDP-CVD可能損壞下伏之膜且無法產生位於原位之膜堆疊體。相比之下,如下文將詳盡描述,超平滑PECVD膜可不使用高離子密度(例如,離子密度小於2×1010個離子每立方公分)而沈積且因此可與一下伏膜維持一相當明確之介面組成邊界。此外,超平滑PECVD膜可用其他膜製程而沈積於原位,因此可能避免在建立一膜堆疊體時產生真空斷裂。
圖5亦顯示藉由一原子層沈積(ALD)製程而沈積之一實例SiO2膜(點510)與上述之超平滑PECVD膜實例之間之一比較。類似於習知之PECVD製程,由該ALD製程所沈積之該實例膜表現出絕對粗糙度增加與厚度之相依性。雖然在理論上,ALD製程一次沈積一單膜層,對以其他方式形成之分段沈積前驅物之不同吸收率可能導致形成濃縮相前驅物區域(例如,該表面可包含化學吸收前驅物及物理吸收前驅物)。此等區域可能導致產生膜之非化學計量區域,此導致該膜中產生晶格缺陷及表面粗糙度。隨繼沈積之層可放大該表面粗糙度之影響。此外,無論自生產成本還是設備成本而言,用於ALD中之依層沈積製程可比一PECVD製程遠遠貴。
相比之下且不希望受限於理論,較平坦之表面(例如,接近一熱力學穩定終止表面之原始粗糙度之表面)之相對較低表面能可提供一驅動力,該驅動力可允許藉由所沉積之膜之表面重排及裝配反應而達成該沈積之膜之自我平坦化。因此,在一些實施例中,沈積於一相對較為粗糙之膜之一超平滑PECVD膜仍可表現出超平滑特性。此可為一膜堆疊體提供一高度平滑表面,即便該膜堆疊體包括相對粗糙之下伏膜。
例如,圖6示意性地顯示一膜堆疊體600之一實施例,該膜堆疊體600包含一具有之一沈積速率係約2.3 每秒之實例超平滑PECVD SiO2膜。圖6中所示之實例包含複數個300 之超平滑PECVD SiO2膜604,其與複數個800 之氮化矽膜602及複數個1000 之超平滑PECVD SiO2膜606交錯。一最終800 之氮化矽膜(層602A)具有之一頂面610係沈積於膜堆疊體600之頂部上。圖7示意性地顯示沈積於膜堆疊體600之超平滑PECVD SiO2膜(層702)之一頂層。
圖8顯示曝露於圖6中所示意性地顯示之膜堆疊體600之頂部之氮化矽表面610之一AFM影像800。當藉由AFM測量時,氮化矽表面610表現出之一絕對粗糙度係約6.9 。出於對比目的,沈積於具有相等數目之交替之二氧化矽層及氮化矽層之膜堆疊體(其中習知之基於TEOS之PECVD SiO2膜取代超平滑PECVD SiO2膜)上之一個800 具有之一絕對粗糙度係大於10 。因此,該超平滑PECVD SiO2膜相對於一基於TEOS之PECVD SiO2膜在一上覆之氮化矽之頂部產生一較為平滑之表面。
隨繼之在該氮化矽層之頂部上沈積超平滑PECVD SiO2膜層可相對於該氮化矽層粗糙度提供頂面粗糙度之額外改良。例如,圖9及圖10分別顯示圖7中所示意性地繪示之超平滑PECVD SiO2膜層702之頂面710之AFM影像900及1000。當藉由AFM而測量時,當層702係沈積為300 之厚度時(如圖9中所示),超平滑PECVD SiO2膜層頂面710具有之一絕對粗糙度係約5.4 ,所具有之一粗糙度為下伏之氮化矽膜之約80%。此外,超平滑PECVD SiO2膜之相對較厚層可提供相對平滑之頂面。例如,當層702係沈積3000之厚度時(如圖10中所示),其表現出之一絕對粗糙度係約3.6 ,所具有之一粗糙度係下伏之氮化矽膜所表現出之粗糙度之約50%。相比之下,當習知之基於TEOS之PECVD SiO2膜替代超平滑PECVD SiO2膜時,相較於氮化矽膜所表現出之粗糙度,表面粗糙度未有減小。具體而言,厚度為300 及3000 之基於TEOS之PECVD SiO2膜中之各者表現出之一絕對粗糙度係約10
如上所述,在一些實施例中,超平滑PECVD膜隨著該超平滑PECVD膜之厚度增加而表現出一不斷減小之表面粗糙度。圖11及圖12圖解此一實施例之另一實例。圖11示意性地顯示一膜堆疊體1100之一實例,該膜堆疊體1100包含沈積於基板106上一個1000 厚之習知之基於矽烷之PECVD SiO2膜層1102。一個800 厚之氮化矽層1104係沈積於層1102之頂部。為了參考,層1104之粗糙度係約16.3 Ra。圖11亦顯示一實例超平滑PECVD SiO2膜層1106之頂面1108,層1106係沈積於層1104之頂部。圖12顯示圖解對於以約2.3 每秒之速度沈積之一實例超平滑PECVD SiO2膜,層1106之厚度與表面1108之粗糙度之間之一實例關係1202之圖表1200。如在圖12中所示,對於達約3000 厚之超平滑PECVD SiO2膜,該超平滑PECVD SiO2膜表現出厚度與表面粗糙度之間之一相反關係。為了比較之目的,曲線1204及1206並不繪示針對習知之基於矽烷及基於TEOS之PECVD製程的厚度與表面粗糙度資料之間之關係。當依照由習知之PECVD膜個別地表現出之厚度與粗糙度之間之直接關係(圖5所示)檢視時,不同於習知之PECVD膜,圖12中所示之資料表明增加一些實例超平滑PECVD膜之厚度可能在相當大程度上改良一膜堆疊體之表面相對於一下伏膜之表面粗糙度。
在一些實施例中,增加一超平滑PECVD膜之厚度可減小沈積於該超平滑PECVD膜之頂部上之一膜之粗糙度,如圖13及圖14中所所示之實例中所示。圖13示意性地顯示圖11之膜堆疊體1100,其包含實例超平滑PECVD SiO2膜層1106,該膜層1106之頂部沈積有一個800 厚之氮化矽層1304。圖14顯示圖解層1106之厚度與氮化矽層1304之表面1308之粗糙度之間之相反關係之圖表1400。例如,對於下伏於氮化矽層1304之一個1000 厚之超平滑PECVD SiO2膜層1106,氮化矽表面1308表現出之一絕對粗糙度係約12.6 ,或為氮化層1104之粗糙度之約77%且與超平滑PECVD SiO2膜層1106之粗糙度約相等。為了比較,點1404及1406分別繪示對於習知之基於矽烷及基於TEOS之PECVD製程的厚度依賴性之粗糙度資料,其等之粗糙度分別係層1304之粗糙度之約92%及89%。
圖15顯示用於沈積一超平滑PECVD含矽膜之方法1500之一實例實施例之流程圖。方法1500包含,在1502處將一反應氣體或反應氣體混合物供應至一處理站。在1504處,方法1500包含維持一經電容性耦合之電漿,以產生包含於反應氣體混合物中之反應氣體及/或惰性氣體之基團及活性物種。在1506處,方法1500包含在於基板表面上沈積一膜時,控制一製程參數,以控制該膜表面之一絕對粗糙度,下文將更詳盡地描述。例如,在一些實施例中,在一膜沈積階段期間可控制一個或一個以上之製程參數,使得該膜之絕對粗糙度隨著該膜之厚度不斷增加而減小。在另一實例中,在一些實施例中,可在一膜沈積階段期間控制一個或一個以上之製程參數,使得將該絕對粗糙度控制至低於一預定臨限值。例如,在一情境中,在一裸矽基板上測量時,將具有之一折射率在約1.4至2.1之間之一超平滑PECVD含矽膜之絕對粗糙度控制於低於4.5 。將理解,製程參數控制可由包含於一處理工具中之任何合適之控制器而執行。下文將描述實例控制器。
雖然方法1500係指一種沈積一單層超平滑PECVD膜之方法,將理解,在一些實施例中,方法1500可代表一原位膜堆疊體沈積製程之一超平滑PECVD膜沈積階段。因此,在一些實施例中,可執行一合適數目之方法1500之示例來建立一膜堆疊體。在一實例中,可使超平滑PECVD未經摻雜矽膜層(下文將更詳盡地描述)與超平滑PECVD摻雜矽膜交替來建立一超平滑交替之未經摻雜矽/摻雜矽膜堆疊體。在另一實例中,超平滑PECVD未經摻雜矽膜層係可與超平滑平滑PECVD二氧化矽膜交替。因此,在一些實施例中,可使用合適之超平滑PECVD製程來沈積一膜堆疊體中之各個層。例如,在一情境中,超平滑氧化矽膜層可與超平滑氮化矽層交替。在另一情境中,超平滑氧化矽層可與習知之氮化矽膜層交替。
或者,在其他實施例中,可包含合適數目之方法1500之示例,以一個或一個以上合適之時間間隔,用其他合適之沈積製程(例如,PECVD、CVD或ALD製程)來建立一原位膜堆疊體。在一實例中,一超平滑PECVD二氧化矽膜可與一PECVD氮化矽膜交替,以形成類似於圖4中所示之一交替之二氧化矽/氮化矽膜堆疊體。在另一實例中,一經習知沈積膜堆疊體可用一合適厚度之超平滑PECVD膜而覆蓋。
此外,將理解,在一些實施例中,在一原位基礎上調整該膜堆疊體沈積方案可提供微調該膜堆疊體之整體性質(例如,晶圓彎曲度)同時仍提供一可接受之頂面粗糙度之方法,且在一些實施例中,提供超平滑圖案化表面,同時實現下伏層之較快沈積速率。
繼續參考圖15,下文將參考一實例超平滑PECVD二氧化矽膜製程而描述控制一個或一個以上製程參數以控制該膜表面之一絕對粗糙度之方法之多個實例。例如,可使用矽烷或一氧化二氮(N2O)在一處理工具之一個或一個以上之處理站中沈積一超平滑二氧化矽膜。表1中提供使用一實例四站處理工具(下文將更為詳盡地描述一實施例)來沈積超平滑PECVD二氧化矽膜之製程條件之非限制實例。
在一些實施例中,控制一製程參數來控制該膜表面之一絕對粗糙度可包含,在1508處,將處理氣體供應至該處理站,且該處理氣體之量係饋送至該處理站之含矽反應物之量之至少150倍。例如,可將一種或一種以上之共反應物及/或一種或一種以上稀釋液供應至該處理站,其等之濃度係一含矽反應物濃度之至少150倍。在此等實施例中,過度供應共反應物可能產生矽基團貧乏之電漿,因此可能減小沈積速率。藉由將沈積速率控制至低於一臨限值沈積速率,可導致一超平滑PECVD膜。
例如,在使用上述之實例製程參數而沈積氧化矽膜之情形下,N2O可以自矽烷流速之約5倍至矽烷流速之約3000倍而饋送。假設其他製程參數保持恆定,則較大之N2O流速可將該二氧化矽膜之沈積速率控制至小於10Å每秒。此沈積速率可使得表面重排及裝配反應在合適之速率下發生,以產生在一矽基板上測量時,達3000Å厚或更厚之膜具有之粗糙度小於或等於4.5Å Ra之超平滑氧化矽膜。
先前據信,減小習知之基於矽烷之PECVD二氧化矽沈積製程中之矽烷流速將不會導致二氧化矽膜粗糙度發生類似之減小。相反地,據信所沈積之膜之表面將隨著矽烷之流速減小而更粗糙。圖16顯示藉由AFM而測量之表面粗糙度與矽烷流速之間之實例關係1600。圖17顯示針對圖16中所示之該關係1600之矽烷流速與二氧化矽膜沈積速率之間之一實例關係1700。出於比較之目的,指示由習知之基於矽烷之PECVD製程而沈積之一實例膜(點1602及1702)。圖16及圖17用圖表圖解對於習知之基於矽烷之PECVD製程,粗糙度隨著矽烷流速及沈積速率減小而增加。
然而,參考圖16之最左邊部分,已經發現,將沈積速率控制至低於一臨限值位準可實際上減小表面粗糙度,此可允許獲得超平滑含矽表面。因此,圖16及圖17顯示,在所繪示之實施例中,將矽烷流速降低至低於100sccm會使二氧化矽沈積速率減小至低於10Å每秒,轉而減小所沈積之二氧化矽膜之該表面粗糙度。如圖16及圖17中所示,在一些實施例中,提供二氧化矽沈積速率小於6Å每秒之製程將產生在一矽基板上測量時對於厚度達3000Å或更厚之膜而言具有之粗糙度小於或等於4.5Å Ra之膜。
雖然上述之實例係指藉由控制矽烷之流速及/或濃度而控制沈積速率,將理解,此等效果可藉由控制任何合適之含矽反應物之流速及/或濃度而達成。可產生超平滑含矽膜之合適之含矽反應物之非限制實例包含矽烷((例如,SixHy,諸如矽烷及二矽烷)、鹵代矽烷(例如,SixCly)及烷基取代矽烷(例如,SixRy)。
將理解,在一些實施例中,控制其他處理氣體(例如,共反應物,諸如,N2O、CO及CO2及惰性氣體,諸如,氮氣、氬氣及氦氣)之流速及/或濃度可用於控制該膜之沈積速率至小於一臨限值量。例如,圖18顯示對於以恆定之100% SiH4之流速(40 sccm)但是具有不同之總氣體流速所沈積之實例超平滑PECVD SiO2膜之處理站壓力與沈積速率之間之關係1800(但是將理解,在不脫離本發明之範疇之基礎上,經合適稀釋矽烷饋送源可具有一較大之流速)。明確而言,點1802顯示對於一實例之沈積速率趨勢,其中除了矽烷之外之所有氣體之流速係點1804中所示之該實例之對應流速之一半。儘管可有效地增加矽烷之濃度,在圖18所示之該實例中,減小惰性氣體及非惰性氣體之流速將導致自點1804之情形至點1802所示之情形膜粗糙度減小達0.3 A Ra(圖中未顯示)。在另一實例中,用CO或CO2取代N2O(其中之各者相對於N2O可具有一不同之離子化橫截面)可減小該電漿中之氧基團之濃度,因此可能減小該二氧化矽膜之沈積速率。
如上所述,在一些實施例中且不希望受限於理論,據信減小矽烷流速可提供額外之時間達成多個矽烷基團物種之表面遷移及交聯。增加用於此等製程之時間可提供具有較少缺陷之結構緻密晶格,因此可能導致一更平滑之表面拓撲。
例如,圖19圖解一實例二氧化矽膜之膜應力、沈積速率與矽烷流速之間之關係。隨著圖19中所示之該實例中之沈積速率降低,該膜應力變得相對更壓縮,因此表明該膜在結構上更緻密。圖20顯示以約2.3 每秒之速率而沈積之一實例超平滑PECVD SiO2膜之膜應力、基板彎曲度與膜厚度之間之關係2000。圖20中所示之實例膜表現出基板彎曲度對膜厚度之線性依賴2002。圖20中所示之該實例膜亦表現出膜應力與膜厚度之間之一非線性關係2004。如圖20中所示,在最先之1000 內之沉積,實例膜之膜應力迅速地接近整體膜應力位準。因此,隨著膜沈積,圖20中所示之該實例超平滑PECVD二氧化矽膜快速地達成結構穩定性。
其他結構分析可提供對增加用於表面重排及裝配反應之時間可提供具有相對少缺陷之結構緻密晶格,因此可能導致一更平滑表面拓撲此一假設之額外支援。例如,圖21顯示針對一實例熱生長SiO2膜(有時稱為熱氧化物)之傅立葉紅外(FTIR)光譜(光譜2102),具有之一沈積速率係約2.3 每秒之一實例超平滑PECVD SiO2膜之傅立葉紅外(FTIR)光譜(光譜2104)與一實例習知之基於TEOS之PECVD SiO2膜之傅立葉紅外(FTIR)光譜(光譜2106)之一比較。如圖21中所示,該實例超平滑PECVD膜之結構及組成與該熱氧化物之類似程度大於該基於TEOS之膜與該熱氧化物之相似程度。例如,一實例之超平滑PECVD膜之Si-O鍵拉伸模式之峰高度係高於且窄於相當之膜厚度之基於TEOS之膜之Si-O鍵拉伸模式之峰高度。此可表明,實例超平滑PECVD膜內之鍵類型之分佈相對於該基於TEOS之膜窄。此外,圖21中所示之該超平滑PECVD膜之Si-O鍵拉伸模式之位置(1071 cm-1)比該基於TEOS之膜(1063 cm-1)之Si-O鍵拉伸模式更接近熱氧化物(1078 cm-1)之該Si-O鍵拉伸模式位置。
作為對增加用於表面重排及裝配反應之時間可提供具有相對少缺陷之結構緻密之晶格,因此可能導致一更為平滑之表面拓撲之論斷之一進一步支援,一些超平滑PECVD SiO2膜之濕式蝕刻特性接近熱氧化物之濕式蝕刻特性。表2包含一稀釋之氫氟酸浴槽(100:1 H2O:HF)中之多個PECVD SiO2膜之濕式蝕刻速率比率(WERR,對於熱氧化物,界定為1)資料。作為比較,表2中亦包含針對習知之基於矽烷及基於TEOS之PECVD製程及針對一習知之HDP-CVD製程之WERR。表2中亦顯示,針對若干超平滑PECVD SiO2膜之WERR係介於1.2至2.0之間。
回到圖15,在一些實施例中,控制一製程參數來控制該膜表面之一絕對粗糙度可包含,在1510處,產生具有之功率密度為0.35 W每平方英寸或更大之電漿。在一些實施例中,此等功率密度可由在250 W或更高之功率下操作之高頻電漿源而產生。當用於本文中時,「高頻電漿」(high-frequency plasma)係指在13.56 MHz或更高之功率下操作之電漿。此外或作為替代,在一些實施例中,可使用一低頻(例如,低於13.56 MHz之頻率)電源。在一些其他實施例中,可使用一雙頻電漿。
表3提供針對在550℃下使用各種高頻(HF)電漿功率而在矽基板上所沈積之複數個超平滑PECVD SiO2膜之實例二氧化矽膜沈積及拓撲資料。雖然本文所述之實例係指一高頻電漿,將理解,在不脫離本發明之範疇之基礎上,可採用任何合適之電漿及/或功率。
圖22用圖表圖解提供於表3中之該實例超平滑PECVD二氧化矽膜之高頻電漿功率與絕對粗糙度之間之關係2200。如圖22中所示,在低沈積速率(諸如對應於低矽烷流速之沈積速率)下,增加一高頻電漿之功率可能減小超平滑PECVD SiO2膜之絕對粗糙度。在圖22中所示之該實例中,在一矽基板上測量時,一些超平滑PECVD二氧化矽膜之表面粗糙度可小於2.5 。隨著一典型之矽基板之原始表面粗糙度接近2.5 ,此可進一步表明,此等膜係能夠進行自我平坦化。
此外或作為替代,在一些實施例中,可選擇電漿條件以控制該電漿之離子密度。繼續參考圖15,控制一製程參數來控制該膜表面之一絕對粗糙度可包含,在1512處,維持一離子密度至小於2×1010個離子每立方公分。例如,可在介於250瓦至5000瓦之電漿功率且在介於0.5托至8托之間之一處理站壓力下供應用於沈積一超平滑PECVD氧化矽膜之電漿。在一些實施例中,此等電漿功率可在由一共用之電漿產生器供電之四個15英寸之蓮蓬頭處產生介於約0.35 W/平方英寸至7.1 W/平方英寸之間之一電漿密度。此可避免在膜堆疊體應用中產生潛在之濺射誘發層間混合。
繼續參考圖15,在一些實施例中,控制一製程參數來控制該膜表面之一絕對粗糙度可包含,在1514處,在約8托或更小之處理站壓力下產生一電漿。在此等實施例中,在調整膜之一沈積速率時可維持一超平滑特性,同時維持該沈積速率於低於該臨限值速率之一速率。換言之,該膜之沈積速率可藉由改變該處理站壓力而改變,且不會大幅度改變一經沈積膜之超平滑拓撲。
因此,表4顯示使用各種處理站壓力而於550℃下在矽基板上沈積之複數個超平滑PECVD SiO2膜之實例二氧化矽膜沈積及拓撲資料。
圖23使用表4之實例資料圖解平滑度對處理站壓力之依賴度2300。如圖23中所示,減小該處理站之壓力可關乎實例超平滑PECVD膜之表面粗糙度之一輕微增加,但是在一矽基板上測量時,該絕對粗糙度仍可維持於低於3 。圖23顯示在所繪示之實例處理範圍內,表面粗糙度可與處理站壓力具有一非線性關係,如圖所示,在約4托下具有一最小表面粗糙度。
圖24顯示一繪示2400針對表4中所示之該實例膜之基板範圍內非均勻性與處理站壓力之間之一非線性關係。因此,將理解,自圖23及圖24所中所提供之該實例資料,在一些實施例中,可藉由在8托或更低之處理站壓力下產生該電漿而維持及/或調整一超平滑PECVD氧化矽膜特性。在一實例中,該沈積速率可增加至大於6 每秒,同時維持一表面粗糙度小於3 (當在沈積於一矽基板上之一個1000 膜上測量時)。在另一實例中,一經沈積膜之該基板內非均勻性可減小至小於3%,同時維持在一矽基板上測量時,一表面粗糙度小於3
在另一實例中,藉由變動提供至該處理站之惰性氣體之量而調整該膜之一沈積速率時仍可大體上維持一超平滑特性。因此,繼續參考圖15,在一些實施例中,控制一製程參數來控制該膜表面之一絕對粗糙度可包含,在1516處,將一惰性氣體供應至該電漿。例如,在一些實施例中,可將氬氣供應至該電漿,以調整一超平滑PECVD二氧化矽膜之沈積速率。
圖25顯示針對在550℃下沈積於矽基板上之實例超平滑PECVD SiO2膜之沈積速率及至該處理站之氬氣流速之關係2500。圖25亦顯示SiO2膜應力與至該處理站之氬氣流速之間之關係2502。如圖25中所示,可藉由增加至該處理站之流速而增加沈積速率且使該SiO2膜更為壓縮。
此外,在一些實施例中,供應惰性氣體至該處理站可調整該沈積速率,而不會實質上破壞該膜之表面粗糙度。例如,圖26顯示針對圖25中所示之實例超平滑PECVD SiO2膜之絕對粗糙度與氬氣流速之間之一大體上恆定之關係2600。因此,將自圖25及圖26中所示之實例理解,在一些實施例中,一實例超平滑PECVD膜之沈積速率可藉由增加至該處理站之流速而增加且不會造成表面粗糙度之增加。將理解,在一些實施例中,變動其他合適之惰性氣體(諸如,氮氣及氦氣)之流速可具有類似之效果。
將理解,經由控制一個或一個以上製程參數(諸如,反應物及惰性氣體饋送速率、電漿功率、離子密度及處理站壓力)可獨立於或組合任何其他合適之製程變量而控制該表面粗糙度。例如,在一些實施例中,離子轟擊(例如,自低頻電漿源或自施加至該電漿之一DC偏壓源)可提供一合適超平滑含矽膜。在另一實例中,一超平滑PECVD膜可在400℃或更高之溫度下沈積。在一情境中,一超平滑PECVD二氧化矽膜可在550℃下沈積。此等膜可表現出本文所述之超平滑表面,同時相較在小於400℃下沈積之膜具有相對較低之氫氣濃度。此外,此等膜可在不借助於一隨繼之退火步驟而維持一表面彎曲度。在一隨繼之將一圖案轉印至該膜堆疊體之微影蝕刻步驟中此等膜可表現出超平滑及高度平坦表面。其他製程變量之其他非限制實例包含處理站溫度、電漿點燃順序、電漿熄滅順序及一處理氣體分布蓮蓬頭與沈積基板表面之間之間隔。例如,在一情境中,一高頻電漿可在矽烷係經引入該處理站之前而點燃。此可於開始沈積之前調節該用於沈積之基板表面,此轉而可減少表面島狀物或區域之形成。在另一情境中,可在沈積之後停止矽烷之流速之後熄滅一高頻電漿,以消耗該處理站中之殘餘矽烷分子。
雖然上述之實例係關於超平滑PECVD二氧化矽膜之沈積,將理解,可根據本文所述之實施例可沈積任何合適之含矽膜。在一些實施例中,超平滑PECVD氮化矽膜可藉由氨與矽烷之電漿活化反應而沈積。此外,在一些實施例中,可在存在氮氣電漿之情形下電漿活化矽烷及N2O而沈積合適之氮氧化矽。其他合適之含氮反應物包含但不限於,肼及氮氣/氦氣混合物。
表5總結針對多種具有之一折射率為自約1.4至約2.1之一超平滑含氮化矽膜之粗糙度、折射率及膜應力資料。表5中所呈現之膜資料係自沈積於矽基板上之1000Å之膜而測量,對於個別膜配方,各個膜係藉由在一恆定之矽烷流速、氮氣流速(約為5000sccm)、氦氣流速(約為8000sccm)、壓力(約為5托)及高頻電漿功率(約為4500W)下饋送指定量之一氧化二氮或氨至一氮氣及氦氣電漿而產生。如表5中指示,減小饋送至該電漿之一氧化二氮(配方A至G中所示)之流速提供廣泛範圍內之氧化矽及氮氧化矽,其等表現出之一絕對粗糙度小於約3.1Å且在很多情形下,小於約2.7Å。
圖27顯示圖解表5中所呈現之該實例超平滑PECVD氧化矽及氮氧化矽之Si-O鍵拉伸模式資料之傅立葉轉換紅外光譜之一比較之圖表2700。圖27中所示之FTIR測量值顯示當該處理站中之一氧化二氮之濃度減小時,Si-O鍵拉伸峰位置之轉變。
表5中所呈現之測量值亦闡明,該具有之絕對粗糙度值係約4Å或更小之該超平滑PECVD氮化矽膜係可藉由在合適之流速下用氨代替一氧化二氮而沈積。表5中所呈現之資料表明,膜粗糙度及膜應力與氨濃度之間存在一相反關係。為了比較,表5中亦提供針對一習知PECVD氮化矽製程之膜及配方參數。圖28至圖30繪示FTIR光譜2800、2900及3000之比較,其分別顯示表5中呈現之該實例超平滑PECVD氮化矽膜及習知PECVD氮化矽膜之Si-N、N-H及Si-H鍵拉伸模式。圖28及圖29中亦顯示,增加氨濃度傾向於使Si-N峰位置自習知之膜之Si-N峰位置移位且傾向於增加該N-H峰之面積。此可表明,額外之氫氣包含於該膜中且提供用於微調該膜之膜應力特性之方法,表5中所包含之應力資料可支援此觀點。然而,圖30顯示,不同於該習知之PECVD膜,該超平滑PECVD氮化矽膜不存在Si-H鍵拉伸模式。此可提供膜相對於習知之PECVD氮化矽膜在擊穿電壓特性方面之一相當大之改良。因此,將理解,在一些實施例中,超平滑PECVD氮化矽膜之該整體膜應力特性可經微調,同時保留該膜之電氣特性。
在另一實例中,一超平滑PECVD矽膜(例如,一非晶矽膜、一未經摻雜多晶矽膜或一經摻雜多晶矽膜)係可單獨自矽烷之電漿分解或在一合適之還原環境(例如,在存在氦氣、氬氣及/或氫氣電漿及在沈積有經摻雜多晶矽之情形下,在存在一合適之摻雜劑前驅物(例如,含硼前驅物、含砷前驅物及/或一含磷前驅物)之情形下)而沈積。此超平滑PECVD矽膜亦可用於原位構造膜堆疊體,且亦可表現出自我平坦化特性。此外,在一些實施例中,超平滑PECVD矽製程可添加一DC偏壓或另一合適之離子轟擊方法來促進表面重排且可能增強表面平滑化。表6中顯示使用一實例之四站處理工具(下文將詳盡地描述)沈積超平滑PECVD未經摻雜矽膜之非限制性製程參數。
此外,在一些實施例中,一超平滑PECVD矽膜係可藉由在沈積期間或在沈積之後之一處理階段中供應一合適之摻雜劑而摻雜。摻雜劑之非限制實例包含,砷、硼及磷。在一些實施例中,一經摻雜矽膜可藉由一合適之原位熱退火而活化。例如,一在550℃下沈積之經硼摻雜之超平滑PECVD矽膜可退火至650℃而不產生一真空斷裂。在一些實例中,使一經摻雜膜退火可能降低該膜之電阻,改良膜及膜堆疊體內之傳導性及/或減小提供一選定膜傳導性所需之摻雜劑之量。
本文所述之方法係用於產生一抗反射層(ARL)。一實施例係本文所述之一方法,其係用於製作一抗反射層。使用本文所述之方法而製作之抗反射層既極其平滑,例如,以使膜經圖案化之後所謂之線邊緣粗糙度最小且使散射反射加擴散反射最小,且亦具有一相當高之折射指數(RI),例如,對於633 nm係介於約1.4至約2.1,對於248 nm介於約1.5至約2.4,且對於193 nm係介於約1.6至約2.7。
習知之ARL製作方法無法提供充分的平滑度或折射率控制。本文所述之方法產生具有此等平滑度之膜且不減小該膜之折射率。在一實例中,該含矽反應物係連同一種或一種以上共反應物而使用。在一實施例中,該含矽反應物係SiH4且該一種或一種以上共反應物包含CO2(以輔助維持折射率位準)及NH3(以輔助獲得膜表面平滑度)。在特定實施例中,N2O係作為CO2之替代或與CO2組合使用。
在特定實施例中,SiH4試劑及N2O及/或CO2共反應物(具有/不具有NH3)係用於產生具有較低折射率(例如,1.4至2.1)之平滑介電質,其適於例如,垂直記憶體應用。對於此等膜,膜應力控制係主要目的,此係因為使用的係由許多介電層組成之一堆疊體。一般但不必要,對於此等膜,維持一較高折射率不成問題。
本文所述之方法係可藉由任何合適之裝置而執行。一合適之裝置包含用於完成製程操作之硬體及具有用於控制根據本發明之製程操作之指令之系統控制器。例如,在一些實施例中,該硬體可包含包括於一處理工具中之一個或一個以上處理站。
該系統控制器一般將包含一個或一個以上記憶體裝置及一個或一個以上之處理器,其經組態而執行用於控制製程操作之指令,使得該裝置將執行根據本發明之一方法。例如,在一些實施例中,該系統控制器可操作多個閥、溫度控制器、電漿控制器及壓力控制器,以調整該裝置內之製程條件。在一些實施例中,包含用於控制根據本發明之製程操作之指令之機器可讀媒體係可耦合至該系統控制器。
例如,圖31示意性地顯示一處理站3100之一實例實施例。為了簡潔起見,處理站3100係經繪示為一獨立處理站,其具有用於維持一低壓環境之一處理腔室本體3172。然而,將理解,一共用低壓處理工具環境中可包含複數個處理站3100。處理站3100包含一用於提供用於運送至處理站3100之處理氣體(諸如,惰性氣體、前驅物、反應物及處理反應物)之處理氣體運送線3174。在圖31所示之實例中,包含一蓮蓬頭3178,以在處理站3100內分佈處理氣體。基板3186係位於蓮蓬頭3178之下方且圖中展示其支托於由一托架3182支撐之一固持器3180上。在一些實施例中,托架3182係可經組態而圍繞一垂直軸線旋轉。此外或作為替代,托架3182可經組態而水平地及/或垂直地平移。
在一些實施例中,蓮蓬頭3178可為雙充氣室或多充氣室蓮蓬頭,其具有複數組氣體分佈孔。例如,一第一組氣體分佈孔可接受來自一第一處理氣體運送線之氣體且一第二組氣體分佈孔可接受來自一第二氣體運送線之氣體。處理氣體之此物理分離可提供減小自蓮蓬頭3178之上游之處理氣體運送管件中之不可相容處理氣體之反應而產生之小顆粒之一方法。
蓮蓬頭3178及固持器3180與RF電源3188及匹配網路3190電氣連通,以對一電漿3192供電。電漿3192可由位於鄰近蓮蓬頭3178及固持器3180之一電漿殼罩3194容納。雖然圖31繪示一經電容性耦合之電漿,電漿3192可由任何合適之電漿源而產生。在一非限制性實例中,電漿3192可包含一平行板電漿源。
在圖31所示之實施例中,RF電源3188可提供具有任何合適頻率之RF功率。在一些實施例中,RF電源3188可經組態而獨立於彼此控制高頻電源及低頻電源。實例低頻RF功率可包含但不限於,介於200 kHz至2000 kHz之間之頻率。實例高頻RF功率可包含但是不限於,介於13.56 MHz至80 MHz之間之頻率。類似地,RF電源3188及匹配網路3190可於任何合適之功率下操作而形成電漿3192。合適功率之實例包含但是不限於,用於包含四個15英寸之蓮蓬頭之一個四站多處理工具之高頻電漿功率係介於250 W至5000 W之間且一低頻電漿功率係介於0 W至2500 W之間。RF電源3188係可以任何合適之工作週期操作。合適的工作週期之實例可包含但是不限於,介於5%至90%之工作週期。
在一些實施例中,固持器3180之溫度係可藉由加熱器3184而控制。此外,在一些實施例中,針對處理站3100之壓力控制係可藉由蝴蝶閥3196或任何其他合適之壓力控制裝置而提供。如圖31中所示,蝴蝶閥3196壓制由經流體耦合至處理站排出線3198之真空泵(圖中未繪示)所提供之真空。然而,在一些實施例中,處理站3100之壓力控制亦可藉由改變引入至處理站3100之一種或一種以上之氣體之流速而調整。
將理解,在不脫離本發明之範疇基礎上,對一個或一個以上製程參數之控制係可局部地提供(例如,RF功率係可藉由與RF電源3188連通之一電漿控制器而控制,壓力站壓力係可藉由與蝴蝶閥3196或與氣體計量閥連通之一閥控制器或內含之耦合至處理氣體運送線3174之流速控制器而控制)或處於由與處理站3100連通之一系統控制器(下文將進一步詳盡描述)所提供之部分或總體控制下。
如上所述,一個或一個以上處理站可包含於一個多站處理工具中。在一個多站處理工具中之一些實施例中,各種處理輸入(例如,處理氣體、電漿功率、加熱器功率等等)之控制及/或供應可自共用之源而分佈至包含於該處理站中之複數個處理站。例如,在一些實施例中,一共用之電漿產生器可供應電漿功率至兩個或兩個以上處理站。在另一實例中,一共用之氣體分佈歧管可供應處理氣體至兩個或兩個以上處理站。
例如,圖32示意性地顯示一實例處理工具3200,其包含位於一低壓環境中之複數個處理站3262。各個處理站3262係經組態而沈積一超平滑PECVD之基於矽烷之二氧化矽及基於矽烷之氮化矽。各個處理站3262係由用於在運送處理氣體至各個處理站3262之前摻合及/或調節處理氣體之一共用混合容器3264所供應。
圖33顯示具有一入站負載鎖3302及一出站負載鎖3304之另一個多站處理工具3300之一實施例之一示意圖。在大氣壓力下,一機器人3306係經組態而將基板自藉由一箱3308而載入之收容盒經由一大氣埠3310而移動進入入站負載鎖3302中。入站負載鎖3302係經耦合至一真空源(圖中未顯示),使得當大氣埠3310係閉合時,入站負載鎖3302被排空。入站負載鎖3302亦包含與處理腔室3314介接之腔室運輸埠3316。因此,當腔室運輸埠3316開啟時,另一機器人(圖中未顯示)可將該基板自入口負載鎖3302移動至一第一處理站之一托架,以進行處理。
在一些實施例中,入站負載鎖3302係可連接至經組態而將電漿供應至一負載鎖之一遠端電漿源(圖中未顯示)。此可對定位於入站負載鎖3302中之一基板提供遠端電漿處理。此外或作為替代,在一些實施例中,入站負載鎖3302可包含經組態以加熱一基板之一加熱器(圖中未顯示)。此可移除吸收於定位在該入站負載鎖3302中之一基板上之濕氣及氣體。雖然圖33中所所示之實施例包含負載鎖,將理解,在一些實施例中,可提供一基板直接進入一處理站中。
所繪示之處理腔室3314包括四個處理站,在圖33中所示之實施例中用數字標識為1至4。在一些實施例中,處理腔室3314係可經組態而維持一低壓環境,使得基板可在該處理站當中轉移,而不會經歷一真空斷裂及/或空氣曝露。圖33中所繪示之各個處理站包含一處理站基板固持器(站1之固持器顯示為3318)及處理氣體運送線入口。在一些實施例中,可加熱一個或一個以上之處理站基板固持器3318。
在一些實施例中,各個處理站可具有不同之或多個目的。例如,一處理站可在一超平滑PECVD處理模式與一習知之PECVD或CVD模式之間切換。此外或作為替代,在一些實施例中,處理腔室3314可包含一對或一對以上之匹配超平滑PECVD及習知之PECVD站(例如,一對包含一超平滑PECVD SiO2站及一個習知之PECVD SiN站)。在另一實例中,一處理站可在兩個或兩個以上膜類型之間切換,使得不同膜之堆疊體可沈積於相同之處理腔室中。
雖然所繪示之處理腔室3314包括四個站,將理解,根據本發明之一處理腔室可具有任何合適數目之站。例如,在一些實施例中,一處理腔室可具有五個或五個以上之站,而在其他實施例中,一處理腔室可具有三個或三個以下之站。
圖33亦繪示用於在處理腔室3314內轉移基板之基板搬運系統3390之一實施例。在一些實施例中,基板搬運系統3390可經組態而在多個處理站及/或在一處理站與一負載鎖之間轉移基板。將理解,可採用任何適合之基板搬運系統。非限制性實例包含基板迴轉料架及基板搬運機器人。
將理解,在一些實施例中,一低壓轉移腔室可包含於一個多站處理工具中,以促進在複數個處理腔室之間轉移基板。例如,圖34示意性地顯示一個多站處理工具3400之另一實施例。在圖34中所示之實施例中,多站處理工具3400包含複數個處理腔室3314,該等處理腔室3314包含複數個處理站(數字標識為1至4)。處理腔室3314與一低壓運輸腔室3404介接,該低壓運輸腔室3304包含一機器人3406,該機器人3406係經組態而在處理腔室3314與負載鎖3408之運輸基板。一大氣基板轉移模組3410(包含一大氣機器人3412)係經組態而促進在負載鎖3408與箱3308之間轉移基板。
回到圖33,多站處理工具3300亦包含一系統控制器3350之一實施例,該系統控制器3350係經採用而控制處理工具3300之製程條件及硬體狀態。例如,在一些實施例中,系統控制器3350可在超平滑PECVD膜沈積階段期間控制一個或一個以上之製程參數,以控制該膜表面之一絕對粗糙度。雖然圖34中未顯示,將理解,該多站處理工具3400之實施例可包含類似於圖33中所示之系統控制器3350之該實施例之一合適之系統控制器。
系統控制器3350可包含一個或一個以上之記憶體裝置3356、一個或一個以上之大容量儲存裝置3354及一個或一個以上之處理器3352。處理器3352可包含一個CPU或電腦,類比及/或數位輸入/輸出連接、步進器馬達控制器板等等。
在一些實施例中,系統控制器3350控制處理工具3300之所有活動。系統控制器3350執行儲存於大容量儲存裝置3354中、載入至記憶體裝置3356中且在處理器3352上執行之機器可讀系統控制軟體3358。系統控制軟體3358可包含用於控制由處理工具3300所執行之一特定處理之時序、氣體之混合、腔室及/或站壓力、腔室及/或站溫度、基板溫度、目標功率位準、RF功率位準、基板托架、卡盤及/或感受器位置及其他參數。系統控制器3358可以任何合適之方式而組態。例如,可寫入各種處理工具組件子常式或控制目標來控制用於執行各種處理工具處理之處理工具組件之操作。系統控制軟體3358可以任何合適之電腦可讀程式(撰寫)語言而編碼。
在一些實施例中,系統控制軟體3358可包含用於控制上述之多個參數之輸入/輸出控制(IOC)順序指令。例如,一超平滑PECVD製程之各個階段可包含一個或一個以上由系統控制器3350執行之指令。用於設定一超平滑PECVD階段之製程條件之指令係可包含於一對應之超平滑PECVD配方階段中。在一些實施例中,該超平滑PECVD配方階段可按序配置,使得用於一超平滑PECVD處理階段之所有指令係與該處理階段同時地執行。
在一些實施例中可採用儲存在與系統控制器3350關聯之大容量儲存裝置3354及/或記憶體裝置3356上之電腦軟體及/或程式。用於此目的之程式或程式區段之實例包含一基板定位程式、一處理氣體控制程式、一壓力控制程式、一加熱器控制程式及一電漿控制程式。
一基板定位程式可包含用於將基板裝載於處理站基板固持器3318上且控制該基板與處理工具3300之其他部件之間之間隔之處理工具組件之程式編碼。
一處理氣體控制程式可包含用於控制氣體組成及流速且視需要在沈積之前使氣體流入一個或一個以上處理站中以穩定處理站中之壓力之編碼。一壓力控制程式可包含用於藉由調節,例如,該處理站之排出系統中之節流閥、進入該處理站中之氣體流速等等而控制該處理站中之壓力。
一加熱器控制程式可包含用於控制用於加熱該基板之一加熱單元之電流之編碼。或者,該加熱器控制程式可控制一熱傳氣體(諸如,氦氣)至該基板之運送。
一電漿控制程式可包含用於設定施加至一個或一個以上處理站中之處理電極之RF功率位準之編碼。
在一些實施例中,具有一與系統控制器3350關聯之使用者介面。該使用者介面包含一顯示螢幕、裝置及/或製程條件之圖形軟體顯示器,及使用者輸入裝置,諸如,指向裝置、鍵盤、觸控螢幕、麥克風等等。
在一些實施例中,藉由系統控制器3350而調整之參數可關於製程條件。非限制實例包含處理氣體組成及流速、溫度、壓力、電漿條件(諸如,RF偏壓功率位準)、壓力、溫度等等。此等參數可以可使用該使用者介面輸入之一配方之形式而提供給使用者。
用於監測該製程之信號係可藉由系統控制器3350之類比及/或數位輸入連接而自多個處理工具感測器提供。用於控制該製程之信號係輸出至該處理工具3300之該類比及數位輸出連接上。可經監測之處理工具感測器之非限制性實例包含質量流控制器、壓力感測器(諸如,壓力計)、熱耦等等。經適當程式化之回饋及控制演算法可與來自此等感測器之資料組合使用而維持製程條件。
系統控制器3350可提供用於實施上述之沈積製程之程式指令。該等程式指令可控制各種製程參數,諸如,DC功率位準、RF偏壓功率位準、壓力、溫度等等。此等指令可控制該等參數,以達成根據本文所述之各種實施例之膜堆疊體之原位沈積。
上述之各種硬體及方法實施例可與微影蝕刻圖案化工具或製程組合使用,以製作或製造半導體裝置、顯示器、LED、光伏打面板及此類物。一般但不必要,此等工具/製程將在一共用製作設施中一併使用或執行。
一膜之微影蝕刻圖案化一般包括一些或所有下列步驟,各個步驟用若干可行工具而致能:(1)使用一旋塗或噴塗工具將光阻劑施加至一工件(即,基板)上;(2)使用一熱板或爐或其他合適之固化工具固化該光阻劑;(3)用諸如一晶圓步進器之工具而使該光阻劑曝露至可見光或UV或x射線;(4)使用諸如一濕台或噴塗顯影器之工具使該光阻劑顯影,以選擇性移除光阻劑且因此使光阻劑圖案化;(5)藉由使用一乾式或電漿輔助蝕刻工具將該光阻劑圖案轉印進入一下伏之膜或工件;及(6)使用諸如一RF或微波電漿光阻劑步進器移除該光阻劑。在一些實施例中,可在施加該光阻劑之前沈積一可灰化硬資光罩層(諸如,一非晶碳層)及另一合適之硬質光罩(諸如,一抗反射層)。
應理解,本文所述之組態及/或方法實際上係示例性,且因為可做出多種變化,故此等具體之實施例或實例並不意在從一限制意義上考量。本文所述之具體常式或方法可代表任何數目之處理策略中之一者或一者以上。因此,所圖解之各種動作可按所圖解之順序、其他順序、平行地執行,或在一些情形下予以省略。同樣地,上述之處理之順序可變化。
本發明之標的物包含本文所述之多個製程、系統及組態及其他特徵、功能、工作及/或性質之所有新穎及非明顯組合及子組合,以及其等之任何及所有等效物。
100...膜堆疊體
102...第一膜
104...第二膜
106...基板
600...膜堆疊體
602...氮化矽膜
602A...氮化矽膜
604...超平滑PECVD SiO2
606...超平滑PECVD SiO2
610...氮化矽頂面
702...超平滑PECVD SiO2膜層
710...超平滑PECVD SiO2膜層之頂面
1100...膜堆疊體
1102...習知之基於矽烷之PECVD SiO2膜層
1104...層
1106...超平滑PECVD SiO2膜層
1108...超平滑PECVD SiO2膜層之頂面
1304...氮化矽層
1308...氮化矽層1304之表面
3100...處理站
3172...處理腔室本體
3174...處理氣體運送線
3178...蓮蓬頭
3180...固持器
3182...托架
3184...加熱器
3186...基板
3188...電源
3190...匹配網路
3192...電漿
3194...電漿殼罩
3196...蝴蝶閥
3198...處理站排出線
3200...處理工具
3262...處理站
3264...共用混合容器
3300...處理工具
3302...入站負載鎖
3304...出站負載鎖
3306...機器人
3308...箱
3310...大氣埠
3314...處理腔室
3316...腔室運輸埠
3318...處理站固持器
3350...系統控制器
3352...處理器
3354...大容量儲存裝置
3356...記憶體裝置
3358...機器可讀系統控制軟體
3390...基板搬運系統
3400...多站處理工具
3404...低壓運輸腔室
3406...機器人
3408...負載鎖
3410...大氣基板轉移模組
3412...大氣機器人
圖1示意性地顯示一膜堆疊體之一實例實施例,該膜堆疊體包含沈積於一基板上之交替之第一膜層及第二膜層。
圖2顯示沈積於包含11對交替SiN/SiO2層之一膜堆疊體之頂部之實例的基於原矽酸四乙酯(TEOS)之經電漿增強化學氣相沈積(PECVD)SiO2膜之一表面之原子力顯微術(AFM)影像。
圖3顯示沈積於包含11對交替之SiN/SiO2層之一膜堆疊體之頂部之實例的習知之基於矽烷PECVD SiO2膜之一表面之原子力顯微術(AFM)影像。
圖4顯示根據本發明之一實施例沈積於包含14對交替之SiN/SiO2層之一膜堆疊體之頂部之實例超平滑PECVD SiO2膜之一表面之原子力顯微術(AFM)影像。
圖5以圖表顯示習知之PECVD及原子層沈積(ALD)SiO2膜與根據本發明之實施例而沈積之實例超平滑PECVD SiO2膜之絕對粗糙度之一比較。
圖6示意性地顯示包含根據本發明之一實施例而沈積之實例超平滑PECVD SiO2膜之一膜堆疊體之一實施例,該超平滑PECVD SiO2膜與氮化矽膜交錯。
圖7示意性地顯示根據本發明之一實施例而沈積於圖6中所示意性地繪示之膜堆疊體之頂部上之一超平滑PECVDSiO2膜。
圖8顯示曝露於圖6中所示意性地繪示之該膜堆疊體之頂部之氮化矽表面之一AFM影像。
圖9顯示根據本發明之一實施例沈積於圖7中所示意性地繪示之該膜堆疊體之頂部之一個300 超平滑PECVD SiO2膜之一表面之一AFM影像。
圖10顯示根據本發明之一實施例沈積於圖7中示意性地繪示之膜堆疊體之頂部之一個3000 超平滑PECVD SiO2膜之一表面之一AFM影像。
圖11示意性地顯示包含根據本發明之一實施例而沈積之實例超平滑PECVD SiO2膜之一膜堆疊體之另一實施例,該超平滑PECVD SiO2膜與氮化矽膜交錯。
圖12用圖表顯示根據本發明之一實施例而沈積之一超平滑SiO2膜、習知之基於TEOS之PECVD SiO2膜及習知之基於矽烷之PECVD SiO2膜之間之厚度關係及此等膜之絕對粗糙度測量值。
圖13示意性地顯示沈積於圖11中所示意性地繪示之該膜堆疊體之頂部之氮化矽膜。
圖14用圖表顯示根據本發明之一實施例而沈積之一超平滑SiO2膜、習知之基於TEOS之PECVD SiO2膜及習知之基於矽烷之PECVD SiO2膜之間之厚度關係及沈積於此等SiO2膜中之各者上之一個800 之氮化矽膜之絕對粗糙度測量值。
圖15顯示圖解根據本發明之一實施例之沈積一超平滑PECVD含矽膜之方法之一流程圖。
圖16用圖表顯示習知之PECVD SiO2膜與根據本發明之實施例而沈積之超平滑PECVD SiO2膜之表面粗糙度及矽烷流速之一實例關係。
圖17用圖表顯示針對圖7中所示之該實例膜之矽烷流速及SiO2膜沈積速率之一實例關係。
圖18用圖表顯示根據本發明之實施例而沈積之超平滑PECVD SiO2膜之處理站壓力與SiO2膜沈積速率之間之關係,該SiO2膜係自具有類似之矽烷流速但是具有不同之總氣體流速之超平滑PECVD製程而沈積。
圖19用圖表顯示根據本發明之實施例而沈積之超平滑PECVD SiO2膜之膜應力、SiO2膜沈積速率與矽烷流速之間之關係。
圖20用圖表顯示根據本發明之實施例而沈積之超平滑PECVD SiO2膜之膜應力、基板彎曲度與膜厚度之間之關係。
圖21用圖表顯示針對熱生長SiO2膜、基於TEOS之PECVD SiO2膜與根據本發明之一實施例而沈積之一超平滑PECVD SiO2膜之顯示Si-O鍵拉伸模式資料之傅立葉(Fourier)轉換紅外光譜之比較。
圖22用圖表顯示根據本發明之實施例所沈積之超平滑PECVD SiO2膜之絕對粗糙度與高頻電漿之一功率位準之間之關係。
圖23用圖表顯示根據本發明之實施例而沈積之超平滑PECVD SiO2膜之處理站壓力與絕對粗糙度之間之相依性。
圖24用圖表顯示針對根據本發明之實施例所沈積之超平滑PECVD SiO2膜之基板範圍內非均勻性與處理站壓力之間之關係。
圖25用圖表顯示根據本發明之實施例而沈積之超平滑PECVD SiO2膜之沈積速率與氬氣流速之間之關係。
圖26用圖表顯示根據本發明之實施例所沈積之超平滑PECVD SiO2膜之絕對粗糙度與氬氣流速之間之關係。
圖27用圖表顯示針對根據本發明之實施例而沈積之實例超平滑PECVD氧化矽與氮氧化矽膜之顯示Si-O鍵拉伸模式資料之傅立葉轉換紅外光譜之比較。
圖28用圖表顯示針對實例習知氮化矽膜與根據本發明之實施例所沈積之實例超平滑PECVD氮化矽膜之Si-N鍵拉伸模式資料之傅立葉轉換紅外光譜之一比較。
圖29用圖表顯示針對實例習知氮化矽膜與針對根據本發明之實施例而沈積之實例超平滑PECVD氮化矽膜之N-H鍵拉伸模式資料之另一傅立葉轉換紅外光譜之比較。
圖30用圖表顯示針對實例習知氮化矽膜與針對根據本發明之實施例而沈積之實例超平滑PECVD氮化矽膜之Si-H鍵拉伸模式資料之另一傅立葉轉換紅外光譜之比較。
圖31示意性地顯示根據本發明之一實施例之一處理站。
圖32示意性地顯示根據本發明之一實施例之一個多站處理工具。
圖33示意性地顯示根據本發明之一實施例之另一個多站處理工具。
圖34示意性地顯示根據本發明之一實施例之另一個多站處理工具。
(無元件符號說明)

Claims (25)

  1. 一種用於在一經電漿增強化學氣相沈積裝置中在一基板上形成一含矽膜之方法,該方法包括:將一含矽反應物供應至該經電漿增強化學氣相沈積裝置,其中該含矽反應物包含選自矽烷、二矽烷、鹵代矽烷及烷基取代矽烷中之一者或一者以上之反應物;將一共反應物(co-reactant)供應至該經電漿增強化學氣相沈積裝置,其中該共反應物包含選自NH3、N2O、CO及CO2中之一者或一者以上之共反應物;將一經電容性耦合之電漿供應至該經電漿增強化學氣相沈積裝置之一處理站中,該電漿包含自該含矽反應物所產生之矽基團及自該共反應物產生之共反應物基團;及在該基板上沈積該含矽膜,其製程條件係組態以形成該含矽膜具有之一折射率在1.4至2.1之間,且在一矽基板上測量時,在沈積膜高達3000Å之厚度範圍內,進一步具有之一絕對粗糙度係小於4.5Å,其中該製程條件包括:供應該含矽反應物之量之至少150倍之該共反應物。
  2. 如請求項1之方法,其中該含矽膜為二氧化矽膜。
  3. 如請求項1之方法,其中該電漿所具有之一離子密度係小於2×1010個離子每立方公分(ions/cm3)。
  4. 如請求項1之方法,其中供應一電漿至該基板包含產生一高頻電漿。
  5. 一種用於在一基板上原位(in-situ)形成包含一第一膜及 一第二膜之一膜堆疊體之方法,該第一膜與該第二膜具有不同之材料組成,該方法包括:在一第一膜沈積階段中,供應一第一反應氣體混合物至一處理站;使用該第一反應氣體混合物維持一第一電漿;用該第一電漿在該基板上沈積該第一膜;且在一第二膜沈積階段中,且不具有一中間(intervening)之真空斷裂,供應一第二反應氣體混合物至該處理站;使用該第二反應氣體混合物維持一第二電漿;用該第二電漿在該基板上沈積該第二膜,其中形成膜堆疊之該絕對粗糙度為該第一膜之該絕對粗糙度之90%或更小,及其中該第二膜係使用包含一含矽反應物及其他氣體或複數氣體之一處理氣體以每秒小於10Å沈積速率沈積,其中該其他氣體或複數氣體係以供應該含矽反應物之量之至少150倍之該共反應物供應。
  6. 如請求項5之方法,其中該第一膜係多晶矽膜及非晶矽膜中之一者且其中該第二膜係具有之一折射率介於1.4至2.1之間之一含矽膜,在一矽基板上測量時,該含矽膜進一步具有之一絕對粗糙度係小於4.5Å。
  7. 如請求項6之方法,其中該第一膜包含硼摻雜劑、砷摻雜劑及磷摻雜劑中之一者。
  8. 如請求項5之方法,其中該第一膜為氮化矽膜且其中該 第二膜為具有之一折射率介於1.4至2.1之間之一含矽膜,在一矽基板上測量時,該含矽膜進一步具有之一絕對粗糙度係小於4.5Å。
  9. 如請求項5之方法,其中增加該第二膜之一厚度將減小一第三膜相對於該第一膜之一絕對粗糙度之一絕對粗糙度,該第三膜係在該第一膜沈積階段及該第二膜沈積階段之原位而在一第三膜沈積階段中沈積。
  10. 如請求項5之方法,其中該第二膜沈積階段包含用一經電容性耦合之電漿源來產生該第二電漿。
  11. 如請求項5之方法,其中該第二膜沈積階段包含在8托(torr)或更小之處理站壓力下產生該第二電漿。
  12. 如請求項5之方法,其中該第二膜沈積階段包含將該第二電漿中之離子密度維持在小於2×1010個離子每立方公分。
  13. 如請求項5之方法,其中該第二膜沈積階段包含供應一惰性氣體至該第二電漿。
  14. 如請求項5之方法,其進一步包括:供應光阻劑至該基板;使該光阻劑曝光;圖案化該光阻劑使其具有一圖案且將該圖案轉印至該基板;及選擇性地將該光阻劑自該基板移除。
  15. 一種經電漿增強化學氣相沈積裝置,其經組態而在一基板上沈積一含矽膜,該裝置包括: 一處理站;一第一反應物饋送件,其係用於將一含矽反應物供應至該處理站;一第二反應物饋送件,其係用於將一共反應物供應至該處理站;一經電容性耦合之電漿源;及一控制器,其經組態而控制該經電容性耦合之電漿源,以維持一電漿且控制該處理站之一個或一個以上之製程參數,以實施一含矽膜之沈積,其製程條件係組態以形成該含矽膜所具有之一折射率為介於1.4至2.1之間且當在一矽基板上測量時,在沈積膜高達3000Å之厚度範圍內,一絕對粗糙度係小於4.5Å,其中該控制器包括程式指令用以將一含矽反應物供應至該經電漿增強化學氣相沈積裝置,其中該含矽反應物包含選自矽烷、二矽烷、鹵代矽烷及烷基取代矽烷中之一者或一者以上之反應物;將一含矽反應物之量之至少150倍之該共反應物供應至該經電漿增強化學氣相沈積裝置,其中該共反應物包含選自NH3、N2O、CO及CO2中之一者或一者以上之共反應物;將一經電容性耦合之電漿供應至該經電漿增強化學氣相沈積裝置之一處理站中,該電漿包含自該含矽反應物所產生之矽基團及自該共反應物產生之共反應物基團;及在該基板上沈積該含矽膜。
  16. 如請求項15之裝置,其進一步包括設置於該處理站內之一蓮蓬頭,該蓮蓬頭經組態而將處理氣體分佈至該基板,該蓮蓬頭係經流體地耦合至NH3源、N2O源、CO源、CO2源、矽烷源、二矽烷源、氮氣源、肼源、鹵代矽烷源及烷基取代矽烷源中之一者或一者以上。
  17. 一種系統,其包括如請求項15之裝置及一步進器工具。
  18. 一種用於在一經電漿增強化學氣相沈積裝置中之一基板上形成一PECVD膜之方法,該方法包括:將一含矽反應物供應至該經電漿增強化學氣相沈積裝置;將一共反應物供應至該經電漿增強化學氣相沈積裝置,該共反應物供應一合適之還原環境;將一經電容性耦合之電漿供應至該經電漿增強化學氣相沈積裝置之一處理站,該經電容性耦合之電漿包含自該含矽反應物產生之矽基團及自該共反應物產生之共反應物基團;及在該基板上沈積該PECVD膜,其製程條件係組態以形成製造一膜在一矽基板上測量時,在該沈積膜之高達3000Å之厚度範圍內,該膜具有之一絕對粗糙度係小於4.5Å。
  19. 如請求項18之方法,其中該共反應物包括氫氣。
  20. 如請求項18之方法,其中該PECVD膜係一非晶矽膜。
  21. 如請求項18之方法,其中該PECVD膜係一多晶矽膜。
  22. 如請求項21之方法,其中該PECVD膜包含一摻雜劑。
  23. 如請求項22之方法,其中該摻雜劑包括硼、砷及磷中之至少一者。
  24. 如請求項18之方法,其進一步包括添加一DC偏壓,以促進該PECVD膜之表面重排。
  25. 如請求項18之方法,其進一步包括對該PECVD膜之表面施加一離子轟擊,以促進該PECVD膜之表面重排。
TW100130548A 2010-09-13 2011-08-25 平滑之含矽膜 TWI547587B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US38246810P 2010-09-13 2010-09-13
US38246510P 2010-09-13 2010-09-13
US39470710P 2010-10-19 2010-10-19
US12/970,853 US8709551B2 (en) 2010-03-25 2010-12-16 Smooth silicon-containing films

Publications (2)

Publication Number Publication Date
TW201219595A TW201219595A (en) 2012-05-16
TWI547587B true TWI547587B (zh) 2016-09-01

Family

ID=45832156

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100130548A TWI547587B (zh) 2010-09-13 2011-08-25 平滑之含矽膜

Country Status (6)

Country Link
US (1) US8709551B2 (zh)
KR (1) KR101863388B1 (zh)
CN (1) CN103119692B (zh)
SG (1) SG188355A1 (zh)
TW (1) TWI547587B (zh)
WO (1) WO2012036808A2 (zh)

Families Citing this family (381)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US8435608B1 (en) * 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US9028924B2 (en) 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
MY174446A (en) * 2010-06-25 2020-04-19 Petroliam Nasional Berhad Petronas A method and system for validating energy measurement in a high pressure gas distribution network
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
CN103403852B (zh) 2011-03-01 2016-06-08 应用材料公司 双负载闸配置的消除及剥离处理腔室
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9466524B2 (en) * 2012-01-31 2016-10-11 Applied Materials, Inc. Method of depositing metals using high frequency plasma
CN104220637A (zh) * 2012-02-14 2014-12-17 诺发系统公司 用于半导体器件应用的氮化硅膜
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9165788B2 (en) 2012-04-06 2015-10-20 Novellus Systems, Inc. Post-deposition soft annealing
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) * 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9117668B2 (en) 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9388491B2 (en) 2012-07-23 2016-07-12 Novellus Systems, Inc. Method for deposition of conformal films with catalysis assisted low temperature CVD
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018093B2 (en) * 2013-01-25 2015-04-28 Asm Ip Holding B.V. Method for forming layer constituted by repeated stacked layers
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9417515B2 (en) * 2013-03-14 2016-08-16 Applied Materials, Inc. Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
WO2014189690A1 (en) 2013-05-20 2014-11-27 The Trustees Of Princeton University Hyperuniform and nearly hyperuniform random network materials
US8895415B1 (en) * 2013-05-31 2014-11-25 Novellus Systems, Inc. Tensile stressed doped amorphous silicon
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
KR101489306B1 (ko) * 2013-10-21 2015-02-11 주식회사 유진테크 어모퍼스 실리콘막의 증착 방법 및 증착 장치
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
CN105934819B (zh) 2014-01-21 2019-04-26 应用材料公司 用于3d闪存应用的电介质-金属堆叠
CN106558599B (zh) 2015-09-29 2020-04-07 中芯国际集成电路制造(上海)有限公司 电阻随机存取存储器及其形成方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10354860B2 (en) 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9984858B2 (en) * 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10559459B2 (en) * 2016-03-11 2020-02-11 Taiyo Nippon Sanso Corporation Method for producing silicon nitride film and silicon nitride film
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN106783543A (zh) * 2016-12-23 2017-05-31 武汉新芯集成电路制造有限公司 无定形硅的沉积方法和3d‑nand闪存的制作方法
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6564802B2 (ja) * 2017-03-22 2019-08-21 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10358717B2 (en) * 2017-04-21 2019-07-23 Lam Research Corporation Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
GB201714646D0 (en) * 2017-09-12 2017-10-25 Spts Technologies Ltd Saw device and method of manufacture
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
CN107779841B (zh) * 2017-10-18 2019-07-05 扬州乾照光电有限公司 一种SiO2薄膜的沉积方法以及基板
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10217626B1 (en) 2017-12-15 2019-02-26 Mattson Technology, Inc. Surface treatment of substrates using passivation layers
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11450513B2 (en) 2018-03-30 2022-09-20 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
TWI668536B (zh) * 2018-11-12 2019-08-11 巨擘科技股份有限公司 腕錶裝置及其操作方法
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
WO2020243342A1 (en) 2019-05-29 2020-12-03 Lam Research Corporation High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
CN111139452B (zh) * 2020-01-21 2021-10-26 国家纳米科学中心 一种低温制备非晶硅膜材料的方法、得到的产品和用途
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
CN112420871B (zh) * 2020-09-30 2021-07-20 无锡中科德芯光电感知技术研究院有限公司 台面型铟镓砷探测器芯片及其制备方法
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220336216A1 (en) * 2021-04-20 2022-10-20 Applied Materials, Inc. Helium-free silicon formation
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06240459A (ja) * 1993-02-16 1994-08-30 G T C:Kk 酸化ケイ素薄膜の形成法
US5851602A (en) * 1993-12-09 1998-12-22 Applied Materials, Inc. Deposition of high quality conformal silicon oxide thin films for the manufacture of thin film transistors
US6811831B1 (en) * 2002-11-20 2004-11-02 Silicon Magnetic Systems Method for depositing silicon nitride
US20080268176A1 (en) * 2004-04-20 2008-10-30 Applied Materials, Inc. Method of improving the uniformity of PECVD-deposited thin films
TW200931520A (en) * 2007-09-18 2009-07-16 Air Liquide Method of forming silicon-containing films

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0831454B2 (ja) 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
JP2875945B2 (ja) * 1993-01-28 1999-03-31 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でシリコン窒化薄膜を堆積する方法
JP3164956B2 (ja) * 1993-01-28 2001-05-14 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でアモルファスシリコン薄膜を堆積する方法
DE69424759T2 (de) * 1993-12-28 2001-02-08 Applied Materials Inc Gasphasenabscheidungsverfahren in einer einzigen Kammer für Dünnfilmtransistoren
US6235650B1 (en) 1997-12-29 2001-05-22 Vanguard International Semiconductor Corporation Method for improved semiconductor device reliability
US6066550A (en) 1998-06-05 2000-05-23 United Integrated Circuits Corp. Method of improving selectivity between silicon nitride and silicon oxide
KR100296137B1 (ko) 1998-06-16 2001-08-07 박종섭 보호막으로서고밀도플라즈마화학기상증착에의한절연막을갖는반도체소자제조방법
KR100299594B1 (ko) * 1998-07-13 2001-09-22 윤종용 디램 장치의 제조 방법
JP2000208422A (ja) 1999-01-08 2000-07-28 Sony Corp 積層膜形成方法及び薄膜製造装置
JP2001044191A (ja) 1999-07-27 2001-02-16 Sony Corp 積層絶縁膜とその製造方法および半導体装置とその製造方法
US6580170B2 (en) 2000-06-22 2003-06-17 Texas Instruments Incorporated Semiconductor device protective overcoat with enhanced adhesion to polymeric materials
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
JP4866534B2 (ja) * 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
KR20040008527A (ko) 2002-07-18 2004-01-31 주식회사 하이닉스반도체 반도체 소자의 제조방법
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7273526B2 (en) 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
JP4489618B2 (ja) 2005-03-14 2010-06-23 株式会社ルネサステクノロジ 半導体装置の製造方法
US7635651B2 (en) * 2005-08-23 2009-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of smoothening dielectric layer
US20070059942A1 (en) 2005-09-09 2007-03-15 Chi Lin Technology Co., Ltd. Plasma cvd process for manufacturing multilayer anti-reflection coatings
US7560321B2 (en) * 2006-03-17 2009-07-14 Advanced Lcd Technologies Development Center Co., Ltd. Crystallization method, thin film transistor manufacturing method, thin film transistor, display, and semiconductor device
US20070264842A1 (en) 2006-05-12 2007-11-15 Samsung Electronics Co., Ltd. Insulation film deposition method for a semiconductor device
JP2008124111A (ja) * 2006-11-09 2008-05-29 Nissin Electric Co Ltd プラズマcvd法によるシリコン系薄膜の形成方法
CN100577866C (zh) 2007-02-27 2010-01-06 中微半导体设备(上海)有限公司 应用于等离子体反应室中的气体喷头组件、其制造方法及其翻新再利用的方法
US20090159119A1 (en) 2007-03-28 2009-06-25 Basol Bulent M Technique and apparatus for manufacturing flexible and moisture resistive photovoltaic modules
US8114484B2 (en) * 2007-07-19 2012-02-14 Applied Materials, Inc. Plasma enhanced chemical vapor deposition technology for large-size processing
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
JP5248995B2 (ja) * 2007-11-30 2013-07-31 株式会社半導体エネルギー研究所 光電変換装置の製造方法
US8013389B2 (en) 2008-11-06 2011-09-06 Samsung Electronics Co., Ltd. Three-dimensional nonvolatile memory devices having sub-divided active bars and methods of manufacturing such devices
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
KR20110009762A (ko) 2009-07-23 2011-01-31 삼성전자주식회사 트랜지스터 및 그 제조 방법
CN102652186A (zh) 2009-12-22 2012-08-29 应用材料公司 利用持续的等离子体的pecvd多重步骤处理
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US9028924B2 (en) 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
US20130157466A1 (en) 2010-03-25 2013-06-20 Keith Fox Silicon nitride films for semiconductor device applications
US20120142172A1 (en) 2010-03-25 2012-06-07 Keith Fox Pecvd deposition of smooth polysilicon films
CN104220637A (zh) 2012-02-14 2014-12-17 诺发系统公司 用于半导体器件应用的氮化硅膜
US9165788B2 (en) 2012-04-06 2015-10-20 Novellus Systems, Inc. Post-deposition soft annealing

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06240459A (ja) * 1993-02-16 1994-08-30 G T C:Kk 酸化ケイ素薄膜の形成法
US5851602A (en) * 1993-12-09 1998-12-22 Applied Materials, Inc. Deposition of high quality conformal silicon oxide thin films for the manufacture of thin film transistors
US6811831B1 (en) * 2002-11-20 2004-11-02 Silicon Magnetic Systems Method for depositing silicon nitride
US20080268176A1 (en) * 2004-04-20 2008-10-30 Applied Materials, Inc. Method of improving the uniformity of PECVD-deposited thin films
TW200931520A (en) * 2007-09-18 2009-07-16 Air Liquide Method of forming silicon-containing films

Also Published As

Publication number Publication date
SG188355A1 (en) 2013-04-30
US8709551B2 (en) 2014-04-29
CN103119692A (zh) 2013-05-22
WO2012036808A3 (en) 2012-05-31
KR20130140671A (ko) 2013-12-24
CN103119692B (zh) 2016-10-19
WO2012036808A2 (en) 2012-03-22
US20110236600A1 (en) 2011-09-29
TW201219595A (en) 2012-05-16
KR101863388B1 (ko) 2018-05-31

Similar Documents

Publication Publication Date Title
TWI547587B (zh) 平滑之含矽膜
KR102281521B1 (ko) 필름 스택의 인-시츄 증착
KR102505139B1 (ko) 평탄한 실리콘막들의 pecvd 성막
CN109791870B (zh) 半导体器件制造中高品质氧化硅膜的低温形成
KR102628080B1 (ko) 실리콘 옥사이드를 증착하기 위한 방법들
TWI675797B (zh) 用於進階圖案化之軟著陸奈米層
KR101913443B1 (ko) 컨포멀 막들의 플라즈마 활성화된 성막
US20110151142A1 (en) Pecvd multi-step processing with continuous plasma
KR20140147086A (ko) 반도체 디바이스 애플리케이션들을 위한 실리콘 질화물 막들
TW201341569A (zh) 用於半導體元件應用之氮化矽膜