CN103119692B - 平滑的含硅膜 - Google Patents

平滑的含硅膜 Download PDF

Info

Publication number
CN103119692B
CN103119692B CN201180044067.XA CN201180044067A CN103119692B CN 103119692 B CN103119692 B CN 103119692B CN 201180044067 A CN201180044067 A CN 201180044067A CN 103119692 B CN103119692 B CN 103119692B
Authority
CN
China
Prior art keywords
film
silicon
plasma
pecvd
reactant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201180044067.XA
Other languages
English (en)
Other versions
CN103119692A (zh
Inventor
基思·福克斯
牛冬
乔·沃马克
曼迪亚姆·西里拉姆
乔治·安德鲁·安东内利
巴特·范施拉芬迪克
珍妮弗·奥洛克林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of CN103119692A publication Critical patent/CN103119692A/zh
Application granted granted Critical
Publication of CN103119692B publication Critical patent/CN103119692B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02505Layer structure consisting of more than two layers
    • H01L21/02507Alternating layers, e.g. superlattice
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

描述了一种用于沉积超平滑的含硅膜和膜堆叠的方法和硬件。在一种实施方式中,公开了在等离子体增强化学气相沉积装置中在衬底上形成含硅膜的方法的一种实施方式,该方法包括:供给含硅反应物至该等离子体增强化学气相沉积装置;供给共反应物至该等离子体增强化学气相沉积装置;供给电容耦合等离子体至该等离子体增强化学气相沉积装置的处理站,该等离子体包括从该含硅反应产生的硅自由基和从该共反应物产生的共反应物自由基;以及在该衬底上沉积该含硅膜,该含硅膜具有介于1.4和2.1之间的折射率,该含硅膜还具有在硅衬底上测量的小于或等于4.5埃的绝对粗糙度。

Description

平滑的含硅膜
相关申请的交叉引用
本申请要求于2010年9月13日提交的名称为“IN-SITU PLASMA-ENHANCEDCHEMICAL VAPOR DEPOSITION OF FILM STACKS”的美国临时专利申请序列No.61/382,465;于2010年9月13日提交的名称为“SMOOTH SILANE-BASED FILMS,”的美国临时专利申请序列No.61/382,468;于2010年10月19日提交的名称为“IN-SITU PLASMA-ENHANCED CHEMICALVAPOR DEPOSITION OF FILM STACKS,”的美国临时专利申请序列No.61/394,707;于2010年12月16日提交的名称为“SMOOTH SLICON-CONTAINING FILMS,”的美国非临时专利申请序列No.12/970,853的权益,其中的全部内容通过引用并入本文用于所有目的。
背景技术
图案化用于三维(3D)存储器设备的膜堆叠会是困难的。用于沉积膜层的一些常规的原子层沉积(ALD)、化学气相沉积(CVD)、高密度等离子体化学气相沉积(HDP-CVD)、以及等离子体增强化学气相沉积(PECVD)工艺会产生不可接受的粗糙的膜,导致不可接受的膜层之间的界面混合,并且可以具有由在依次沉积的膜层之间的真空中断引起的界面缺陷。随着该膜堆叠的建立,产生的这些粗糙的膜的界面和界面缺陷会通过随后沉积的层而放大,使得对于下游的图案化工艺,膜堆叠的顶表面可能是不可接受的粗糙。此外,膜堆叠内的界面缺陷可能导致3D存储设备内的结构缺陷和/或电气缺陷。
发明内容
本发明描述了涉及沉积超平滑的含硅膜的各种实施方式。例如,一个公开的实施方式提供了一种在衬底上原位形成包括第一膜和第二膜的膜堆叠的方法,该第一膜具有与该第二膜不同的材料组分。该方法包括:在第一膜沉积阶段,供给第一反应物气体混合物至处理站,使用该第一反应物气体混合物来保持第一等离子体,用该第一等离子体在该衬底上沉积该第一膜;且在第二膜沉积阶段,并且没有中间真空中断,供给第二反应气体混合物至该处理站,使用该第二反应气体混合物来保持第二等离子体,用该第二等离子在该衬底上沉积该第二膜,以及控制该第二膜沉积阶段的工艺参数,从而使该第二膜的绝对粗糙度随着该第二膜的厚度的增加而减小。
另一种公开的实施方式包括:供给含硅反应物至等离子体增强化学气相沉积装置;供给共反应物至该等离子体增强化学气相沉积装置;供给电容耦合等离子体至该等离子体增强化学气相沉积装置的处理站,该等离子体包括从该含硅反应物产生的硅自由基和从该共反应物产生的共反应物自由基;以及在该衬底上沉积该含硅膜,该含硅膜具有介于1.4和2.1之间的折射率,该含硅膜还具有在硅衬底上测量的(即,在硅衬底上直接沉积的膜上测量的)小于或等于4.5埃的绝对粗糙度。
提供本发明内容以引入简化形式的构思的选择,在下面的具体实施方式中详细描述了这些构思。本发明内容并不意图确定权利要求的主题的关键特征或基本特征,也不意图用于限制权利要求保护的主题的范围。此外,权利要求保护的主题的范围并不限于解决本公开的任何部分中指出的任何或所有缺点的实现方式。
附图说明
图1示意性地示出了沉积在衬底上的包括第一膜和第二膜的交替层的膜堆叠的示例性实施方式。
图2示出了沉积在包括11对交替的SiN/SiO2层的膜堆叠的顶部的示例性基于原硅酸四乙酯(TEOS)的等离子体增强化学气相沉积(PECVD)SiO2膜的表面的原子力显微镜(AFM)图像。
图3示出了沉积在包括11对交替的SiN/SiO2层的膜堆叠的顶部的示例性常规的基于硅烷的PECVD SiO2膜的表面的原子力显微镜(AFM)图像。
图4示出了根据本公开的实施方式沉积在包括14对交替的SiN/SiO2层的膜堆叠的顶部的示例性超平滑的PECVD SiO2膜的表面的原子力显微镜(AFM)图像。
图5示意性地示出了常规的PECVD和原子层沉积(ALD)的SiO2膜和根据本发明的一个实施方式沉积的示例性超平滑的PECVD SiO2膜之间的绝对粗糙度的比较。
图6示意性地示出了包括根据本公开的一个实施方式沉积的示例性超平滑的PECVD SiO2膜、与氮化硅膜交替的超平滑的PECVD SiO2膜的堆叠的一个实施方式。
图7示意性地示出了在图6中示意性地示出的膜堆叠的顶部根据本公开的一个实施方式沉积的超平滑的PECVD SiO2膜。
图8示出了在图6中示意性地示出的膜堆叠的顶部暴露的氮化硅表面的AFM图像。
图9示出了在图7中示意性地示出的膜堆叠的顶部根据本公开的一个实施方式沉积的300埃的超平滑的PECVD SiO2膜的表面的AFM图像。
图10示出了在图7中示意性地示出的膜堆叠的顶部根据本公开的一个实施方式沉积的3000埃的超平滑的PECVD SiO2膜的表面的AFM图像。
图11示意性地示出了包括根据本公开的一个实施方式沉积的示例性超平滑的PECVD SiO2膜、与氮化硅膜交替的超平滑的PECVD SiO2膜的膜堆叠的另一实施方式。
图12图示地示出了根据本公开的一个实施方式沉积的超平滑的PECVD SiO2膜、常规的基于TEOS的PECVD SiO2膜、以及常规的基于硅烷的PECVD SiO2膜的厚度与这些膜的绝对粗糙度测量值之间的示例性关系。
图13示意性地示出了在图11示意性地示出的膜堆叠的顶部上沉积的氮化硅膜。
图14图示地示出了根据本公开的一个实施方式沉积的超平滑的PECVD SiO2膜、常规的基于TEOS的PECVD SiO2膜、以及常规的基于硅烷的PECVD SiO2膜的厚度与沉积在这些SiO2膜中的每一个上的800埃的氮化硅膜的绝对粗糙度测量值之间的示例性关系。
图15示出了流程图,其示出了根据本公开的一个实施方式沉积超平滑的PECVD含硅膜的方法。
图16图示地示出了常规的PECVD SiO2膜和根据本公开的实施方式沉积的超平滑的PECVD SiO2膜的表面粗糙度和硅烷流率之间的示例性关系。
图17图示地示出了用于在图7中所示的示例性膜的硅烷流率和SiO2膜沉积速率之间的示例关系。
图18图示地示出了根据本公开的实施方式沉积的超平滑的PECVD SiO2膜的处理站压强和SiO2膜的沉积速率之间的关系,从具有类似的硅烷流率但不同的总的气体流率的超平滑的PECVD工艺中沉积该SiO2膜。
图19图示地示出了根据本公开的一个实施方式沉积的超平滑的PECVD SiO2膜的膜应力、SiO2膜的沉积速率和硅烷的流率之间的关系。
图20图示地示出了根据本公开的一个实施方式沉积的超平滑的PECVD SiO2膜的膜应力、衬底弯曲(bow)和膜厚度之间的关系。
图21图示地示出了傅里叶变换红外光谱的比较,其示出了热生长的SiO2膜、基于TEOS的PECVD SiO2膜和根据本发明的一个实施方式沉积的超平滑的PECVD SiO2膜的Si-O的伸缩模式数据。
图22图示地示出了根据本公开的实施方式沉积的超平滑的PECVD SiO2膜的绝对粗糙度与高频等离子体的功率电平之间的关系。
图23图示地示出了根据本公开的实施方式沉积的超平滑的PECVD SiO2膜的处理站压强与绝对粗糙度的依赖关系。
图24图示地示出了根据本公开的实施方式沉积的超平滑的PECVD SiO2膜的衬底范围内非均匀性与处理站压强之间的关系。
图25图示地示出了根据本公开的实施方式沉积的超平滑的PECVD SiO2膜的沉积速率和氩气的流率之间的关系。
图26图示地示出了根据本公开的实施方式沉积的超平滑的PECVD SiO2膜的绝对粗糙度和氩气的流率之间的关系。
图27图示地示出了傅里叶变换红外光谱的另一比较,其示出根据本公开的实施方式沉积的示例性超平滑的PECVD氧化硅膜和硅氮化物膜的Si-O键伸缩模式数据。
图28图示地示出了傅里叶变换红外光谱的一比较,其示出了示例性常规的氮化硅膜和根据本公开的实施方式沉积的示例性超平滑的PECVD氮化硅膜的Si-N键伸缩模式数据。
图29图示地示出了傅里叶变换红外光谱的另一比较,其示出示例性常规的氮化硅膜和根据本公开的实施方式沉积的示例性超平滑的PECVD氮化硅膜的N-H键伸缩模式数据。
图30图示地示出了傅里叶变换红外光谱的另一比较,其示出示例性常规的氮化硅膜和根据本公开的实施方式沉积的示例性超平滑的PECVD氮化硅膜的Si-H键伸缩模式数据。
图31示意性地示出了根据本公开的一个实施方式的处理站。
图32示意性地示出了根据本公开的一个实施方式的多站处理工具。
图33示意性地示出了根据本公开的一个实施方式的另一多站处理工具。
图34示意性地示出了根据本公开的一个实施方式的另一多站处理工具。
具体实施方式
诸如NAND闪存等一些存储设备以两维阵列排列。因为这些存储设备仅限于平面排列,裸片尺寸和存储密度参数会限制设备的总内存容量。反过来,向较大管芯(die)尺寸发展以扩展存储器容量会相对增加存储设备的成本,这会延迟采用较大容量的存储设备。最近,已经提出了一些用于将存储器栅(gate)布置成三维(3D)阵列的方法。这些方法中的一些引入通过图案化交替的膜组合物的堆叠形成的晶体管。图1示意性地示出了包括在衬底106上的第一膜102和第二膜104的交替层的膜堆叠100的实施例。
图案化这样的膜堆叠会是困难的。例如,产生于沉积的粗糙的膜表面和/或由在沉积事件之间的真空中断引起的膜开裂可以导致在随后沉积的层中的褶皱。随着膜堆叠的建立,这些褶皱可以扩大规模,并可能导致在下游的图案化操作中的对焦、曝光和蚀刻问题。因此,每个层高度平滑是合乎期望的。
用于沉积这样的二氧化硅膜的一些方法包括使用基于原硅酸四乙酯(Si(OC2H5)4,或TEOS)的等离子体增强化学气相沉积(PECVD)工艺或基于硅烷的PECVD工艺。然而,这些常规的PECVD工艺会产生不可接受的粗糙的膜。例如,用于沉积二氧化硅的常规的基于硅烷的PECVD工艺对于沉积在裸硅衬底上的1000埃的膜显示出7.2埃的绝对粗糙度(Ra),而用于沉积SiO2的常规的基于TEOS的PECVD工艺对于在硅衬底上沉积的1000埃的膜显示出4.5埃Ra的粗糙度(即,在裸硅衬底上直接沉积的膜测量的)。
堆叠的粗糙的膜的效果可以是累加的,使得膜堆叠的顶表面比单独的薄会更粗糙。图2和3示出了由原子力显微镜(AFM)测量的示例性SiN/SiO2膜堆叠的表面粗糙度的图像。图2示出了使用基于TEOS的PECVD SiO2沉积工艺的具有11对SiN/SiO2膜的膜堆叠的AFM图像200。作为参考,在裸硅衬底上沉积的1000埃的氮化硅膜的粗糙度是5.1埃Ra。
在如图2所示的实施例中,在膜堆叠的顶部的基于TEOS的SiO2膜显示出约9.9-10.6埃Ra的粗糙度。图3示出了使用基于硅烷的PECVD SiO2工艺(例如,以约500-600sccm的流率使用硅烷)的具有11交替对的SiN/SiO2膜的膜堆叠的AFM图像300。在图3所示的实施例中,SiO2膜具有约17至19埃Ra的粗糙度。因此,可以理解的是,常规的PECVD SiO2工艺可以沉积比基底膜更粗糙的膜。
用于沉积平滑的二氧化硅膜的一些其他方法采用高密度等离子体化学气相沉积(HDP-CVD)工艺。然而,HDP-CVD工艺通常采用大于2×1010离子/厘米3的离子密度。当沉积SiO2层时,如此高的离子密度沉积环境会非选择性溅射基底膜。这会导致不可接受的层间氧化,有可能导致在膜界面的电气缺陷或结构缺陷。另外,HDP-CVD工艺通常使用感应耦合等离子体源,其相对于用于PECVD工艺的电容耦合等离子体源是较为昂贵的,并且在工艺过程中相对地更容易产生缺陷。此外,因为HDP-CVD工艺设备可能不适合用于原位沉积多于一种的膜成分,在处理过程中可能需要真空中断,这有可能导致包含层间缺陷和额外设备费用。
因此,本发明公开涉及使用电容耦合等离子体源沉积超平滑的含硅膜的各种实施方式,超平滑的含硅膜包括如氧化硅(例如,SiO2和其子-氧化物)、硅氧氮化物、和氮化硅等介电膜,和如多晶硅和无定形硅等导电膜。在一些实施方式中,可以在处理工具中原位沉积超平滑的含硅膜的交替层(例如,在沉积阶段之间没有真空中断和/或空气暴露)。示例性膜堆叠包括但不限于二氧化硅和氮化硅的交替层、多晶硅和二氧化硅的交替层、多晶硅和氮化硅的交替层、以及掺杂的和未掺杂的无定形硅和/或多晶硅的交替层。
作为一个实施例,图4示出了具有14交替对的氮化硅膜和根据本公开的超平滑的PECVD工艺沉积的超平滑的二氧化硅膜的膜堆叠的AFM图像400。在图4所示的实施例中,超平滑的PECVD SiO2的顶层具有约4.6埃Ra的粗糙度,与如上所述并示于图2和3常规的PECVDSiO2工艺相比,显示出表面粗糙度大于2倍关系的改善,但在氮化硅的表面上沉积的一些超平滑的PECVD二氧化硅膜(在下面更详细讨论)显示出约3.6埃Ra的粗糙度值。另外,如上面所解释的,在裸硅衬底上沉积的1000埃的氮化硅膜的粗糙度是5.1埃Ra。因此,可以理解的是,在一些实施方式中,超平滑的PECVD SiO2工艺可以提供粗糙度小于基底膜的粗糙度的顶部表面。例如,在一些实施方式中,超平滑的PECVD SiO2膜可以具有绝对粗糙度,其为基底膜的粗糙度的约90%或以下。
不期望由理论限制,可以相信的是,在一些实施方式中,在相比于气相聚合反应和吸附反应,以明显更快的速率和/或以更大的密度发生表面吸附、重排和/或组装反应的条件下,可产生超平滑的PECVD膜的超平滑的特性。在这样的条件下,在等离子体中产生的自由基比其在衬底上方的气相中反应,可能相对更容易吸附在衬底上,并连接在衬底表面。
因此,根据本公开的超平滑的PECVD工艺可提供具有基本不依赖于膜厚的绝对粗糙度的膜。例如,在一些实施例中,超平滑的PECVD SiO2膜对于高达3000埃的膜厚度可以显示出在硅衬底上测量的小于或等于4.5埃的表面粗糙度。例如,图5示出比较表面绝对粗糙度作为通过各种示例性工艺在裸硅衬底上沉积的二氧化硅膜的膜厚的函数的曲线图500,各种示例性工艺包括常规的基于硅烷的PECVD工艺实施例(点502),常规的基于TEOS的PECVD工艺实施例(点504),以及通过根据本公开的示例性超平滑的PECVD工艺沉积的示例性超平滑的二氧化硅膜(点506)。通过图5所示的常规的PECVD工艺制备的示例性膜可以被表征为具有发生速率超过表面组装和重排反应的气相聚合反应。因此,随着增加的膜厚度,点502和点504趋于增加绝对粗糙度。相反,示于图5的示例性超平滑的PECVD二氧化硅膜对于高达3000埃的膜厚度显示了约2.5埃Ra的表面粗糙度,同时具有基本恒定的绝对粗糙度。
图5还示出了通过高密度等离子体化学气相沉积(HDP-CVD)工艺沉积的示例性二氧化硅膜和上面讨论的超平滑PECVD沉积的二氧化硅膜实施例之间的比较。如图5所示,示例的超平滑的PECVD二氧化硅膜具有与示例性HDP-CVD二氧化硅膜(点508)基本相同的绝对表面粗糙度。然而,如上面所解释的,HDP-CVD工艺会损坏基底膜并无法在原位制备膜堆叠。与此相反,如在下面详细解释的,可以沉积超平滑的PECVD膜,而无需使用高离子密度(例如,用小于2×1010离子/厘米3的离子密度),并因此可以与基底膜保持相对突变的界面成分边界。此外,当构建膜堆叠时可以与其他膜工艺一起在原位沉积超平滑的PECVD膜,这有可能避免真空中断。
图5还示出通过原子层沉积(ALD)工艺沉积的示例性SiO2膜(点510)和上面描述的超平滑的PECVD膜示例之间的比较。如常规的PECVD工艺,通过ALD工艺沉积的示例性膜显示了绝对粗糙度增加依赖于厚度。虽然理论上ALD工艺依次地沉积单层膜,但隔离的沉积前体中的吸附差异可以导致形成凝聚相前体的域(例如,表面可以同时包括化学吸附和物理吸附的前体)。这些域可以导致膜的非化学计量的区域的产生,这可以导致膜中的晶格缺陷和表面粗糙。随后沉积的层会放大表面粗糙的效果。此外,无论是在产量成本还是设备成本中,在ALD中使用的逐层的沉积工艺比PECVD工艺相对更昂贵。
与此相反,不希望受理论的约束的情况下,相对较低的表面能、平坦的表面(例如,接近热力学稳定的终止表面的本征粗糙度的表面)可以提供一种使得通过表面重排和装配反应能够自平面化沉积膜的驱动力。因此,在一些实施方式中,在较粗糙的膜上沉积的超平滑的PECVD膜仍然可以具有超平滑特性。这可以提供膜堆叠的高度平滑的表面,即使该膜堆叠包括较粗糙的基底膜。
例如,图6示意性地示出了膜堆叠600的实施方式,膜堆叠600包括具有约2.3埃/秒的沉积速率的示例的超平滑的PECVD SiO2膜。图6中所示的示例包括与多个800埃的氮化硅膜602交叠的多个300埃的超平滑的PECVD SiO2膜604,以及多个1000埃的超平滑的PECVDSiO2膜606。最后在堆叠600的顶部沉积具有顶表面610的800埃的氮化硅膜(层602A)。图7示意性地示出了沉积在膜堆叠600的顶部的超平滑的PECVD SiO2膜(层702)的顶层。
图8示出了暴露在图6中示意性地示出的膜堆叠600的顶部的氮化硅表面610的AFM图像802。如由AFM测量,氮化硅表面610呈现约6.9埃的绝对粗糙度。为了进行比较,在具有相同数量的二氧化硅和氮化硅的交替层的、其中常规的基于TEOS的PECVD SiO2膜取代超平滑的PECVD SiO2膜的膜堆叠上沉积的800埃的氮化硅膜具有约10埃的绝对粗糙度。因此,超平滑的PECVD SiO2膜相对于基于TEOS的PECVD SiO2膜在交叠的氮化硅的顶部产生较平滑的表面。
随后在氮化硅层的顶部沉积超平滑的PECVD SiO2膜层可以提供相对于氮化硅层的粗糙度的在顶表面粗糙度的额外的改进。例如,图9和10分别显示在图7中示意性地示出的超平滑的PECVD SiO2膜层702的顶表面710的AFM图像902和1002。如通过AFM测量,当沉积的层702在300埃的厚度(在图9中所示)时,超平滑的PECVD SiO2顶表面710具有约5.4埃的绝对粗糙度,具有由下伏的氮化硅膜显示的粗糙度的约80%的粗糙度。此外,超平滑的PECVD SiO2膜的相对较厚的层可提供相对平滑的顶面。例如,当层702沉积有3000埃的厚度(图10中所示)时,其具有约3.6埃的绝对粗糙度,具有由下伏的氮化硅膜显示的粗糙度的约50%的粗糙度。相反,当常规的基于TEOS的PECVD SiO2膜取代超平滑的PECVD SiO2膜时,由氮化硅膜显示的表面粗糙度相比较,没有表面粗糙度的减少。具体而言,300埃厚的和3000埃厚的基于TEOS的PECVD SiO2膜中的每一个展现约10埃的绝对粗糙度。
如上所述,在一些实施方式中,随着超平滑的PECVD膜的厚度增加,超平滑的PECVD膜会显示出减少的表面粗糙度。图11和图12示出这样的实施方式的另一实施例。图11示意性地示出了包括沉积在衬底106上的1000埃厚的常规的基于硅烷的PECVD SiO2膜层1102的膜堆叠1100的实施例。在层1102的顶部沉积800埃厚的氮化硅层1104。作为参考,层1104的粗糙度是约16.3埃Ra。图11还示出了示例性超平滑的PECVD SiO2膜层1106的顶表面1108,层1106被沉积在层1104的顶部。图12示出了曲线图1200,其示出以约2.3埃/秒沉积的示例性超平滑的PECVD SiO2膜的层1106的厚度和表面1108的粗糙度之间的示例性关系1202。如图12所示,超平滑的PECVD SiO2膜显示了对于厚度高达约3000埃的超平滑的PECVD SiO2膜的厚度和表面粗糙度的反比关系。为了比较,曲线1204和1206不描绘常规的基于硅烷的与基于TEOS的PECVD工艺之间的厚度和表面粗糙度数据的反比关系。当按照通过常规的PECVD膜单独地显示的厚度和表面粗糙度之间的正比关系观察时(在图5中示出),在图12中所示的数据表明,不像常规的PECVD膜,增加示例性超平滑的PECVD膜的厚度可以相对于基底膜的表面粗糙度相对地改善膜堆叠的表面。
在一些实施方式中,增加超平滑的PECVD膜的厚度可以降低在超平滑的PECVD膜的顶部上沉积的膜的粗糙度,如在图13和14中的实施例所示。图13示意性地示出了图11的膜堆叠1100,包括800埃厚的氮化硅层1304沉积在其上的示例性超平滑的PECVD SiO2膜层1106。图14示出了曲线图1400,其示出了层1106的厚度与氮化硅层1304的表面1308的粗糙度之间的反比关系1402。例如,对于氮化硅层1304下面的1000埃厚的超平滑的PECVD SiO2膜层1106,氮化硅表面1308展现出约12.6埃的绝对粗糙度,或约氮化硅层1104的粗糙度的77%,以及具有与超平滑的PECVD SiO2薄膜层1106基本相同的粗糙度。为了进行比较,点1404和1406描绘了常规的基于硅烷的PECVD工艺和基于TEOS的PECVD工艺的厚度粗糙度的依赖关系的数据,分别为层1304的粗糙度的约92%和89%。
图15示出了流程图,其示出用于沉积超平滑的PECVD含硅膜的方法1500的示例性实施方式。方法1500包括在1502供给反应气体或反应气体混合物至处理站。在1504,方法1500包括保持电容耦合等离子体以产生反应气体和/或包含在反应气体混合物中的惰性气体的自由基和活性物质。如在下面更详细解释的,在1506中,方法1500包括当在衬底表面上沉积膜时,控制工艺参数以控制膜表面的绝对粗糙度。例如,在一些实施方式中,在膜沉积阶段期间可以控制一个或多个工艺参数,以便使该膜的的绝对粗糙度随着膜厚度的增加而减小。在另一个实施例中,在一些实施方式中,在膜沉积阶段期间可以控制一个或多个工艺参数,以便控制绝对粗糙度至低于预定阈值。在一个方案中,例如,可以控制具有介于约1.4和2.1之间的折射率的超平滑的PECVD含硅膜的绝对粗糙度至在裸硅衬底上测量的低于4.5埃。可以理解的是,可以通过包含在处理工具中的任何合适的控制器进行工艺参数的控制。在下面更详细描述示例性控制器。
虽然方法1500是指沉积单层的超平滑的PECVD膜的方法,但可以理解的是,在一些实施方式中,方法1500可以代表原位膜堆叠沉积工艺的超平滑的PECVD膜沉积阶段。因此,在一些实施方式中,可以进行合适数量的方法1500的实例以构建膜堆叠。在一个实施方式中,超平滑的PECVD未掺杂的硅膜(在下面更详细地讨论)层可以与超平滑的PECVD掺杂硅膜的层交替来构建超平滑的交替的未掺杂的硅膜/掺杂的硅膜堆叠。在另一实施例中,超平滑的PECVD未掺杂的硅膜的层可以与超平滑的PECVD氧化硅膜的层交替。因此,在一些实施方式中,合适的超平滑的PECVD工艺可以用于沉积膜堆叠中的每个层。在一个方案中,例如,超平滑的氧化硅的层可以与超平滑的氮化硅的层交替。在另一方案中,超平滑的硅氧化物的层也可以与常规的氮化硅膜的层交替。
可选地,在其他实施方式中,合适数量的方法1500的实例可包括,在一个或多个合适的时间间隔,用其他合适的沉积工艺(例如,PECVD、CVD或ALD工艺)来原位构建膜堆叠。在一个实施例中,超平滑的PECVD二氧化硅膜可以与PECVD氮化硅膜交替,以形成与图4所示的类似的交替的二氧化硅/氮化硅膜堆叠。在另一实施例中,常规沉积的膜可以覆盖有合适厚度的超平滑的PECVD膜。
此外,可以理解的是,在一些实施方式中,调节膜堆叠的沉积方案可以在原位的基础上提供调整膜堆叠的体型属性(例如,晶片曲面)的方法,同时仍然提供可接受的顶表面粗糙度,以及,在一些实施方式中,提供超平滑的图案化表面,同时实现更快的基底层的沉积速率。
继续参考图15,下面参照示例的超平滑的PECVD二氧化硅膜工艺描述控制一个或多个工艺参数以控制膜表面的绝对粗糙度的方法的各种实施例。例如,在处理工具的一个或多个处理站中可以使用硅烷和一氧化二氮(N2O)沉积超平滑二氧化硅膜。表1提供了使用示例性四站处理工具(在下面更详细描述的实施方式)沉积超平滑的PECVD二氧化硅膜的非限制性示例的工艺条件。
在一些实施方式中,控制工艺参数来控制膜表面的绝对粗糙度可包括,在1508,供给量为进给到至处理站的含硅反应物的量的至少150倍的处理气体至处理站。例如,可以供给以至少为含硅反应物的浓度的150倍的浓度的一种或多种共反应物和/或一种或多种稀释剂至处理站。在这样的实施方式中,过量供给共反应物可以产生缺少硅自由基的等离子体,潜在地降低了沉积速率。通过控制沉积速率至小于阈值的沉积速率,可以产生超平滑的PECVD膜。
例如,在使用上述的示例性工艺参数沉积氧化硅膜的情况下,可以介于约5倍的硅烷流率值至约3000倍的硅烷的流率值之间的流率供给N2O。假设其他工艺参数保持不变,N2O的较大的流率可以控制二氧化硅膜的沉积速率至小于10埃/秒。这样的沉积速率可以具有合适速率的表面重排和组装反应以制备对于高至3000埃的厚度或更厚的膜具有在硅衬底上测量的小于或等于4.5埃Ra的粗糙度的超平滑的氧化硅膜。
在此之前,在基于硅烷的PECVD二氧化硅沉积工艺中减少硅烷的流率不会导致二氧化硅膜粗糙度类似的减小。相反,随着硅烷流率减小,沉积的膜的表面被认为变得更加粗糙。图16示出了由原子力显微镜(AFM)测量的表面粗糙度和硅烷流率之间的示例性关系1600。图17示出了用于图6所示出的关系1600中的硅烷流率和二氧化硅膜的沉积速率之间的示例性关系1700。为了进行比较,指示了通过常规的基于硅烷的PECVD工艺沉积的膜的示例性膜(点1602和1702)。图16和17图解说明,对于常规的基于硅烷的PECVD工艺,随着硅烷流率和沉积速率下降,粗糙度增加。
然而,参照图16最左边的部分,已经发现,控制沉积速率低于阈值电平可以实际地降低表面粗糙度,从而可以获得超平滑的含硅表面。因此,图16和17显示,在所描绘的实施方式中,减少硅烷的流率到低于100sccm,就降低二氧化硅膜的沉积速率到10埃/秒以下,进而减小所沉积的二氧化硅的表面粗糙度。在一些实施方式中,如图16和17所示,提供少于6埃/秒的二氧化硅的沉积速率的工艺对于具有高至3000埃或以上厚度的膜可以制备具有在硅衬底上测量的小于或等于4.5埃Ra粗糙度的膜。
虽然上述的实施例是指通过控制硅烷的流量和/或浓度来控制沉积速率,但可以理解的是,通过控制任何合适的含硅反应物的流量和/或浓度可以达到这样的效果。可以制备超平滑含硅膜的合适的含硅反应物的非限制性实施例可以包括硅烷(例如,SixHy,如硅烷和乙硅烷)、卤素取代的硅烷(例如,SixCly)和烷基取代的硅烷(例如,SixRy)。
可以理解的是,在一些实施方式中,控制其他工艺气体(例如,诸如N2O、CO和CO2等共反应物和诸如氮、氩和氦等惰性气体)的流量和/或浓度可用于控制膜的沉积速率小于阈值量。例如,图18示出了在以40sccm的恒定的100%SiH4的流率(尽管可以理解的是,在不脱离本公开的范围的情况下,适当地稀释硅烷进给源可以有更大的流率)但具有不同的总的气体流率的情况下沉积的示例性超平滑的PECVD SiO2膜的处理站压强和沉积速率之间的关系1800。具体而言,点1802示出了除了硅烷的所有气体的流率是点1804所示的实施例中的相应的流率的一半的实施例的沉积速率的趋势。尽管在图18所示的实施例中有效地增加硅烷的浓度,但减少惰性和非惰性气体的流率导致从点1804的条件至点1802的条件沉积速率降低和高达0.3埃Ra(图中未示出)膜的粗糙度降低。在另一实施例中,CO或CO2取代N2O,CO或CO2中每一个可相对于N2O具有不同的电离截面,这可以降低在等离子体中的氧自由基的浓度,潜在地减少了二氧化硅膜的沉积速率。
如上面所解释的,在一些实施方式中,并且不希望受理论的约束的情况下,可以相信,减少硅烷流量可以提供表面迁移和各种硅烷自由基物质的交联的额外的时间。增加这种工艺的时间可以提供具有相对较少的缺陷的结构致密的晶格,这可能导致较平滑的表面形貌。
例如,图19示出了示例性二氧化硅膜的膜应力、沉积速率和硅烷流率之间的关系1900。如图19所示的实施例中,随着沉积率下降,膜应力变得相对地更加压缩,这表明该膜结构更致密。图20示出了以约2.3埃/秒沉积的示例的超平滑的PECVD SiO2膜的膜应力、衬底弯曲和膜厚度的关系2000。图20中所示的示例性膜显示了衬底弯曲与膜厚度的线性关系2002。图20中所示的示例性膜还显示膜应力和膜厚度之间的非线性关系2004。如图20所示,示例性膜的膜应力迅速接近在沉积的第一1000埃内的体型膜的应力水平。因此,图20所示的示例性超平滑的PECVD二氧化硅膜当其沉积时可以迅速达到结构的稳定。
其他的结构分析对下述启示提供了额外的支持:增加表面的重排和组装反应的时间可以提供具有相对较少的缺陷的结构致密的晶格,有可能导致较平滑的表面形貌。例如,图21示出了示例性热生长的SiO2膜(谱2102)(有时也被称为热氧化物)、具有约2.3埃/秒的沉积速率的示例性超平滑的PECVD SiO2膜(谱2104)、以及示例性常规的基于TEOS的PECVDSiO2膜(谱2106)的傅里叶变换红外光谱(FTIR)的比较。如图21所示,与基于TEOS的膜的结构和成分类似于热氧化物的结构和成分相比,示例性超平滑的PECVD膜更类似于热氧化物的结构和成分。例如,对于类似的膜厚,示例性超平滑的PECVD膜的Si-O键的伸缩模式的峰值高度高于和窄于基于TEOS的膜的Si-O键的伸缩模式的峰值高度。这可以表明,相对于基于TEOS的PECVD膜,在示例性超平滑的PECVD膜内存在相对较窄的键类型的分布。另外,图21中所示的超平滑的PECVD膜的Si-O键的伸缩模式的位置(1071cm-1处)比基于TEOS的膜的Si-O键的伸缩模式的位置(1063cm-1处)更接近于热氧化物的Si-O键的伸缩模式的位置(1078cm-1处)。
用于下述启示的进一步的支持:增加表面的重排和组装反应的时间可以提供具有相对较少的缺陷的结构致密的晶格,从而可导致较平滑的表面形貌,一些超平滑的PECVDSiO2膜的湿蚀刻特性接近于这些热氧化物的湿蚀刻特性。表2包括各种PECVD SiO2膜在稀氢氟酸浴(100:1H2O:HF)中的湿法蚀刻速率比(WERR,对热氧化物定义为1)的数据。为了比较,表2中还包含了常规的基于硅烷和基于TEOS的PECVD工艺和常规的HDP-CVD工艺的WERR数据。正如表2中所示,一些超平滑的PECVD SiO2膜的WERR在1.2和2.0之间。
返回到图15,在一些实施方式中,控制工艺参数来控制膜的表面的绝对粗糙度可包括在1510产生具有0.35瓦/英寸2或更大的功率密度的等离子体。在一些实施方式中,这样的功率密度可以由在250瓦或大于250瓦操作的高频等离子体源产生。如本文所用的,“高频等离子体”是指在13.56MHz或13.56MHz以上的频率操作的等离子体。附加地或替代地,在一些实施方式中,可以使用低频(例如,频率低于13.56MHz)功率源。在一些其它实施方式中,可以使用双频等离子体。
表3提供了在硅衬底上使用各种高频(HF)的等离子体功率在550℃下沉积的多个超平滑的PECVD SiO2膜的示例性二氧化硅膜沉积数据和形貌数据。虽然这里所描述的示例是指高频等离子体,但可以理解的是,在不脱离本公开的范围的情况下,也可以采用任何合适的等离子体和/或功率。
图22图示地显示了如在表3中提供的示例性超平滑的PECVD二氧化硅膜的高频等离子体功率和绝对粗糙度之间的关系2200。如图22所示,在低的沉积速率下,如在对应于低的硅烷流率的那些低的沉积速率下,增加高频等离子体的功率,就可以减小超平滑的PECVDSiO2膜的绝对粗糙度。在图22所示的示例中,一些超平滑的PECVD二氧化硅膜的表面粗糙度在硅衬底测量时会小于2.5埃。由于典型的硅衬底的本征表面粗糙度接近2.5埃,这可进一步表明,这种膜能够自我平坦化。
附加地或替代地,在一些实施方式中,可以选择等离子体条件来控制等离子体的离子密度。继续参考图15,控制工艺参数以控制膜表面的绝对粗糙度可包括在1512保持小于2×1010离子/厘米3的离子密度。例如,可以在介于250瓦和5000瓦之间的等离子体功率和介于0.5和8乇之间的处理站压强下提供用于沉积超平滑的PECVD二氧化硅膜的等离子体。在一些实施方式中,这些等离子体功率可以在由共用的等离子体发生器供电的四个15英寸的喷头产生介于约0.35瓦/英寸2和7.1瓦/英寸2之间的等离子体密度。这可以避免在膜堆叠应用中潜在的溅射引起的层间混合。
继续参考图15,在一些实施方式中,控制工艺参数来控制膜表面的绝对粗糙度可包括在1514产生约8乇或低于8乇的处理站压强的等离子体。在这样的实施方式中,在保持沉积速率低于阈值速率同时调节膜的沉积速率,可以基本上保持超平滑的特性。换句话说,通过改变处理站压强可以调整膜的沉积速率,而基本不改变沉积的膜的超平滑的形貌。
因此,表4示出了使用各种处理站压强在硅衬底上在550℃沉积的多个超平滑的PECVD SiO2膜的示例性二氧化硅膜的沉积数据和形貌数据。
图23示出了使用表4中的示例性数据的平滑性与处理站压强的依赖关系2300。如图23所示,对于示例性超平滑的PECVD二氧化硅膜,处理站压强减小会涉及到表面粗糙度的稍微增加,但绝对粗糙度仍然可以保持在硅衬底上测量的小于3埃。图23示出在所描绘的示例性处理范围期间表面平滑性可以具有与处理站压强的非线性关系,如在约4乇的最小的表面粗糙度中所示的。
图24示出了曲线图2400,其描绘了表4中所示的示例性膜的衬底内的范围的非均匀性和处理站压强之间的非线性关系。因此,从在表4以及图23和图24中提供的示例性数据,可以理解的是,在一些实施方式中,通过在8乇或小于8乇的处理站压强产生等离子体可以保持和/或调节超平滑的PECVD氧化硅膜的特性。在一个实施例中,沉积速率可以增加至大于6埃/秒,同时保持表面粗糙度小于3埃(在沉积在硅衬底上的100埃的膜测量的)。在另一实施例中,在硅衬底上测量的沉积的膜的衬底内的非均匀性可以减少至小于3%,同时保持小于3埃的表面粗糙度。
在另一实施例中,可以基本保持超平滑特性,而通过改变到处理站的惰性气体的量来调节膜的沉积速率。因此,继续参考图15,在一些实施方式中,控制工艺参数来控制膜表面的绝对粗糙度可包括在1516供给惰性气体至等离子体。例如,在一些实施方式中,可以供给氩气至等离子体以调节超平滑的PECVD二氧化硅膜的沉积速率。
图25示出了在硅衬底上在550℃沉积的示例性超平滑的PECVD SiO2膜的沉积速率和到处理站的氩气的流率之间的关系2500。图25还示出了SiO2膜的应力和到处理站的氩气流率之间的关系2502。如图25所示,通过增加到处理站的氩气的流率可以增加沉积速率且制备的SiO2膜更具压缩性。
此外,在一些实施方式中,供给惰性气体至处理站可以调节沉积速率,而基本不破坏膜的表面粗糙度。例如,图26示出了示于图25中的示例性超平滑的PECVD SiO2膜的绝对粗糙度和氩气流率之间的基本恒定的关系2600。因此,从在图25和26中所示的示例将理解,在一些实施方式中,通过增加到处理站的氩气的流率可以增加超平滑的PECVD膜的沉积速率,而不造成表面粗糙度增加。可以理解的是,在一些实施方式中,改变如氮气和氦气等其他合适的惰性气体的流率可以具有类似的效果。
可以理解,通过控制一个或多个工艺参数来控制表面平滑性可以独立地或与任何其他合适的工艺变量组合地进行管理,这些工艺参数诸如反应物和惰性气体进给速率、等离子功率、离子密度和处理站压强。例如,在一些实施方式中,离子轰击(例如,从低频等离子体源,或从施加到等离子体的直流偏压源)可以提供合适的超平滑的含硅膜。在另一实施例中,可以在400℃或更高的温度沉积超平滑的PECVD膜。在一个方案中,可以在550℃沉积超平滑的PECVD二氧化硅膜。这种膜可以显示本文描述的超平滑的表面,同时比在低于400℃沉积的膜具有相对较低的氢浓度。另外,这种膜可以保持衬底弯曲而不用随后的退火步骤的帮助。在随后的将图案转移到膜堆叠上的光刻步骤期间这种膜可以显示出超平滑的和非常平坦的表面。其他工艺变量的其他非限制性示例包括处理站的温度、等离子体点火时序、等离子体熄灭时序、以及处理气体分配喷头和沉积衬底表面之间的间距。例如,在一个方案中,可以在引入硅烷至处理站之前点燃高频等离子体。这可以在沉积开始之前调节用于沉积的衬底表面,这反过来又可以降低表面岛状或域(domain)的形成。在另一个方案中,可在沉积后停止硅烷流率之后熄灭高频等离子体,以消耗处理站中的剩余的硅烷分子。
虽然上述实施例涉及超平滑的PECVD氧化硅膜的沉积,可以理解的是,根据本文所描述的实施方式,可以沉积任何合适的含硅膜。在一些实施方式中,可以通过氨气和硅烷的等离子体活化反应沉积超平滑的PECVD氮化硅膜。另外,在一些实施方式中,可以在氮等离子体的存在下通过硅烷和N2O的等离子体激活沉积合适的氮氧化硅膜。其它合适的含氮反应物包括但不限于肼和氮/氦气体混合物。
表5总结了具有从约1.4至约2.1的范围的折射率的各种超平滑的含硅氮的膜的粗糙度、折射率和膜应力数据。表5中的膜的数据从沉积在硅衬底上的1000埃的膜测量出,对于相应的膜配方每个膜通过进给以下项产生:在恒定的硅烷流率的至氮和氦等离子体的一氧化二氮或氨的指示的量、氮气流量(约5000sccm)、氦气流量(约8000sccm)、压强(约5乇)和高频等离子体功率(约4500W)。如表5所示,降低进给至等离子体的一氧化二氮流率(在配方A-G中所示)提供了多样的氧化硅和氧氮化硅膜,其显示出小于约3.1埃的绝对粗糙度,并在许多情况下,小于约
图27显示了曲线图2700,曲线图2700图解了示出在表5中给出的超平滑的PECVD氧化硅和氧氮化物膜的Si-O键的伸缩模式数据的傅里叶变换红外光谱的比较。在图27中所示的FTIR测量结果示出了随着处理站中的一氧化二氮的浓度降低,Si-O键的拉伸的峰值位置的转移。
表5中给出的测量值还示出,可以通过以合适的流率用氨替换一氧化二氮来沉积具有约4埃或小于4埃的绝对粗糙度的值的超平滑的PECVD氮化硅膜。表5中所给出的数据表明膜粗糙度和膜应力以及氨浓度之间存在反比关系。为了比较,表5还提供了用于常规的PECVD氮化硅工艺的膜和配方参数。图28-30描绘了对于列于表5的示例的超平滑的PECVD氮化硅和常规的PECVD氮化硅膜分别显示出Si-N、N-H和Si-H键的伸缩模式的FTIR光谱2800、2900和3000的比较。如图28和29所示,增加氨浓度趋向于将Si-N的峰值位置转移离开常规膜的Si-N的峰值位置,并趋向于增加N-H的峰的面积。这可以表明额外的氢掺入膜中,并提供一种用于调谐膜的膜应力特性的方法,如由表5中包含的应力数据所支持的。然而,图30示出,不像常规的PECVD膜,对于超平滑的PECVD氮化硅膜不存在Si-H键的伸缩模式。相对于常规的PECVD氮化硅膜,这可以提供膜的击穿电压的相对的改善。因此,可以理解的是,在一些实施例中,可以调谐超平滑的PECVD氮化硅膜的体型膜应力特性,同时保留膜的电特性。
在另一实施方式中,可以从单独硅烷的等离子体分解,或在合适的还原环境中,沉积超平滑的PECVD硅膜(例如,无定形硅膜,未掺杂的多晶硅膜或掺杂的多晶膜),还原环境例如氦气、氩气和/或氢等离子体的存在,并且,在沉积掺杂的多晶硅的情况下,还原环境例如合适的掺杂剂前驱体(例如,含硼的前驱体、含砷的前驱体、和/或含磷的前驱体)的存在。这种超平滑的PECVD硅膜也可以用于原位构建薄膜堆叠,并可以呈现自平坦化特性。另外,在一些实施方式中,超平滑PECVD硅工艺可以施加DC偏置或另一种合适的离子轰击的方法来促进表面重排,并有可能提高表面平滑。用于使用示例性四站处理工具沉积超平滑的PECVD未掺杂的硅膜的非限制性的示例性工艺参数(在下面详细描述)如表6所示。
另外,在一些实施方式中,在沉积过程中或在沉积后的处理阶段可以通过供给合适的掺杂剂来掺杂超平滑的PECVD硅膜。掺杂剂的非限制性示例包括砷、硼和磷。在一些实施方式中,掺杂的硅膜可以由合适的热退火在原位激活。例如,在550℃沉积的超平滑的PECVD掺硼的硅膜可以退火至650℃而没有真空中断。在一些实施例中,使掺杂的膜退火可以降低膜的电阻,提高膜和膜堆叠内的导电性,和/或降低所需的掺杂剂的量以提供选定的膜的导电性。
本文所描述的方法可以用于制备防反射层(ARL)。一个实施方式是如本文所述的用于制备防反射层的方法。使用本文描述的方法制备的防反射层都是非常平滑的,例如,以在图案化膜之后尽量减少所谓的线边缘粗糙度,以及尽量减少散射和漫反射,并具有合适的高的折射率(RI),例如,介于约1.4和约2.1之间@633nm,介于约1.5至约2.4之间@248nm,以及介于约1.6至约2.7之间@193nm。
常规的ARL制备方法不提供足够的平滑度,也不提供折射率控制。本文描述的方法产生具有这样的平滑的膜而不降低膜的折射率。在一个实施方式中,含硅的反应物与一个或多个共反应物一起使用。在一个实施方式中,含硅的反应物是SiH4,且一个或多个共反应物包括CO2(有助于保持折射率水平)和NH3(有助于获得膜的表面平滑度)。在某些实施方式中,N2O用于替代CO2或与CO2组合使用。
在某些实施方式中,带有/或不带有NH3的SiH4试剂和N2O和/或CO2共反应物用于制备具有较低的折射率(例如1.4-2.1)的平滑的电介质,这对于例如垂直存储应用等是适当的。因为使用了由许多的电介质层组成的堆叠,所以对于这些膜的主要目标是膜应力控制。通常地,但不必定,对于这些膜保持较高的折射率不是问题。
本文描述的方法可以通过任何合适的装置来执行。一种合适的装置包括用于实现工艺操作的硬件,以及具有用于控制根据本发明的工艺操作的指令的系统控制器。例如,在一些实施方式中,硬件可以包括包含在处理工具中的一个或多个处理站。
典型地,系统控制器包括一个或多个存储设备和被配置为执行用于控制工艺操作的指令一个或多个处理器,以使得该装置执行根据本发明所述的方法。例如,在一些实施方式中,系统控制器可以操作各种阀、温度控制器、等离子体控制器和压力控制器以调节该装置内的工艺条件。在一些实施方式中,可以将包含用于控制根据本发明的工艺操作的指令的机器可读介质耦合到系统控制器。
例如,图31示意性地示出了处理站3100的示例性实施方式。为了简便起见,将处理站3100描述成单独的处理站,其具有用于保持低压环境的处理室体3172。然而,可以理解的是,在通常的低压处理工具环境下,可以包括多个处理站3100。处理站3100包括处理气体输送管线3174,处理气体输送管线3174用于提供如惰性气体、前驱体、反应物和处理(treatment)反应物等处理气体,使其输送到处理站3100。在图31所示的实施例中,包括喷头3178以在处理站3100内分配处理气体。衬底3186位于喷头3178下方,并显示处于由基座3182支撑的支架3180上。在一些实施方式中,基座3182可被配置为围绕垂直轴旋转。另外地或可选地,基座3182可以被配置为水平地和/或垂直地平移。
在一些实施方式中,喷头3178可以是具有多个组的气体分配孔的双气室的或多气室的喷头。例如,第一组的气体分配孔可以从第一处理气体输送管线接收气体且第二组的气体分配孔可以从第二处理气体输送管线接收气体。这种处理气体的物理隔离可以提供一种减少由喷头3178的处理气体输送管道上游中不兼容的处理气体的反应产生的小颗粒的方法。
喷头3178和支架3180与RF功率源3188和匹配网络3190电气连通以给等离子体3192供电。等离子体3192可以容纳于位于与喷头3178和支架3180相邻的等离子体鞘3194。虽然图31示出了电容耦合等离子体,但可以通过任何合适的等离子体源产生等离子体3192。在一个非限制性的实施例中,等离子体3192可以包括平行板等离子体源。
在图31所示的实施方式中,RF功率源3188可以提供具有任何合适的频率的射频功率。在一些实施方式中,RF功率源3188可以被配置为彼此独立地控制高频和低频RF功率源。示例性低频RF功率可以包括但不限于介于200kHz和2000kHz之间的频率。示例性高频RF功率可以包括但不限于介于13.56MHz和80MHz之间的频率。同样地,RF功率源3188和匹配网络3190可以在任何合适的功率操作,以形成等离子体3192。对于包括四个15英寸喷头的四站多处理工具,合适的功率的示例包括但不限于对于高频等离子体的介于250瓦和5000瓦之间的功率和对于低频等离子体的介于0W和2500W之间的功率。RF功率源3188可以在任何合适的占空比操作。合适的占空比的示例包括但不限于介于5%和90%之间的占空比。
在一些实施方式中,支架3180可以通过加热器3184控制温度。另外,在一些实施方式中,可以通过蝶形阀3196或通过任何其他适当的压强控制装置来提供对处理站3100的压强控制。如图31所示,蝶形阀3196调节通过由流体联接到处理站排放管线3198的真空泵(未示出)提供的真空。然而,在一些实施方式中,处理站3100的压强控制也可以通过改变引入到处理站3100的一种或者多种气体的流率来调节。
可以理解的是,在不脱离本公开的范围的情况下,可以本地提供一个或多个工艺参数的控制(例如,通过与RF功率源3188连通的等离子体控制器可以控制RF功率,可以通过与蝶形阀3196或气体计量阀连通的阀控制器或者通过所包含的与处理气体输送管线3174连接的流量控制器等控制处理站压强),或根据与处理站3100连通的系统控制器(在下面更详细描述)提供的部分或全部的控制。
如上所述,一个或多个处理站可以包括在多站处理工具中。在多站处理工具的一些实施方式中,各种处理输入(例如,处理气体、等离子体功、加热器功率、等)的控制和/或供应可以从共用的源中分配至包含于处理工具中的多个处理站。例如,在一些实施方式中,共用的等离子体发生器可以提供等离子体功率至两个或两个以上处理站。在另一实施例中,共用的气体分配歧管可提供处理气体至两个或两个以上处理站
例如,图32示意性地示出了示例性多站处理工具3200,其包括在低压环境中的多个处理站3262。每个处理站3262被配置为沉积超平滑的PECVD的硅烷基二氧化硅和硅烷基氮化硅。每个处理站3262由共同的混合容器3264供给,以便处理气体在传送到每个处理台3262之前先进行混合和/或调节。
图33显示了另一多站处理工具3300的实施方式的示意图,该多站处理工具3300具有内装载锁3302和外装载锁3304。机械手3306在大气压力下被配置为经由大气端口3310从通过吊舱(pod)3308装载的盒把衬底移入内装载锁3302。内装载锁3302联接到真空源(未示出),使得当大气端口3310关闭时,内装载锁3302可以抽成真空。内装载锁3302还包括与处理室3314室接口的室输送端口3316。因此,当室输送端口3316打开时,另一机械手(未示出)可将衬底从内装载锁3302移动到第一处理站的基座用于处理。
在一些实施方式中,内装载锁3302可以连接到被配置为提供等离子体至装载锁的远程等离子体源(图中未示出)。这可以对位于装载锁3302内的衬底提供远程等离子体处理。另外地或可选地,在一些实施方式中内装载锁3302可以包括配置为加热衬底的加热器(未示出)。这可以除去吸附在位于装载锁3302内的衬底上的水分和气体。虽然图33示出的实施方式包括装载锁,但可以理解的是,在一些实施方式中,可以提供衬底到处理站中的直接入口。
所描述的处理室3314包括四个处理站,在图33所示的实施方式中,编号从1到4。在一些实施方式中,处理室3314可以被配置为保持低压环境,以便使得在处理站之间输送的衬底不经历真空中断和/或暴露于空气。图33所示的每个处理站都有处理站衬底支架(在站1以3318显示),和处理气体输送管线入口。在一些实施方式中,可以加热一个或多个处理站衬底支架3318。
在一些实施方式中,每个处理站可具有不同的用途或多个用途。例如,处理站可以在超平滑的PECVD工艺模式和常规的PECVD工艺模式或CVD模式之间切换。另外地或可选地,在一些实施方式中,处理室3314可以包括一个或多个配对的超平滑的PECVD和常规的PECVD工艺处理站(例如,包括超平滑的PECVDS SiO2站和常规的PECVD SiN站的配对)。在另一实施例中,处理站可以在两个或两个以上的膜类型之间切换,使得可以在相同的处理室中沉积不同的膜类型的堆叠。
虽然示出的处理室3314包括四个站,但可以理解的是,根据本发明公开的处理室可具有任何合适数量的站。例如,在一些实施方式中,处理室可以具有五个或五个以上的站,而在其它实施方式中处理室可以具有三个或三个以下的站。
图33还描绘了在处理室3314内输送衬底的衬底处理系统3390。在一些实施方式中,衬底处理系统3390可以配置为在各种处理站之间和/或在处理站和装载锁之间输送衬底。可以理解的是,可以采用任何合适的衬底处理系统。非限制性实施例包括衬底转盘和衬底处理机械手。
可以理解,在一些实施方式中,多站处理工具可以包含低压输送室,以方便多个处理室之间的输送。例如,图34示意性地示出了多站处理工具3400的另一个实施方式。在图34所示的实施方式中,多站处理工具3400包括多个处理室3314,处理室3314包括多个处理站(编号从1至4)。处理室3314与低压输送室3404接口,低压输送室3404包括配置为在处理室3314和装载锁3408之间输送衬底的机械手3406。包括大气机械手3412的大气衬底输送模块3410被配置为有助于衬底在装载锁3408和吊舱3308之间输送。
回到图33,多站处理工具3300还包括采用系统控制器3350的一个实施方式,以控制工艺条件和处理工具3300的硬件状态。例如,在一些实施方式中,在超平滑的PECVD膜沉积阶段,系统控制器3350可控制一个或多个工艺参数,以控制膜表面的绝对粗糙度。虽然在图34中未示出,可以理解,多站处理工具3400的实施方式可包括与图33中所示的系统控制器3350的实施方式类似的合适的系统控制器。
系统控制器3350可包括一个或多个存储器件3356、一个或多个大容量存储器件3354和一个或多个处理器3352。处理器3352可以包括CPU或计算机、模拟和/或数字输入/输出接头、步进电机控制器板,等等。
在一些实施方式中,系统控制器3350控制处理工具3300的所有活动。系统控制器3350执行存储在大容量存储设备3354中的、加载到存储设备3356的和在处理器3352上执行的系统控制软件3358。系统控制软件3358可包括用于控制计时、气体混合、室和/或站的压强、室和/或站的温度、衬底温度、目标功率水平、RF功率水平、衬底基座、夹盘和/或衬托器(susceptor)位置、和由处理工具3300执行的特定工艺的其他参数。系统控制软件3358可以以任何合适的方式配置。例如,可以编写各种处理工具组件的子程序或控制对象以控制处理工具组件的操作,以执行各种处理工具处理。可以以任何合适的计算机可读的编程语言编码系统控制软件3358。
在一些实施方式中,系统控制软件3358可包括输入/输出控制(IOC)排序指令,其用于控制上面描述的各种参数。例如,超平滑PECVD工艺的各阶段可以包括用于由系统控制器3350执行的一个或多个指令。相应的超平滑PECVD工艺配方阶段可以包括用于设定超平滑PECVD工艺的工艺条件的指令。在一些实施方式中,超平滑PECVD工艺配方阶段可以依次排列,从而使得用于超平滑PECVD工艺阶段的所有指令与该处理阶段同步执行。
在一些实施方式中,可以采用存储在与系统控制器3350相关联的大容量存储器件3354和/或存储器件3356上的其他计算机软件和/或程序。用于此用途的示例性程序或部分程序包括衬底定位程序、处理气体控制程序、压强控制程序、加热器控制程序和等离子体控制程序。
衬底定位程序可以包括用于处理工具组件的程序代码,该些处理工具组件用于将衬底装载到处理站衬底支架3318且控制衬底和处理工具3300的其他部件之间的间距。
处理气体控制程序可以包括代码,该代码用于控制气体成分和流率,且可选地用于在沉积之前使气体流入一个或者多个处理站以便稳定处理站中的压强。压强控制程序可包括通过调节例如处理站的排放系统内的节流阀和进入处理站的气流等来控制处理站中的压强的代码。
加热器控制程序可以包括用于控制加热单元电流的代码,该加热单元用于加热衬底。可选地,加热器控制程序可以控制热输送气体(例如氦气)到衬底的输送。
等离子体控制程序可以包括代码,其用于设置应用到一个或者多个处理站中的处理电极的RF功率水平。
在一些实施例中,可以有与系统控制器3350相关联的用户界面。该用户界面可以包括显示屏、设备和/或工艺条件的图形软件显示器、以及用户输入装置,例如指针设备、键盘、触摸屏、麦克风,等等。
在一些实施方式中,由系统控制器3350调节的参数可涉及工艺条件。非限制性示例包括处理气体的成分和流率、温度、压强,等离子体的条件(如RF偏置功率水平)、压强、温度,等等。这些参数可以以配方的形式提供给用户,其可利用用户界面输入。
用于监测处理的信号可以从各种处理工具传感器通过系统控制器3350的模拟和/或数字输入接头提供。用于控制处理的信号可以在处理工具3300的模拟和数字输出接头输出。可被监测的处理工具传感器的非限制性示例包括质量流量控制器、压力传感器(如压力计)、热电偶、等等。适当地程序化的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持工艺条件。
系统控制器3350可提供用于实现上述的沉积工艺的程序指令。该些程序指令可以控制各种工艺参数,例如DC功率水平、RF偏压功率水平、压强、温度、等等。该些指令可以根据本文所描述的各种实施方式,控制用于操作膜堆叠原位沉积的参数。
本文在上面所描述的各种硬件和方法可以与光刻图案化工具或方法结合,例如,用于半导体器件、显示器、LED、光电板等等的制造和生产。通常,但不是必定,这样的工具/方法将和普通的制造设施一起使用或操作。
膜的光刻图案化通常包括部分或所有的以下操作,每一操作用一些可能的工具启动:(1)使用旋涂或喷涂工具在工件(即,衬底)上施用光致抗蚀剂;(2)使用热板或炉或其他合适的固化工具固化光致抗蚀剂;(3)使用晶片步进式曝光机(wafer stepper)等工具将光致抗蚀剂在可见光或UV或X-射线下暴露;(4)使用诸如湿法工作台(wet bench)或喷涂显影机等工具,对光致抗蚀剂进行显影,以便选择性地去除光致抗蚀剂,从而使其图案化;(5)通过使用干法的或等离子体辅助蚀刻工具,将光致抗蚀剂图案转移到基底膜或工件上;和(6)使用诸如RF或微波等离子体抗蚀剂剥离机(microwave plasma resist stripper)等工具,去除抗蚀剂。在一些实施方式中,可以在施加光致抗蚀剂之前沉积可灰化的硬掩模层(例如无定形碳层)和其它合适的硬掩模(例如抗反射层)。
可以理解的是,本文所描述的配置和/或方法,在本质上是示例性的,并且这些特定的实施方式或实施例不应被认为具有限制意义,因为许多的变化是可行的。本文描述的特定的例程或方法可表示任何数量的处理策略中的一个或多个。因此,各种操作可以以所示的序列、以其它的序列,并行地或在某些情况下经过删减来执行。同样,可以改变上述的处理的顺序。
本公开的主题包括本文所公开的各种处理、系统和装置、以及其它特征、功能、操作、和/或特性的所有新颖和非显而易见的组合和子组合,以及任何所有等同方案。

Claims (15)

1.一种形成三维存储器设备的膜堆叠的方法,所述方法包括沉积多个膜,其中所述多个膜包括具有第一组分的膜和具有与所述第一组分不同的第二组分的膜,其中所述膜的所述第一组分和第二组分在堆叠中交替出现,并且其中在沉积的堆叠中的至少一个膜是通过下述方法在等离子体增强化学气相沉积装置中在衬底上沉积的含硅膜:
供给含硅反应物至所述等离子体增强化学气相沉积装置,其中,所述含硅反应物包括选自硅烷、乙硅烷、卤取代硅烷和烷基取代硅烷中的一种或多种反应物;
供给共反应物至所述等离子体增强化学气相沉积装置,其中,所述共反应物包括选自NH3、N2O、CO和CO2中的一种或多种共反应物;
供给电容耦合等离子体至所述等离子体增强化学气相沉积装置的处理站,所述等离子体包括从所述含硅反应物产生的硅自由基和从所述共反应物产生的共反应物自由基;以及
在所述衬底上以小于10埃/秒的沉积速率沉积所述含硅膜,所述含硅膜在产生具有介于1.4和2.1之间的折射率且具有对于高达3000埃的沉积的膜在硅衬底上测量的小于4.5埃的绝对粗糙度的膜的工艺条件下被沉积,其中对于高达3000埃的沉积的膜,所述绝对粗糙度并不随着沉积厚度的增加大幅增加,其中,所述工艺条件包括:
以所述含硅反应物的量的至少150倍的量供应所述共反应物;
形成包括用至少0.35瓦/英寸2的功率密度产生的高频等离子体的等离子体,其中所形成的所述等离子体具有小于2×1010离子/厘米3的离子密度;
在等于或小于8托的压强和介于300-600℃的温度沉积所述含硅膜。
2.根据权利要求1所述的方法,其中,所述含硅膜是二氧化硅膜。
3.根据权利要求1所述的方法,其中所述含硅膜被沉积在基底膜上而在所述基底膜的沉积和所述含硅膜的沉积之间没有居间的真空中断,并且其中所述形成的膜堆叠的绝对粗糙度等于或小于所述基底膜的绝对粗糙度的90%。
4.根据权利要求3所述的方法,其中,所述基底膜是多晶硅膜和不定型硅膜中的一种。
5.根据权利要求4所述的方法,其中,所述基底膜包括硼掺杂剂、砷掺杂剂和磷掺杂剂中的一个。
6.根据权利要求3所述的方法,其中,所述基底膜是氮化硅膜。
7.根据权利要求1所述的方法,其进一步包括:
施加光致抗蚀剂至所述衬底;
将所述光致抗蚀剂曝光;
用图案将所述抗蚀剂图案化并转移所述图案到所述衬底上;以及
从所述衬底选择性地去除所述光致抗蚀剂。
8.一种形成三维存储器设备的膜堆叠的方法,所述方法包括沉积多个膜,其中所述多个膜包括具有第一组分的膜和具有与所述第一组分不同的第二组分的膜,其中所述膜的所述第一组分和第二组分在堆叠中交替出现,并且其中在沉积的堆叠中的至少一个膜是通过下述方法在等离子体增强化学气相沉积装置中在衬底上沉积的硅PECVD膜:
供给硅烷至所述等离子体增强化学气相沉积装置;
供给共反应物至所述等离子体增强化学气相沉积装置,所述共反应物供给合适的还原环境;
供给电容耦合等离子体至所述等离子体增强化学气相沉积装置的处理站,所述电容耦合等离子体包括从所述硅烷反应物产生的硅自由基和从所述共反应物产生的共反应物自由基;以及
在所述衬底上以小于10埃/秒的沉积速率沉积所述硅PECVD膜,所述硅PECVD膜在产生具有对于高达3000埃的沉积的膜在硅衬底上测量的小于4.5埃的绝对粗糙度的膜的工艺条件下被沉积,其中,对于高达3000埃的沉积的膜所述绝对粗糙度并不随着沉积厚度的增加大幅增加,并且其中所述工艺条件包括:
供应所述硅烷和其他一种气体或多种气体,其中所述其他一种气体或多种气体选自氢、氦、氩及其组合,并且以所述硅烷的量的至少150倍的量供应;
形成包括用至少0.35瓦/英寸2的功率密度产生的高频等离子体的等离子体,其中所形成的所述等离子体具有小于2×1010离子/厘米3的离子密度;
在等于或小于8托的压强和介于300-650℃的温度沉积所述硅PECVD膜。
9.根据权利要求8所述的方法,其中,所述共反应物包括氢。
10.根据权利要求8所述的方法,其中,所述硅PECVD膜是不定形的硅膜。
11.根据权利要求8所述的方法,其中,所述硅PECVD膜是多晶的硅膜。
12.根据权利要求11所述的方法,其中,所述硅PECVD膜包括掺杂物。
13.根据权利要求12所述的方法,其中,所述掺杂剂包括硼、砷和磷中的至少一种。
14.根据权利要求8所述的方法,其进一步包括添加DC偏置,以促进所述硅PECVD膜的表面重排。
15.根据权利要求8所述的方法,其进一步包括施加离子轰击至所述硅PECVD膜的表面以促进所述硅PECVD膜的表面重排。
CN201180044067.XA 2010-09-13 2011-08-09 平滑的含硅膜 Active CN103119692B (zh)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US38246510P 2010-09-13 2010-09-13
US38246810P 2010-09-13 2010-09-13
US61/382,468 2010-09-13
US61/382,465 2010-09-13
US39470710P 2010-10-19 2010-10-19
US61/394,707 2010-10-19
US12/970,853 US8709551B2 (en) 2010-03-25 2010-12-16 Smooth silicon-containing films
US12/970,853 2010-12-16
PCT/US2011/047107 WO2012036808A2 (en) 2010-09-13 2011-08-09 Smooth silicon-containing films

Publications (2)

Publication Number Publication Date
CN103119692A CN103119692A (zh) 2013-05-22
CN103119692B true CN103119692B (zh) 2016-10-19

Family

ID=45832156

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180044067.XA Active CN103119692B (zh) 2010-09-13 2011-08-09 平滑的含硅膜

Country Status (6)

Country Link
US (1) US8709551B2 (zh)
KR (1) KR101863388B1 (zh)
CN (1) CN103119692B (zh)
SG (1) SG188355A1 (zh)
TW (1) TWI547587B (zh)
WO (1) WO2012036808A2 (zh)

Families Citing this family (392)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US8435608B1 (en) * 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US9028924B2 (en) 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
MY174446A (en) * 2010-06-25 2020-04-19 Petroliam Nasional Berhad Petronas A method and system for validating energy measurement in a high pressure gas distribution network
WO2012148568A1 (en) 2011-03-01 2012-11-01 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
KR101895307B1 (ko) 2011-03-01 2018-10-04 어플라이드 머티어리얼스, 인코포레이티드 듀얼 로드락 구성의 저감 및 스트립 프로세스 챔버
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9466524B2 (en) * 2012-01-31 2016-10-11 Applied Materials, Inc. Method of depositing metals using high frequency plasma
KR20140147086A (ko) * 2012-02-14 2014-12-29 노벨러스 시스템즈, 인코포레이티드 반도체 디바이스 애플리케이션들을 위한 실리콘 질화물 막들
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9165788B2 (en) 2012-04-06 2015-10-20 Novellus Systems, Inc. Post-deposition soft annealing
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) * 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9117668B2 (en) * 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9388491B2 (en) 2012-07-23 2016-07-12 Novellus Systems, Inc. Method for deposition of conformal films with catalysis assisted low temperature CVD
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018093B2 (en) * 2013-01-25 2015-04-28 Asm Ip Holding B.V. Method for forming layer constituted by repeated stacked layers
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9417515B2 (en) * 2013-03-14 2016-08-16 Applied Materials, Inc. Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US10059596B2 (en) 2013-05-20 2018-08-28 The Trustees Of Princeton University Hyperuniform and nearly hyperuniform random network materials
US8895415B1 (en) 2013-05-31 2014-11-25 Novellus Systems, Inc. Tensile stressed doped amorphous silicon
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
KR101489306B1 (ko) * 2013-10-21 2015-02-11 주식회사 유진테크 어모퍼스 실리콘막의 증착 방법 및 증착 장치
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR102275051B1 (ko) 2014-01-21 2021-07-07 어플라이드 머티어리얼스, 인코포레이티드 3d 플래시 메모리 애플리케이션을 위한 유전체-금속 스택
CN106558599B (zh) 2015-09-29 2020-04-07 中芯国际集成电路制造(上海)有限公司 电阻随机存取存储器及其形成方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10354860B2 (en) 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9984858B2 (en) * 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
CN108713243B (zh) * 2016-03-11 2022-11-01 大阳日酸株式会社 硅氮化膜的制造方法及硅氮化膜
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN106783543A (zh) * 2016-12-23 2017-05-31 武汉新芯集成电路制造有限公司 无定形硅的沉积方法和3d‑nand闪存的制作方法
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6564802B2 (ja) * 2017-03-22 2019-08-21 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10358717B2 (en) * 2017-04-21 2019-07-23 Lam Research Corporation Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
GB201714646D0 (en) * 2017-09-12 2017-10-25 Spts Technologies Ltd Saw device and method of manufacture
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
CN107779841B (zh) * 2017-10-18 2019-07-05 扬州乾照光电有限公司 一种SiO2薄膜的沉积方法以及基板
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10217626B1 (en) * 2017-12-15 2019-02-26 Mattson Technology, Inc. Surface treatment of substrates using passivation layers
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
EP3776636A4 (en) 2018-03-30 2021-12-22 Lam Research Corporation ATOMIC LAYER ENGRAVING AND SMOOTHING OF REFRACTORY METALS AND OTHER HIGH SURFACE BOND ENERGY MATERIALS
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
TWI668536B (zh) * 2018-11-12 2019-08-11 巨擘科技股份有限公司 腕錶裝置及其操作方法
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11837441B2 (en) 2019-05-29 2023-12-05 Lam Research Corporation Depositing a carbon hardmask by high power pulsed low frequency RF
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
CN111139452B (zh) * 2020-01-21 2021-10-26 国家纳米科学中心 一种低温制备非晶硅膜材料的方法、得到的产品和用途
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN112420871B (zh) * 2020-09-30 2021-07-20 无锡中科德芯光电感知技术研究院有限公司 台面型铟镓砷探测器芯片及其制备方法
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220336216A1 (en) * 2021-04-20 2022-10-20 Applied Materials, Inc. Helium-free silicon formation
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6444277B1 (en) * 1993-01-28 2002-09-03 Applied Materials, Inc. Method for depositing amorphous silicon thin films onto large area glass substrates by chemical vapor deposition at high deposition rates
CN101071771A (zh) * 2006-05-12 2007-11-14 三星电子株式会社 用于半导体器件的绝缘膜沉积方法
CN101255552A (zh) * 2007-02-27 2008-09-03 中微半导体设备(上海)有限公司 应用于等离子体反应室中的气体喷头组件、其制造方法及其翻新再利用的方法
CN101419914A (zh) * 2007-10-22 2009-04-29 应用材料股份有限公司 用于在沟槽内形成电介质层的方法

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0831454B2 (ja) 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
JP2875945B2 (ja) * 1993-01-28 1999-03-31 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でシリコン窒化薄膜を堆積する方法
JPH06240459A (ja) * 1993-02-16 1994-08-30 G T C:Kk 酸化ケイ素薄膜の形成法
US5851602A (en) * 1993-12-09 1998-12-22 Applied Materials, Inc. Deposition of high quality conformal silicon oxide thin films for the manufacture of thin film transistors
DE69424759T2 (de) * 1993-12-28 2001-02-08 Applied Materials Inc Gasphasenabscheidungsverfahren in einer einzigen Kammer für Dünnfilmtransistoren
US6235650B1 (en) * 1997-12-29 2001-05-22 Vanguard International Semiconductor Corporation Method for improved semiconductor device reliability
US6066550A (en) * 1998-06-05 2000-05-23 United Integrated Circuits Corp. Method of improving selectivity between silicon nitride and silicon oxide
KR100296137B1 (ko) * 1998-06-16 2001-08-07 박종섭 보호막으로서고밀도플라즈마화학기상증착에의한절연막을갖는반도체소자제조방법
KR100299594B1 (ko) * 1998-07-13 2001-09-22 윤종용 디램 장치의 제조 방법
JP2000208422A (ja) 1999-01-08 2000-07-28 Sony Corp 積層膜形成方法及び薄膜製造装置
JP2001044191A (ja) * 1999-07-27 2001-02-16 Sony Corp 積層絶縁膜とその製造方法および半導体装置とその製造方法
US6580170B2 (en) * 2000-06-22 2003-06-17 Texas Instruments Incorporated Semiconductor device protective overcoat with enhanced adhesion to polymeric materials
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
WO2002080244A2 (en) * 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
KR20040008527A (ko) * 2002-07-18 2004-01-31 주식회사 하이닉스반도체 반도체 소자의 제조방법
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
US6811831B1 (en) * 2002-11-20 2004-11-02 Silicon Magnetic Systems Method for depositing silicon nitride
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7273526B2 (en) * 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US20050233092A1 (en) * 2004-04-20 2005-10-20 Applied Materials, Inc. Method of controlling the uniformity of PECVD-deposited thin films
JP4489618B2 (ja) * 2005-03-14 2010-06-23 株式会社ルネサステクノロジ 半導体装置の製造方法
US7635651B2 (en) * 2005-08-23 2009-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of smoothening dielectric layer
US20070059942A1 (en) * 2005-09-09 2007-03-15 Chi Lin Technology Co., Ltd. Plasma cvd process for manufacturing multilayer anti-reflection coatings
KR20070094527A (ko) * 2006-03-17 2007-09-20 가부시키가이샤 에키쇼센탄 기쥬쓰 가이하쓰센타 결정화방법, 박막트랜지스터의 제조방법, 박막 트랜지스터,표시장치, 반도체장치
JP2008124111A (ja) * 2006-11-09 2008-05-29 Nissin Electric Co Ltd プラズマcvd法によるシリコン系薄膜の形成方法
US20090159119A1 (en) * 2007-03-28 2009-06-25 Basol Bulent M Technique and apparatus for manufacturing flexible and moisture resistive photovoltaic modules
US8114484B2 (en) * 2007-07-19 2012-02-14 Applied Materials, Inc. Plasma enhanced chemical vapor deposition technology for large-size processing
CN101889331A (zh) * 2007-09-18 2010-11-17 乔治洛德方法研究和开发液化空气有限公司 形成含硅膜的方法
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
JP5248995B2 (ja) * 2007-11-30 2013-07-31 株式会社半導体エネルギー研究所 光電変換装置の製造方法
US8013389B2 (en) * 2008-11-06 2011-09-06 Samsung Electronics Co., Ltd. Three-dimensional nonvolatile memory devices having sub-divided active bars and methods of manufacturing such devices
US7919416B2 (en) * 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
KR20110009762A (ko) * 2009-07-23 2011-01-31 삼성전자주식회사 트랜지스터 및 그 제조 방법
WO2011087698A2 (en) * 2009-12-22 2011-07-21 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US9028924B2 (en) 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US20120142172A1 (en) * 2010-03-25 2012-06-07 Keith Fox Pecvd deposition of smooth polysilicon films
US20130157466A1 (en) * 2010-03-25 2013-06-20 Keith Fox Silicon nitride films for semiconductor device applications
KR20140147086A (ko) 2012-02-14 2014-12-29 노벨러스 시스템즈, 인코포레이티드 반도체 디바이스 애플리케이션들을 위한 실리콘 질화물 막들
US9165788B2 (en) * 2012-04-06 2015-10-20 Novellus Systems, Inc. Post-deposition soft annealing

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6444277B1 (en) * 1993-01-28 2002-09-03 Applied Materials, Inc. Method for depositing amorphous silicon thin films onto large area glass substrates by chemical vapor deposition at high deposition rates
CN101071771A (zh) * 2006-05-12 2007-11-14 三星电子株式会社 用于半导体器件的绝缘膜沉积方法
CN101255552A (zh) * 2007-02-27 2008-09-03 中微半导体设备(上海)有限公司 应用于等离子体反应室中的气体喷头组件、其制造方法及其翻新再利用的方法
CN101419914A (zh) * 2007-10-22 2009-04-29 应用材料股份有限公司 用于在沟槽内形成电介质层的方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Modeling the Properties of PECVD Silicon Dioxide Films Using Optimized Back-Propagation Neural Networks;S.S.Han et al;《IEEE TRANSACTIONS ON COMPONENTS, PACKAGING, AND MANUFACTURING TECHNOLOGY-PART A》;19940630;第17卷(第2期);第174-182页 *

Also Published As

Publication number Publication date
CN103119692A (zh) 2013-05-22
US20110236600A1 (en) 2011-09-29
WO2012036808A2 (en) 2012-03-22
SG188355A1 (en) 2013-04-30
US8709551B2 (en) 2014-04-29
TWI547587B (zh) 2016-09-01
TW201219595A (en) 2012-05-16
KR20130140671A (ko) 2013-12-24
WO2012036808A3 (en) 2012-05-31
KR101863388B1 (ko) 2018-05-31

Similar Documents

Publication Publication Date Title
CN103119692B (zh) 平滑的含硅膜
US11646198B2 (en) Ultrathin atomic layer deposition film accuracy thickness control
CN103975419B (zh) 等离子体活化保形电介质膜沉积
JP6710032B2 (ja) Aldにより形成される窒化シリコン膜の表面形状内ウェットエッチング速度を均一に低下させるための方法及び装置
TWI675122B (zh) 用以在敏感基板上沉積薄膜的方法
CN103890910B (zh) 等离子体活化保形电介质膜沉积的方法和装置
CN107799390A (zh) 用于半导体图案化应用的高干法蚀刻速率材料
CN103119695B (zh) 共形膜的等离子体激活沉积
CN107680903A (zh) 用于半导体图案化应用的掺杂ald膜
US20180061628A1 (en) Selective atomic layer deposition for gapfill using sacrificial underlayer
CN108183071A (zh) 采用ald填隙间隔物掩模的自对准多重图案化处理流程
CN103243310B (zh) 在衬底表面上的等离子体激活的保形膜沉积的方法
TWI636505B (zh) 平滑矽膜之電漿促進化學氣相沉積
JP2020535633A (ja) 犠牲エッチングキャップ層を利用した高アスペクト比フィーチャの誘電体ギャップ充填
CN109937467A (zh) 用于高模数ALD SiO2间隔物的方法
CN104752199A (zh) 用于先进图案化的软着陆纳米层压层
US20160329206A1 (en) Methods of modulating residual stress in thin films
CN104220637A (zh) 用于半导体器件应用的氮化硅膜
TWI834679B (zh) 含金屬硬遮罩薄膜的選擇性生長
TW202418351A (zh) 表面抑制原子層沉積

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant