JP2020535633A - 犠牲エッチングキャップ層を利用した高アスペクト比フィーチャの誘電体ギャップ充填 - Google Patents

犠牲エッチングキャップ層を利用した高アスペクト比フィーチャの誘電体ギャップ充填 Download PDF

Info

Publication number
JP2020535633A
JP2020535633A JP2020514992A JP2020514992A JP2020535633A JP 2020535633 A JP2020535633 A JP 2020535633A JP 2020514992 A JP2020514992 A JP 2020514992A JP 2020514992 A JP2020514992 A JP 2020514992A JP 2020535633 A JP2020535633 A JP 2020535633A
Authority
JP
Japan
Prior art keywords
amount
features
silicon oxide
feature
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020514992A
Other languages
English (en)
Other versions
JP2020535633A5 (ja
JP7232823B2 (ja
Inventor
アベル・ジョセフ・アール.
アガワル・プルキット
フィリップス・リチャード
クマル・プルショッタム
ラボワ・アドリアン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2020535633A publication Critical patent/JP2020535633A/ja
Publication of JP2020535633A5 publication Critical patent/JP2020535633A5/ja
Application granted granted Critical
Publication of JP7232823B2 publication Critical patent/JP7232823B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)
  • Micromachines (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Weting (AREA)

Abstract

【解決手段】高アスペクト比のフィーチャ、多層ラミネートスタックのフィーチャ、右上がりに傾斜する側壁を有するフィーチャ、右下がりに傾斜する側壁を有するフィーチャ、凹型プロファイルを有するフィーチャ、および/または側壁トポグラフィを有するフィーチャ内に材料を堆積させるための方法および装置が開示される。方法は、第1の量の誘電体(例えば、酸化シリコン)などの材料をフィーチャ内に堆積させ、基板のフィールド表面上に犠牲ヘルメットを形成し、第1の量の材料の一部をエッチングしてフィーチャ開口部を開き、かつ/またはフィーチャの側壁を平滑化し、第2の量の材料を堆積させてフィーチャを充填することを備える。犠牲ヘルメットは、フィーチャ内に堆積された第1の量の材料と同じ材料であっても異なる材料であってもよい。【選択図】図9F

Description

[関連出願の相互参照]
本出願は、2017年9月13日に出願された、名称を「DIELECTRIC GAPFILL OF HIGH ASPECT RATIO FEATURES UTILIZING A SACRIFICIAL ETCH CAP LAYER」とする、米国特許出願第15/703,917号に基づく優先権を主張する。この出願は、参照により、その全体があらゆる目的のために本明細書に組み込まれる。
半導体製作プロセスは、半導体基板上への様々な材料の堆積およびエッチングを含むパターニング動作を伴う。基板は、水平および垂直フィーチャ、傾斜する側壁のネガティブフィーチャ、凹型フィーチャのフィーチャ、および2つ以上の材料の多層スタックを有する基板にネガティブフィーチャとして作成されたフィーチャなど、様々なタイプのフィーチャを含み、それによりフィーチャの側壁の表面上の材料の組成は、フィーチャの深さによって異なる。そのようなフィーチャを充填するための様々な技術が存在するが、デバイスが縮小してフィーチャが小さくなるにつれて、ボイドまたはシームのないフィーチャ充填はますます困難になる。
本明細書では、半導体基板を処理するための方法および装置が提供される。一態様は、基板上のフィーチャを充填する方法を含み、方法はフィーチャを含む基板をプロセスチャンバに供給し、フィーチャはフィーチャ開口部および側壁トポグラフィを含み、側壁トポグラフィはフィーチャの側壁にスタブを含み、ケイ素含有前駆体および酸化剤を使用して、フィーチャを充填するには不十分な期間、第1の量の酸化シリコンを堆積させ、エッチング剤に第1の量の酸化シリコンを曝露して第1の量の酸化シリコンの少なくとも一部をエッチングし、第1の量の酸化シリコンをエッチングした後、エッチングされた第1の量の酸化シリコン上に第2の量の酸化シリコンを堆積させることを含む。
様々な実施形態において、スタブは、側壁の平面に垂直な100Åから約300Åの寸法を有する。
様々な実施形態において、第1の量の酸化シリコンをフィーチャ内に堆積させるには不十分な期間は、基板のフィールド表面上に酸化シリコンの過剰堆積部を形成する。いくつかの実施形態では、エッチング剤に第1の量の酸化シリコンを曝露することは、フィーチャの内部よりもフィーチャ開口部またはその付近で第1の量の酸化シリコンの少なくとも一部をエッチングすることを含む。
様々な実施形態において、第2の量の酸化シリコンは、プラズマ強化化学気相堆積によって堆積される。いくつかの実施形態では、方法はまた、第2の量の酸化シリコンを堆積させた後、エッチング剤に第1の量の酸化シリコンを曝露するために使用される期間よりも長い期間、エッチング剤に第2の量の酸化シリコンを曝露することを含んでもよい。
いくつかの実施形態では、フィーチャの側壁は、スタックに積層された2つ以上の材料を含む。
様々な実施形態において、第1の量の酸化シリコンの堆積およびエッチング剤への第1の量の酸化シリコンの曝露は、真空を破ることなく実施される。様々な実施形態において、第1の量の酸化シリコンの堆積およびエッチング剤への第1の量の酸化シリコンの曝露は、同じチャンバで実施される。
いくつかの実施形態では、エッチング剤への第1の量の酸化シリコンの曝露および第2の量の酸化シリコンの堆積は、真空を破ることなく実施される。いくつかの実施形態では、エッチング剤への第1の量の酸化シリコンの曝露および第2の量の酸化シリコンの堆積は、同じチャンバで実施される。
様々な実施形態において、第1の量の酸化シリコンの堆積、エッチング剤への第1の量の酸化シリコンの曝露、および第2の量の酸化シリコンの堆積は、真空を破ることなく実施され、真空を破ることなく実施される。様々な実施形態において、第1の量の酸化シリコンの堆積、エッチング剤への第1の量の酸化シリコンの曝露、および第2の量の酸化シリコンの堆積は、真空を破ることなく実施され、同じチャンバで実施される。
様々な実施形態において、第1の量の酸化シリコンは、原子層堆積の1つ以上のサイクルによって堆積され、原子層堆積の1つ以上のサイクルの各サイクルは、酸化剤とケイ素含有前駆体の交互のパルスを含む。様々な実施形態において、フィーチャを充填するには不十分な期間とは、約40サイクル以下の原子層堆積を実施するための期間である。いくつかの実施形態では、プラズマは、酸化剤のパルス中に点火される。様々な実施形態において、原子層堆積の1つ以上のサイクルの各サイクルは、酸化剤とケイ素含有前駆体の交互のパルスの間にプロセスチャンバをパージすることをさらに含む。いくつかの実施形態では、プロセスチャンバは、第1の量の酸化シリコンを堆積させた後、かつエッチング剤に第1の量の酸化シリコンを曝露する前にパージされる。いくつかの実施形態では、プロセスチャンバは、エッチング剤に第1の量の酸化シリコンを曝露した後、かつ第2の量の酸化シリコンを堆積させる前にパージされる。
様々な実施形態において、エッチング剤は、三フッ化窒素(NF3)、フルオロホルム(CHF3)、オクタフルオロシクロブタン(C48)、テトラフルオロメタン(CF4)、およびそれらの組み合わせのいずれか1つである。
様々な実施形態において、フィーチャは、少なくとも5ミクロンの深さを有する。様々な実施形態において、フィーチャは、少なくとも15:1のアスペクト比を有する。
基板上のフィーチャを充填する方法であって、方法は、フィーチャを含む基板をプロセスチャンバに供給することであって、フィーチャは、フィーチャ開口部および側壁トポグラフィを含み、側壁トポグラフィは、フィーチャの側壁にスタブを含むことと、ケイ素含有前駆体および酸化剤を使用して、フィーチャを充填するには不十分な期間、第1の量の酸化シリコンを堆積させることと、第1の量の酸化シリコンを堆積させた後、かつエッチング剤に第1の量の酸化シリコンを曝露する前に、過剰堆積部を形成する犠牲ヘルメットを基板のフィールド表面上に堆積させることと、エッチング剤に基板を曝露して第1の量の酸化シリコンの少なくとも一部をエッチングすることと、第1の量の酸化シリコンをエッチングした後、エッチングされた第1の量の酸化シリコン上に第2の量の酸化シリコンを堆積させてフィーチャを少なくとも部分的に充填することとを含む。
様々な実施形態において、スタブは、側壁の平面に垂直な100Åから約300Åの寸法を有する。
様々な実施形態において、犠牲ヘルメットはプラズマ強化化学気相堆積によって堆積される。
様々な実施形態において、犠牲ヘルメットは窒化シリコンを含む。
様々な実施形態において、犠牲ヘルメットは酸化シリコンを含む。
様々な実施形態において、第1の量の酸化シリコンの堆積および犠牲ヘルメットの堆積は、真空を破ることなく実施される。いくつかの実施形態では、第1の量の酸化シリコンの堆積およびエッチング剤への基板の曝露は、真空を破ることなく実施される。いくつかの実施形態では、第1の量の酸化シリコンの堆積、犠牲ヘルメットの堆積、およびエッチング剤への基板の曝露は、真空を破ることなく実施される。いくつかの実施形態では、エッチング剤への基板の曝露および第2の量の酸化シリコンの堆積は、真空を破ることなく実施される。いくつかの実施形態では、犠牲ヘルメットの堆積、エッチング剤への基板の曝露、および第2の量の酸化シリコンの堆積は、真空を破ることなく実施される。いくつかの実施形態では、第1の量の酸化シリコンの堆積、犠牲ヘルメットの堆積、エッチング剤への基板の曝露、および第2の量の酸化シリコンの堆積は、真空を破ることなく実施される。
様々な実施形態において、第1の量の酸化シリコンの堆積および犠牲ヘルメットの堆積は、同じチャンバで実施される。いくつかの実施形態では、第1の量の酸化シリコンの堆積およびエッチング剤への基板の曝露は、同じチャンバで実施される。いくつかの実施形態では、第1の量の酸化シリコンの堆積、犠牲ヘルメットの堆積、およびエッチング剤への基板の曝露は、同じチャンバで実施される。いくつかの実施形態では、犠牲ヘルメットの堆積、エッチング剤への基板の曝露、および第2の量の酸化シリコンの堆積は、同じチャンバで実施される。いくつかの実施形態では、第1の量の酸化シリコンの堆積、犠牲ヘルメットの堆積、エッチング剤への基板の曝露、および第2の量の酸化シリコンの堆積は、同じチャンバで実施される。
様々な実施形態において、第1の量の酸化シリコンは、原子層堆積の1つ以上のサイクルによって堆積され、原子層堆積の1つ以上のサイクルの各サイクルは、酸化剤とケイ素含有前駆体の交互のパルスを含む。様々な実施形態において、フィーチャを充填するには不十分な期間とは、約40サイクル以下の原子層堆積を実施するための期間である。いくつかの実施形態では、プラズマは、酸化剤のパルス中に点火される。様々な実施形態において、原子層堆積の1つ以上のサイクルの各サイクルは、酸化剤とケイ素含有前駆体の交互のパルスの間にプロセスチャンバをパージすることをさらに含む。いくつかの実施形態では、プロセスチャンバは、第1の量の酸化シリコンを堆積させた後、かつエッチング剤に第1の量の酸化シリコンを曝露する前にパージされる。いくつかの実施形態では、プロセスチャンバは、エッチング剤に第1の量の酸化シリコンを曝露した後、かつ犠牲ヘルメットを堆積させる前にパージされる。
様々な実施形態において、エッチング剤は、三フッ化窒素(NF3)、フルオロホルム(CHF3)、オクタフルオロシクロブタン(C48)、テトラフルオロメタン(CF4)、およびそれらの組み合わせのいずれか1つである。
様々な実施形態において、フィーチャは、少なくとも5ミクロンの深さを有する。様々な実施形態において、フィーチャは、少なくとも15:1のアスペクト比を有する。
別の態様は、基板上のフィーチャを充填する方法を含み、方法は、フィーチャを含む基板をプロセスチャンバに供給し、フィーチャはフィーチャ開口部および1つ以上の凹型面を有する側壁を含み、フィーチャを充填するには不十分な期間、第1の量の材料を堆積させ、エッチング剤に第1の量の材料を曝露して、フィーチャ内の第1の量の材料の少なくとも一部をエッチングし、第1の量の材料をエッチングした後、エッチングされた第1の量の材料上に第2の量の材料を堆積させることを備え、材料は、炭化シリコン、窒化シリコン、シリコン、タングステン、ルテニウム、銅、コバルト、およびモリブデンのいずれかである。
様々な実施形態において、フィーチャ内に第1の量の材料を堆積させるのに十分な期間は、基板のフィールド表面上に酸化シリコンの過剰堆積部を形成する。
様々な実施形態において、エッチング剤に第1の量の材料を曝露することは、フィーチャの内部よりもフィーチャ開口部またはその付近で第1の量の材料の少なくとも一部をエッチングすることを含む。
様々な実施形態において、第2の量の材料はプラズマ強化化学気相堆積によって堆積される。
いくつかの実施形態では、方法はまた、第2の量の材料を堆積させた後、エッチング剤に第1の量の材料を曝露するために使用される期間よりも長い期間、エッチング剤に第2の量の材料を曝露することを含む。
様々な実施形態において、フィーチャの側壁は、スタックに積層された2つ以上の材料を含む。
様々な実施形態において、第1の量の材料の堆積およびエッチング剤への第1の量の材料の曝露は、真空を破ることなく実施される。
様々な実施形態において、エッチング剤への第1の量の材料の曝露および第2の量の材料の堆積は、真空を破ることなく実施される。
別の態様は、基板上のフィーチャを充填する方法を含み、方法は、フィーチャを含む基板をプロセスチャンバに供給し、フィーチャはフィーチャ開口部および側壁トポグラフィを含み、側壁トポグラフィはフィーチャの側壁にスタブを含み、フィーチャを充填するには不十分な期間、第1の量の第1の材料を堆積させ、第1の量の第1の材料を堆積させた後、かつエッチング剤に第1の量の第1の材料を曝露する前に、過剰堆積部を形成する犠牲ヘルメットを基板のフィールド表面上に堆積させ、犠牲ヘルメットは第2の材料を含み、エッチング剤に基板を曝露して第1の量の第1の材料の少なくとも一部をエッチングし、第1の量の第1の材料をエッチングした後、エッチングされた第1の量の第1の材料上に第2の量の酸化物を堆積させてフィーチャを少なくとも部分的に充填することを備える。
様々な実施形態において、スタブは、側壁の平面に垂直な100Åから約300Åの寸法を有する。
様々な実施形態において、第1の材料は第2の材料とは異なる。様々な実施形態において、第1の材料は第2の材料と組成的に同じである。様々な実施形態において、第2の材料は、プラズマ強化化学気相堆積によって堆積される。
様々な実施形態において、第1の材料は、原子層堆積によって堆積される。いくつかの実施形態では、第2の材料は、ケイ素含有前駆体および窒素含有反応剤を同時に導入する一方でプラズマを点火して窒化シリコンを形成することによって堆積される。様々な実施形態において、窒素含有反応剤は、酸素と共に導入される。いくつかの実施形態では、エッチング剤は、ハロゲン含有エッチング剤である。例えば、いくつかの実施形態では、エッチング剤は、三フッ化窒素である。様々な実施形態において、犠牲ヘルメットは、エッチング剤を使用するときに第1の材料に対してエッチング選択性を有する。いくつかの実施形態では、第1の材料に対する犠牲ヘルメットのエッチング選択性は、約1:2から約1:5であり、その場合、第1の材料は犠牲ヘルメットよりも約2倍から約5倍速くエッチングされる。
別の態様は、半導体基板を処理するための装置を含み、装置は、(a)半導体基板を保持するための台座を含む少なくとも1つのプロセスチャンバと、(b)真空に結合するための少なくとも1つの出口と、(c)1つ以上のプロセスガス源に結合された1つ以上のプロセスガス入口と、(d)装置の動作を制御するためのコントローラであって、(i)ケイ素含有前駆体および酸化剤を導入して、半導体基板上のフィーチャを充填するには不十分な期間、半導体基板上に第1の量の酸化シリコンを堆積させ、フィーチャはフィーチャ開口部および側壁トポグラフィを有し、側壁トポグラフィはフィーチャの側壁にスタブを有し、(ii)第1の量の酸化シリコンの少なくとも一部をエッチングする期間、少なくとも1つのプロセスチャンバにエッチング剤を導入し、(iii)少なくとも1つのプロセスチャンバにエッチング剤を導入した後、ケイ素含有前駆体および酸化剤を導入して、エッチングされた第1の量の酸化シリコン上に第2の量の酸化シリコンを堆積させるための機械が読み取り可能な命令を含むコントローラとを備える。
様々な実施形態において、コントローラは、(iii)の期間を(i)の期間よりも長く設定するための命令をさらに含む。様々な実施形態において、コントローラは、真空を破ることなく(i)および(ii)を実施するための機械が読み取り可能な命令をさらに含む。
様々な実施形態において、装置はまた、プラズマを発生させるためのプラズマ発生器を含む。いくつかの実施形態では、コントローラは、酸化剤を導入するときにプラズマを点火するための命令をさらに含む。
別の態様は、半導体基板を処理するための装置を含み、装置は、(a)半導体基板を保持するための台座を含む少なくとも1つのプロセスチャンバと、(b)真空に結合するための少なくとも1つの出口と、(c)1つ以上のプロセスガス源に結合された1つ以上のプロセスガス入口と、(d)装置の動作を制御するためのコントローラであって、(i)堆積前駆体および反応剤を導入して、半導体基板上のフィーチャを充填するには不十分な期間、第1の量の材料を堆積させ、(ii)エッチング剤を導入してフィーチャ内の第1の量の材料の少なくとも一部をエッチングし、(iii)エッチング剤を導入した後、堆積前駆体および反応剤を導入して、エッチングされた第1の量の材料上に第2の量の材料を堆積させるための機械が読み取り可能な命令を含むコントローラとを備え、材料は、炭化シリコン、窒化シリコン、シリコン、タングステン、ルテニウム、銅、コバルト、およびモリブデンのいずれか1つである。
様々な実施形態において、コントローラは、(iii)の期間を(i)の期間よりも長く設定するための命令をさらに含む。様々な実施形態において、コントローラは、真空を破ることなく(i)および(ii)を実施するための機械が読み取り可能な命令をさらに含む。
様々な実施形態において、装置はまた、プラズマを発生させるためのプラズマ発生器を備える。いくつかの実施形態では、コントローラは、酸化剤を導入するときにプラズマを点火するための命令をさらに含む。
別の態様は、半導体基板を処理するための装置を含み、装置は、(a)半導体基板を保持するための台座を含む少なくとも1つのプロセスチャンバと、(b)真空に結合するための少なくとも1つの出口と、(c)1つ以上のプロセスガス源に結合された1つ以上のプロセスガス入口と、(d)装置の動作を制御するためのコントローラであって、(i)ケイ素含有前駆体および酸化剤を導入して、半導体基板上のフィーチャを充填するには不十分な期間、半導体基板上に第1の量の酸化シリコンを堆積させ、フィーチャはフィーチャ開口部および側壁トポグラフィを有し、側壁トポグラフィはフィーチャの側壁にスタブを有し、(ii)過剰堆積部を形成する犠牲ヘルメットを半導体基板のフィールド表面上に堆積させるための1つ以上のプロセスガスを導入し、(iii)第1の量の酸化シリコンの少なくとも一部をエッチングする期間、少なくとも1つのプロセスチャンバにエッチング剤を導入し、(iv)少なくとも1つのプロセスチャンバにエッチング剤を導入した後、ケイ素含有前駆体および酸化剤を導入して、エッチングされた第1の量の酸化シリコン上に第2の量の酸化シリコンを堆積させるための機械が読み取り可能な命令を含むコントローラとを備える。
様々な実施形態において、コントローラは、(ii)の間に第2のケイ素含有前駆体および窒素含有反応剤を供給して犠牲ヘルメットを堆積させるための命令を含み、犠牲ヘルメットが窒化シリコンを含む。
別の態様は、半導体基板を処理するための装置を含み、装置は、(a)半導体基板を保持するための台座を含む少なくとも1つのプロセスチャンバと、(b)真空に結合するための少なくとも1つの出口と、(c)1つ以上のプロセスガス源に結合された1つ以上のプロセスガス入口と、(d)装置の動作を制御するためのコントローラであって、(i)第1の材料を堆積させるための第1のセットの堆積前駆体を導入して、半導体基板上のフィーチャを充填するには不十分な期間、半導体基板上に第1の量の第1の材料を堆積させ、(ii)過剰堆積部を形成する犠牲ヘルメットを半導体基板のフィールド表面上に堆積させるための1つ以上のプロセスガスを導入し、犠牲ヘルメットが第2の材料を含み、(iii)第1の量の第1の材料の少なくとも一部をエッチングする期間、少なくとも1つのプロセスチャンバにエッチング剤を導入し、(iv)少なくとも1つのプロセスチャンバにエッチング剤を導入した後、第1のセットの堆積前駆体を導入して、エッチングされた第1の量の第1の材料上に第2の量の第1の材料を堆積させるための機械が読み取り可能な命令を含むコントローラとを備える。
様々な実施形態において、第1の材料は第2の材料とは異なる。様々な実施形態において、第1の材料は第2の材料と組成的に同じである。
これらの態様および他の態様は、図面を参照して以下でさらに説明される。
図1Aは、様々なタイプのフィーチャを有する例示的な基板の概略図である。 図1Bは、様々なタイプのフィーチャを有する例示的な基板の概略図である。 図1Cは、様々なタイプのフィーチャを有する例示的な基板の概略図である。 図1Dは、様々なタイプのフィーチャを有する例示的な基板の概略図である。 図1Eは、様々なタイプのフィーチャを有する例示的な基板の概略図である。
図2Aは、材料が充填された様々なタイプのフィーチャを有する例示的な基板の概略図である。 図2Bは、材料が充填された様々なタイプのフィーチャを有する例示的な基板の概略図である。 図2Cは、材料が充填された様々なタイプのフィーチャを有する例示的な基板の概略図である。 図2Dは、材料が充填された様々なタイプのフィーチャを有する例示的な基板の概略図である。 図2Eは、材料が充填された様々なタイプのフィーチャを有する例示的な基板の概略図である。
図3Aは、フィーチャ充填を受けている凹型フィーチャを有する基板の例示的なフィーチャの概略図である。 図3Bは、フィーチャ充填を受けている凹型フィーチャを有する基板の例示的なフィーチャの概略図である。 図3Cは、フィーチャ充填を受けている凹型フィーチャを有する基板の例示的なフィーチャの概略図である。 図3Dは、フィーチャ充填を受けている凹型フィーチャを有する基板の例示的なフィーチャの概略図である。
図4Aは、フィーチャ充填を受けている側壁振動部を有する基板の例示的なフィーチャの概略図である。 図4Bは、フィーチャ充填を受けている側壁振動部を有する基板の例示的なフィーチャの概略図である。 図4Cは、フィーチャ充填を受けている側壁振動部を有する基板の例示的なフィーチャの概略図である。 図4Dは、フィーチャ充填を受けている側壁振動部を有する基板の例示的なフィーチャの概略図である。
図5Aは、フィーチャ充填を受けている多層スタックを有する基板の例示的なフィーチャの概略図である。 図5Bは、フィーチャ充填を受けている多層スタックを有する基板の例示的なフィーチャの概略図である。 図5Cは、フィーチャ充填を受けている多層スタックを有する基板の例示的なフィーチャの概略図である。
図6は、特定の開示の実施形態に従って実施される方法で実施される動作を図示するプロセスフロー図である。 図7は、特定の開示の実施形態に従って実施される方法で実施される動作を図示するプロセスフロー図である。
図8Aは、特定の開示の実施形態による、フィーチャ充填を受けている凹型フィーチャを有する基板の例示的なフィーチャの概略図である。 図8Bは、特定の開示の実施形態による、フィーチャ充填を受けている凹型フィーチャを有する基板の例示的なフィーチャの概略図である。 図8Cは、特定の開示の実施形態による、フィーチャ充填を受けている凹型フィーチャを有する基板の例示的なフィーチャの概略図である。 図8Dは、特定の開示の実施形態による、フィーチャ充填を受けている凹型フィーチャを有する基板の例示的なフィーチャの概略図である。
図9Aは、特定の開示の実施形態による、フィーチャ充填を受けている側壁トポグラフィを有する基板の例示的なフィーチャの概略図である。 図9Bは、特定の開示の実施形態による、フィーチャ充填を受けている側壁トポグラフィを有する基板の例示的なフィーチャの概略図である。 図9Cは、特定の開示の実施形態による、フィーチャ充填を受けている側壁トポグラフィを有する基板の例示的なフィーチャの概略図である。 図9Dは、特定の開示の実施形態による、フィーチャ充填を受けている側壁トポグラフィを有する基板の例示的なフィーチャの概略図である。
図9Eは、特定の開示の実施形態による、フィーチャ充填を受けている側壁トポグラフィを有する基板の例示的なフィーチャの概略図である。 図9Fは、特定の開示の実施形態による、フィーチャ充填を受けている側壁トポグラフィを有する基板の例示的なフィーチャの概略図である。 図9Gは、特定の開示の実施形態による、フィーチャ充填を受けている側壁トポグラフィを有する基板の例示的なフィーチャの概略図である。 図9Hは、特定の開示の実施形態による、フィーチャ充填を受けている側壁トポグラフィを有する基板の例示的なフィーチャの概略図である。
図10Aは、特定の開示の実施形態による、フィーチャ充填を受けている多層スタックを有する基板の例示的なフィーチャの概略図である。 図10Bは、特定の開示の実施形態による、フィーチャ充填を受けている多層スタックを有する基板の例示的なフィーチャの概略図である。 図10Cは、特定の開示の実施形態による、フィーチャ充填を受けている多層スタックを有する基板の例示的なフィーチャの概略図である。
図11は、特定の開示の実施形態に従って実施される動作の例を図示するタイミング概略図である。
図12は、特定の開示の実施形態を実施するための例示的なプロセスチャンバの概略図である。
図13は、特定の開示の実施形態を実施するための例示的なプロセスツールの概略図である。
以下の説明では、提示される実施形態への十分な理解を提供するために多くの具体的な詳細が記載される。本開示の実施形態は、これらの具体的な詳細のいくつかまたは全てなしに実施されてもよい。他の例では、本開示の実施形態を不必要に曖昧にしないように、周知のプロセス動作は詳細に説明されていない。本開示の実施形態は、具体的な実施形態と併せて説明されるが、本開示の実施形態を限定する意図はないことを理解されたい。
半導体製作プロセスは、多くの場合、化学気相堆積(CVD)および/または原子層堆積(ALD)法を使用してフィーチャを充填する誘電体ギャップ充填を含む。本明細書で説明するのは、誘電体または金属材料などの材料(酸化シリコンを含むが、これに限定されない)でフィーチャを充填する方法、ならびに関連するシステムおよび装置である。本明細書で説明する方法は、垂直のネガティブフィーチャを充填するために使用することができる。基板に形成されたフィーチャは、狭いおよび/または凹型の開口部、フィーチャ内の狭窄、ならびに高アスペクト比の1つ以上によって特徴付けることができる。基板は、シリコンウエハ、例えば、200mmウエハ、300mmウエハ、または450mmウエハであってもよく、誘電体材料、導電性材料、または半導電性材料などの材料の1つ以上の層がウエハ上に堆積されたものを含む。
フィーチャは、これらの層の1つ以上に形成され得る。例えば、フィーチャは、誘電体層に少なくとも部分的に形成されてもよい。本明細書で説明される単一の基板は、ONON(酸化物−窒化物−酸化物−窒化物)スタック、OPOP(ポリシリコン上に酸化シリコンを積層)スタック、またはOMOMスタック(タングステン、コバルト、またはモリブデンなどの金属上に酸化シリコンを積層)などの2つ以上の材料を有する多層ラミネートスタックを含み、フィーチャはそのような多層基板に形成することができ、そのようなフィーチャの側壁は2つ以上の組成物を含む。多層ラミネートスタックは、2層(ONなど)から500層の複合層({ON}150など)に及ぶ範囲であり得る。フィーチャはまた、傾斜した側壁または側壁トポグラフィを有してもよい。側壁トポグラフィは、1つ以上のスタブを有するギザギザの側壁を含み、各スタブは、側壁の平面に垂直な100Åから約300Åの寸法を有する。様々な実施形態において、側壁トポグラフィは、フィーチャの深さ方向の側壁に存在する2つ以上のスタブによって特徴付けられる。すなわち、側壁上の1つのスタブは、第2のスタブが側壁に存在する深さとは異なる深さにある。加えて、フィーチャはまた、凹型プロファイルを含む場合がある。
また、フィーチャ孔は、開口部付近において約1nmから約1ミクロン、例えば約25nmから約300nm(約200nmなど)の寸法(例えば、開口部直径または線幅)を有し得る。フィーチャ孔は、未充填フィーチャまたは単にフィーチャと呼ばれることがある。いくつかの実施形態では、フィーチャ孔は、少なくとも約2:1、少なくとも約4:1、少なくとも約6:1、または少なくとも約20:1、またはそれ以上のアスペクト比を有し得る。
図1A〜図1Eは、様々なタイプのフィーチャを有する例示的な基板を示す。図1Aに示すフィーチャ102aは、交互の水平層に2つの組成物104aおよび114aを含む多層スタックを有する基板に設けられており、このフィーチャ102aはライナ106aに孔をエッチングすることによって画定される。ライナ106aは、本明細書で説明される様々な例で図示されているが、ライナが存在しない実施形態もあることに注意されたい。ライナが存在する場合、ライナは、窒化物材料(窒化シリコンなどが挙げられるが、これに限定されない)であってもよく、またはいくつかの実施形態では、ライナは、ポリシリコン材料であってもよい。
図1Bは、ライナ106bによって画定された真っ直ぐな側壁を有する基板104bのフィーチャ102bを示す。図1Bのフィーチャ102bは、真っ直ぐな側壁の高アスペクト比を有する。図1Cは、ライナ106cを有する基板104cの例示的なフィーチャ102cを示し、それによってフィーチャ102cの上部のフィーチャ開口部120cが底部112cの幅よりも大きい、正に傾斜した側壁を有するフィーチャ102cを形成する。図1Dは、基板104dのフィーチャ102dを示し、フィーチャ102dは、ライナ106dに孔をエッチングすることによって画定され、フィーチャ102dは、負に傾斜した側壁、または凹型プロファイルを含み、フィーチャ102dの上部のフィーチャ開口部120dは、フィーチャ102dの底部112dの幅よりも狭い。図1Eは、基板104eのフィーチャ102eを示し、フィーチャ102eは、ライナ106eに孔をエッチングすることによって画定され、フィーチャ102eは、スタブを有する側壁トポグラフィを含む。場合によっては、フィーチャは、フィーチャを形成するために実施されるエッチングのタイプ、またはフィーチャが形成される1つ以上の材料に由来する側壁トポグラフィを有することがある。図1A〜図1Eに示すこれらの例などのフィーチャのギャップ充填は、フィーチャのタイプおよびプロファイルに依存する。CVDおよびALDなどの既存の技術を使用してそのようなフィーチャを充填することができるが、従来の技術では、フィーチャ内に望ましくないシームまたはボイドが形成される。
図2Aは、交互の層に材料204aおよび214aを有する多層スタックを含む基板にライナ206aを有する例示的なフィーチャ202aを示す。誘電体材料208aは、共形ALDによってフィーチャ202a内に堆積されるが、フィーチャ202aの中央にシーム210が形成される。これは、側壁から誘電体材料208aが成長してフィーチャ202aの中央で対面することによってシーム210が形成されるからである。
図2Bは、基板204bに真っ直ぐな側壁を有するフィーチャ202bを画定するライナ206b上に、ALDによって誘電体材料208bが充填されたフィーチャ202bについて、同様の現象を示す。図2Cでは、右上がりに傾斜する(上方に広がる)フィーチャ202cは、ライナ206cによって画定される側壁を有する基板204cに幅が狭い底部212aを有するが、このフィーチャ202cの場合も同様に、誘電体材料208cが共形ALDによって堆積されるときにシーム210cを形成する。図2Dに示される右下がりに傾斜する(下方に広がる)フィーチャは、基板204dにライナ206dによって画定されている、幅が広い底部212dを備えている。この例でもやはり、誘電体材料208dが堆積されるときにシーム210dが形成される。場合によっては、ALDによる共形充填により、フィーチャ202dの底部またはその付近にボイドが形成されることもある。図2Eは、基板204eにライナ206eによって画定された側壁トポグラフィを有するフィーチャ202eの例を示す。側壁トポグラフィは、スタブ216eによって特徴付けられ、フィーチャの底部212eはスタブ216eの幅よりも広い。材料208eがALDによって堆積されるとマイクロボイド210eが形成され、フィーチャを完全に充填することが不可能になる。マイクロボイドは、直径が約50nm未満、またはいくつかの実施形態では、直径が約30nmから約50nm、または直径が30nm未満(幅が約15nm未満など)のボイドとして定義することができる。
いくつかのdep−etch−dep(堆積、エッチング、堆積)技術は、誘電体材料で様々なフィーチャを充填するために行われている。しかし、既存のdep−etch−dep技術はまた、基板上の他の材料まで不適切にエッチングしてしまい、それによって材料損失をもたらす。以下、図3A〜図3D、図4A〜図4D、および図5A〜図5Cに示される例について説明する。
図3Aは、基板304aのフィーチャ302aの例を示し、フィーチャプロファイルは、ライナ306aによって画定される。図中に符号312aで示されるフィーチャの底部はフィーチャ開口部またはその付近の幅部分よりも狭い幅を有し、この形状が凹型プロファイルで表されている。従来の共形ALDでは、図3Bに示すように、材料308bが層ごとにフィーチャ302bを充填する。図3Bでは、材料308bは、フィーチャ302b内に堆積されるものとして図示されており、そのフィーチャプロファイルは、基板304bのライナ306bに画定される。しかし、ALD堆積は共形的であり、その結果、フィーチャの底部312bが完全に充填された状態で、ボイド310bが形成されることになる。従来のdep−etch−depは、図3Cに示すように、ALDによる堆積後にエッチングを伴う。図3Cでは、堆積された誘電体材料308cがエッチバックされ、基板304cにフィーチャ302cの狭いフィーチャ開口部を開く。しかし、誘電体材料308cがライナ306cの表面までエッチングされた後であっても、開口部308cがまだ開いていない間はボイド310cが残っている。フィーチャ312cの底部は、充填されたままである。従来のdep−etch−depでは、フィーチャが開かれてフィーチャのさらなる充填が可能となるまでエッチングが実施される。そのため、図3Dに示すように、フィーチャ302dを開き、ALDによって先に堆積された材料308dから形成されたボイド310dへのアクセスを確保するために、エッチバックによってライナ306dの材料損失316dが引き起こされる。フィーチャの底部312dは、基板308d内で充填された状態を保っている。しかし、そのような材料損失316dは望ましくない。
図4A〜図4Dもまた、誘電体材料でフィーチャを充填するための従来のdep−etch−depプロセスによって引き起こされる不適切なエッチングの例を示す。図4Aは、ライナ406aでのエッチングによって形成された側壁トポグラフィを有する基板404aのフィーチャ402aを含み、側壁トポグラフィは、スタブを有し、スタブにおけるフィーチャ幅は、フィーチャの底部412aにおける幅よりも狭い。そのような実施形態では、いくつかのスタブは、同じ深さにある。図4Bでは、ALDは、ライナ406b上のフィーチャ402b内に共形的に誘電体材料408bを堆積させるために実施される。フィーチャ402bの側壁上の堆積材料間の空間410bは開いたままであり、材料408bは、底部412bを含んでスタブ416b上に堆積されることに注意されたい。しかし、側壁トポグラフィ間のボイドの形成を回避するために、図4Cでは、材料408cの平滑化された表面414cによって図示されるように、堆積された誘電体材料408bがエッチバックされて堆積材料を平滑化する。ただし、フィーチャ402cは依然として、基板404cのフィーチャ402cのスタブ416cおよび底部412cの付近に、滑らかでない堆積材料を含んでいる。図4Dでは、フィーチャの上部414dの平滑化およびフィーチャの底部416dの平滑化に示すように、基板404がさらにエッチバックされて側壁全体に沿って平滑化され、マイクロボイドの形成を低減することが可能になる。フィーチャのスタブ416dおよび底部412dまたはその付近の誘電体材料408dは、ALDによる後続の堆積によってマイクロボイドが形成されないように平滑化されることに注意されたい。しかし、そのようなエッチバックは、ライナ406dの材料損失416dをもたらし、それによって下流で問題を引き起こし得る。
図5A〜図5Cはまた、2つの組成物504aおよび514aの多層スタックを有する基板の例を示し、基板は、共形的にライナ506a上に堆積された材料508aを有するフィーチャ502aを含む。図5Bでは、材料508bがエッチバックされてフィーチャ502bのフィーチャ開口部を開くが、そのようなエッチングによりライナ506bがエッチングされて先細プロファイルとなる。その後、図5Cに示される材料508cの堆積では、材料が先細プロファイル上に堆積させ、その結果得られる基板は、充填されたフィーチャを有するがスタックの材料損失を伴うことになる。
既存の技術に関するこれらおよび他の問題は、高アスペクト比のフィーチャおよび側壁トポグラフィを有するフィーチャのギャップ充填について、望ましくないフィーチャプロファイルを与えている。
本明細書では、高アスペクト比、側壁トポグラフィ、多層スタック組成、および凹型プロファイルを有するフィーチャ内に誘電体材料を堆積させるための方法および装置が提供される。方法は、基板のフィーチャプロファイルおよび下層のエッチングを低減および排除するためにdep−etch−depの期間および条件が調整されることを含み、基板のフィールド表面上にプラズマ強化化学気相堆積を使用して犠牲ヘルメットを堆積させ、それによってフィーチャ開口部に材料の過剰堆積部を形成し(フィーチャ内に堆積される材料と同じ材料であっても異なる材料であってもよい)、より長い期間エッチバックを実施してフィーチャを開く一方で、基板の下にある層をエッチングすることなく材料の犠牲的過剰堆積部のみを消費してフィーチャプロファイルのエッチングを防ぐことを含む。
以下の説明は誘電体フィーチャの充填に焦点を当てているが、本開示の態様はまた、他の材料でフィーチャを充填する際に実施されてもよい。例えば、本明細書で説明される1つ以上の技術を使用するフィーチャ充填は、ケイ素含有材料(例えば、炭化シリコン、窒化シリコン、酸化シリコン、シリコン)および金属含有材料(例えば、タングステン、ルテニウム、銅、コバルト、モリブデン、それらの窒化物および炭化物)を含む他の材料でフィーチャを充填するために使用され得る。
図6は、特定の開示の実施形態に従って実施される方法の動作を図示するプロセスフロー図である。図6の動作は、約50℃から約650℃の基板温度で実施することができる。
動作602では、側壁トポグラフィを有するフィーチャを有する基板が供給される。例えば、フィーチャは、図1Eに図示するようなプロファイルを有する場合がある。図6について説明される例は側壁トポグラフィを有するフィーチャに関係するが、いくつかの実施形態では、開示の実施形態は、それぞれ図1B、図1C、図1D、および図1Aに図示するような、垂直側壁を有する高アスペクト比のフィーチャ、凹型プロファイルを有するフィーチャ、正に傾斜した側壁を有するフィーチャ、負に傾斜した側壁を有するフィーチャ、および複数の組成物が側壁に多重積層されているフィーチャのいずれか1つ以上に実施されてもよいことが理解されるだろう。基板は、1つ以上のウエハを処理するための単一ステーションまたはマルチステーション装置のステーション内にあり得るプロセスチャンバに供給されてもよい。
動作604では、第1の量の誘電体材料がフィーチャ内に堆積される。様々な実施形態において、第1の量の誘電体材料は、フィーチャを充填するには不十分である。不十分に充填されたフィーチャは、若干の誘電体材料が内部に堆積され、かつ、1つ以上のボイドを内部に有するフィーチャとして定義される。不十分に充填されたフィーチャには、材料がフィーチャの側壁に沿って堆積されているがフィーチャ開口部は開いたままであるフィーチャが含まれる。動作604における堆積は、約0.1Torrから約15Torr、例えば約6Torrのチャンバ圧力で実施されてもよい。
様々な実施形態において、誘電体材料は、酸化シリコンである。酸化シリコンは、ALD、プラズマ強化ALD(PEALD)、CVD、またはプラズマ強化CVD(PECVD)によって堆積させることができる。ALDは、連続的な自己制限反応を使用して材料の薄層を堆積させる技術である。ALDプロセスは、表面を介した堆積反応を使用して、サイクルで層ごとに膜を堆積させる。一例を挙げると、ALDサイクルは、以下の動作を含み得る。(i)前駆体の供給/吸着、(ii)チャンバからの前駆体のパージ、(iii)第2の反応剤の供給および任意的プラズマ点火、および(iv)チャンバからの副産物のパージ。基板の表面に膜を形成するための第2の反応剤と吸着された前駆体との間の反応は、不均一性、応力、ウェットエッチング速度、ドライエッチング速度、電気的性質(例えば、ブレークダウン電圧およびリーク電流)など、膜の組成および性質に影響を及ぼす。
ALDプロセスの一例では、表面活性部位の集合を含む基板表面は、基板を収容するチャンバに供給される分量で、ケイ素含有前駆体などの第1の前駆体のガス相分布に曝露される。この第1の前駆体の分子は、第1の前駆体の化学吸着された種および/または物理吸着された分子を含んで、基板表面上に吸着される。本明細書で説明されるように化合物が基板表面上に吸着されると、吸着層は、化合物ならびに化合物の誘導体を含み得ることを理解されたい。例えば、ケイ素含有前駆体の吸着層は、ケイ素含有前駆体ならびにケイ素含有前駆体の誘導体を含み得る。第1の前駆体の供給後、次にチャンバを排気してガス相に残っている第1の前駆体のほとんどまたは全てを除去し、吸着された種の大部分が、または、吸着された種だけが残るようにする。いくつかの実施形態では、チャンバは完全に排気されない場合がある。例えば、リアクタは、ガス相中の第1の前駆体の分圧が反応を緩和するのに十分に低くなるように排気されてもよい。酸素含有ガスなどの第2の反応剤がチャンバに導入され、それによりこれらの分子の一部は、表面に吸着された第1の前駆体と反応する。いくつかのプロセスでは、第2の反応剤は、吸着された第1の前駆体とすぐに反応する。他の実施形態では、第2の反応剤は、プラズマなどの活性化源が一時的に適用された後にのみ反応する。次に、チャンバを再び排気して、第2の反応剤の非結合分子を除去することができる。上述のように、いくつかの実施形態では、チャンバは完全に排気されない場合がある。追加のALDサイクルを使用して、膜厚を構築することが可能である。
いくつかの実施形態では、ALD法は、プラズマ活性化を含む。本明細書に記載のように、本明細書で説明されるALD法および装置は、共形膜堆積(CFD)法であってもよい。これは2011年4月11日に出願された、名称を「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」とする米国特許出願第13/084,399号(現在は米国特許第8,728,956号)に一般的に記載されており、この出願は参照により、その全体が本明細書に組み込まれる。
酸化シリコンを堆積させるために、1つ以上のケイ素含有前駆体を使用することができる。開示の実施形態に従って好適に使用されるケイ素含有前駆体は、ポリシラン(H3Si−(SiH2n−SiH3、ただし、n≧0)を含む。シランの例は、シラン(SiH4)、ジシラン(Si26)、およびオルガノシラン(例えば、メチルシラン、エチルシラン、イソプロピルシラン、t−ブチルシラン、ジメチルシラン、ジエチルシラン、ジ−t−ブチルシラン、アリルシラン、sec−ブチルシラン、テキシルシラン、イソアミルシラン、t−ブチルジシラン、ジ−t−ブチルジシラン)などである。
ハロシランは、少なくとも1つのハロゲン基を含み、水素および/または炭素基を含んでも含まなくてもよい。ハロシランの例は、ヨードシラン、ブロモシラン、クロロシラン、およびフルオロシランである。ハロシラン、特にフルオロシランは、プラズマが当てられるとシリコン材料をエッチングすることができる反応性ハロゲン化物種を形成し得る。しかし、いくつかの実施形態ではプラズマが当てられるときにハロシランがチャンバに導入されない場合があり、その場合は、ハロシランからの反応性ハロゲン化物種の形成が緩和され得る。具体的なクロロシランは、テトラクロロシラン、トリクロロシラン、ジクロロシラン、モノクロロシラン、クロロアリルシラン、クロロメチルシラン、ジクロロメチルシラン、クロロジメチルシラン、クロロエチルシラン、t−ブチルクロロシラン、ジ−t−ブチルクロロシラン、クロロイソプロピルシラン、クロロ−sec−ブチルシラン、t−ブチルジメチルクロロシラン、テキシルジメチルクロロシランなどである。
アミノシランは、シリコン原子に結合した少なくとも1つの窒素原子を含むが、水素、酸素、ハロゲン、および炭素も含有してもよい。アミノシランの例は、モノ−、ジ−、トリ−およびテトラ−アミノシラン(それぞれH3Si(NH2)、H2Si(NH22、HSi(NH23およびSi(NH24)、ならびに置換モノ−、ジ−、トリ−およびテトラ−アミノシラン、例えば、t−ブチルアミノシラン、メチルアミノシラン、tert−ブチルシランアミン、ビス(tert−ブチルアミノ)シラン(SiH2(NHC(CH332(BTBAS)、tert−ブチルシリルカルバメート、SiH(CH3)−(N(CH322、SiHCl−(N(CH322、(Si(CH32NH)3などである。アミノシランのさらなる例は、トリシリルアミン(N(SiH3))である。
動作604中には、追加の反応剤も使用される。PECVDによる酸化シリコンヘルメットの堆積の場合、酸化剤がケイ素含有前駆体と共にプロセスチャンバに流されて反応し、基板上に酸化シリコンを堆積させる。例示的な酸化剤は、酸素ガス、水、二酸化炭素、亜酸化窒素、およびそれらの組み合わせを含む。様々な実施形態において、基板が同時に酸化剤および不活性ガスに曝露される一方で、プラズマが点火される。例えば、一実施形態では、酸素とアルゴンの混合物が基板に導入される一方で、プラズマが点火される。例示的な不活性ガスは、ヘリウムおよびアルゴンを含む。いくつかの実施形態では、不活性ガスは、基板にプロセスガスを供給するためのキャリアガスとして作用し、チャンバの上流で迂回される。ALDまたはPEALDによる堆積の場合、ケイ素含有前駆体および反応剤は、パルスで順次導入されるが、これはパージ動作によって分離されてもよい。そのような例は、図7に関して以下でさらに説明される。
動作604においてALD、PEALD、CVD、およびPECVDのいずれかの組み合わせを利用して誘電体材料を堆積させる実施形態では、両方の堆積法で同じ反応剤および前駆体を使用してもよい。いくつかの実施形態では、堆積法に応じて異なる前駆体を選択することができる。例えば、いくつかの実施形態では、ハロシランを使用してALDを実施した後、ケイ素含有前駆体としてシランを使用してPECVDを実施してもよい。いくつかの実施形態では、プラズマは、誘電体材料を堆積させるために使用される1つ以上の堆積法の実施中に点火される。
動作607では、犠牲ヘルメットが基板のフィールド表面上に堆積される。ヘルメットは、基板上のフィーチャの内部よりも基板のフィールド表面上に優先的に堆積される材料の過剰堆積部である。様々な実施形態において、ヘルメットは、下層のフィーチャプロファイルをエッチングまたは損傷から保護するため、後続のエッチングプロセスにおける緩衝材として使用される犠牲材料である。
様々な実施形態において、ヘルメット材料は、動作604で堆積されて基板のフィールド表面上に残存している誘電体材料の上に成長する。したがって、犠牲ヘルメットはフィーチャ開口部を覆って閉じるのではなく、フィーチャ開口部は開いたままである。様々な実施形態において、犠牲ヘルメットは、PECVDによって堆積される。犠牲ヘルメットは、後続のエッチング動作608中に下層の基板およびフィーチャプロファイルを保護するために使用される層として機能する。犠牲ヘルメットは、フィーチャ内に堆積された誘電体材料と同じ材料であっても異なる材料であってもよい。例えば、いくつかの実施形態では、犠牲ヘルメットはPECVDによって堆積される酸化シリコン材料であり、フィーチャ内に堆積される材料も酸化シリコンである。
いくつかの実施形態では、ヘルメットは、約10Åから約500Åの厚さに堆積される。ヘルメットは、ALD、CVD、またはその両方の組み合わせを使用して堆積させることができる。様々な実施形態において、ヘルメットは、PECVDによって堆積される。様々な実施形態において、フィーチャは、ヘルメットの形成に十分な期間、ヘルメットを堆積させるための堆積前駆体(窒化シリコンヘルメットを堆積させるためのケイ素含有前駆体および窒素含有前駆体など)に曝露される。この期間は、フィーチャ開口部のサイズ、フィーチャ開口部の深さ、材料の堆積に使用される技術、材料がフィーチャ内に堆積される材料と同じか異なるか、および材料がフィーチャ内に堆積される材料と同じである場合に、既にフィーチャ内に材料が堆積されているならばその材料の堆積量に依存する。
ヘルメット材料とフィーチャ内に堆積される材料が同じであるいくつかの実施形態では、動作604の堆積をサイクルで継続して、動作607の犠牲ヘルメットの堆積を行ってもよい。様々な実施形態において、動作604の堆積はPEALDまたはALDによって実施されるが、動作607はPECVDによって実施される。ヘルメットの材料とフィーチャ内に堆積される材料が同じである場合、動作604と動作607の両方で同じ前駆体を使用することができる。例えば、動作604に関して上述した前駆体および反応剤のいずれかを、動作607での酸化シリコンヘルメットの堆積に利用してもよい。
いくつかの実施形態では、犠牲ヘルメットは、フィーチャ内に堆積される材料とは異なる材料である。例えば、いくつかの実施形態では、犠牲ヘルメットはPECVDによって堆積される窒化シリコン材料であり、フィーチャ内に堆積される材料は酸化シリコンである。様々な実施形態において、犠牲ヘルメットは、動作608で使用されるエッチング剤に曝露されたときに堆積される材料に対してエッチング選択性を有し、それにより動作608でのエッチングは犠牲ヘルメットに損傷を与えず、したがってヘルメットは、フィーチャ内に堆積される材料の堆積およびエッチングの多くのサイクルに耐えることができる。いくつかの実施形態では、ヘルメットは、シリコン前駆体および窒素プラズマを同時にまたは交互のパルスでそれぞれ使用してPECVDまたはPEALDによって堆積された窒化シリコン材料である。様々な実施形態において、窒化シリコンヘルメットの形成は、酸化シリコンを使用してフィーチャ内に第1の量の酸化シリコンを堆積させた後に実施され、窒化シリコンは、基板のフィールド表面上に堆積される。様々な実施形態において、ヘルメットとして使用される窒化シリコンは、エッチング中に酸化物に対するエッチング選択性を高める。CVDによる堆積の場合、基板は、約2秒から約120秒の期間、堆積前駆体(酸化シリコンを堆積させるためのケイ素含有前駆体および酸化剤など)に曝露されてもよい。いくつかの実施形態では、ヘルメットは、PEALDまたはPECVDなどのプラズマ強化プロセスを使用して堆積される。
PECVDによる窒化シリコンヘルメットの堆積のために、窒素などの窒素含有ガスは、ケイ素含有前駆体と共にプロセスチャンバに流されて窒化シリコンを形成する。様々な実施形態において、窒素は、プラズマで点火されて窒化シリコンを形成する。
一例では、シランは、窒化シリコンおよび/または酸化シリコンのPECVDを実施するためのシリコン前駆体として使用される。PECVDによる堆積中、前駆体および反応剤は、様々な流量で流される。例えば、酸化シリコンを堆積させるために、シランを窒素および/または亜酸化窒素と共に流してもよい。シランは、約50sccmから約200sccm、例えば約75sccmの流量で導入されてもよい。窒素は、約1000sccmから約15000sccm、例えば約3000sccmの流量で導入することができる。亜酸化窒素は、約5000sccmから約25000sccm、例えば約20000sccmの流量で導入されてもよい。
動作608では、誘電体材料がフィーチャ開口部からエッチングされ、フィーチャには部分的にエッチングされた誘電体材料が残る。エッチングは、後続の堆積がフィーチャの底部に達することができるようフィーチャ開口部を広げるために十分な時間実施されてもよい。例えば、いくつかの実施形態では、エッチングは、約100秒から約400秒、例えば約115秒、または約200秒、または約300秒、または約400秒の期間実施される。様々な実施形態において、エッチングは、動作604においてフィーチャ内に堆積された誘電体材料の層の下にある材料を除去することなく、フィーチャ開口部を開くのに十分な期間実施される。様々な実施形態において、エッチングは、ヘルメット材料に対するエッチング選択性を用いて実施される。例えば、いくつかの実施形態では、動作608中に使用されるエッチング剤は三フッ化窒素(NF3)であり、犠牲窒化シリコンヘルメットに対する酸化シリコンのエッチング選択性は、約3:1から約5:1である。
動作604が過剰堆積部、すなわちヘルメットの堆積を伴ういくつかの実施形態では、フィールド表面上の犠牲誘電体材料のヘルメットの全部ではなく一部を消費しながらフィーチャ開口部が露出されるようにエッチングを実施することによって、部分的にエッチングされた誘電体層をフィーチャに残している。ヘルメットの材料が堆積される材料とは異なる場合でも、ヘルメットの一部が消費される可能性がある。しかし、いくつかの実施形態では、フィーチャ内に堆積される材料に対してエッチング選択性を有する別材料のヘルメットは、堆積される材料と同材料のヘルメットが同じプロセス条件でエッチングされる場合と同じ速さでは消費されず、より長いエッチング時間に耐えることが可能である。いくつかの実施形態では、フィーチャ内に堆積される材料に対してエッチング選択性を有する別材料のヘルメットは、フィーチャプロファイルのエッチングを緩和しながらも、より薄いヘルメットとして堆積させることが可能になる。
選択されるエッチング剤は、エッチングされる材料に依存する。例えば、酸化シリコンをエッチングする場合、エッチングは、フッ素含有エッチング化学物質を使用して(例えば、三フッ化窒素(NF3)を流すことなどによって)実施することができる。酸化シリコンをエッチングするための例示的なエッチング剤は、三フッ化窒素、フルオロホルム(CHF3)、オクタフルオロシクロブタン(C48)、テトラフルオロメタン(CF4)、およびそれらの組み合わせを含む。フィーチャ充填に使用される材料である炭化シリコン、窒化シリコン、シリコン、タングステン、ルテニウム、銅、コバルト、およびモリブデンをエッチングするための例示的なエッチング剤は、臭化水素酸(HBr)、フルオロメタン(CH3F)、塩素(Cl2)、四フッ化ケイ素(SiF4)、テトラフルオロメタン(CF4)、三塩化ホウ素(BCl)、フルオロホルム(CHF3)、およびそれらの組み合わせを含む。
別の例では、炭化シリコンがフィーチャ内に堆積される予定である場合、炭化シリコンのエッチングは、臭化水素酸、またはCH3Fを使用して実施されてもよい。
別の例では、窒化シリコンがフィーチャ内に堆積される予定である場合、窒化シリコンのエッチングは、CH3Fを使用して実施されてもよい。
様々な実施形態において、エッチング剤は、酸素、窒素、および/またはアルゴンなどの1つ以上のキャリアガスと共に流すことができる。
様々な実施形態において、プラズマが動作608中に点火されてエッチングを強化する。いくつかの実施形態では、プラズマは、高周波プラズマを使用して点火される。いくつかの実施形態では、自己バイアスバイアスがエッチング中に基板を保持する電動台座に適用され得る。様々な実施形態において、プラズマは、約1000Wから約5000Wのプラズマ電力を使用して三フッ化窒素ガスを流しながら点火される。様々な実施形態において、プラズマは、in−situで生成される。いくつかの実施形態では、プラズマは、基板を収容するプロセスチャンバを供給する前に、遠隔プラズマチャンバで遠隔で生成されてもよい。
いくつかの実施形態では、動作604および608は、異なるチャンバで実施される。いくつかの実施形態では、動作604および608は、同じチャンバで実施される。いくつかの実施形態では、動作604および608は、真空を破ることなく実施される。例えば、いくつかの実施形態では、動作604および608は、真空を破ることなくマルチステーションチャンバの別々のステーションで実施される。開示の実施形態は、堆積とエッチングを同じチャンバまたは同じツールで実施してもよいので、効率を促進する。
いくつかの実施形態では、動作608は、側壁を平滑化するために実施することができる。開示の実施形態は、誘電体材料の堆積の合間に実施されるエッチングを利用して表面を均一にし、フィーチャの側壁上のスタブの存在を低減するように、側壁トポグラフィを有するフィーチャへの堆積中に側壁を平滑化するのにも適している。エッチングは、フィーチャの側壁に堆積された誘電体材料を、その下の基板上の材料を露出させることなく平滑化するのに十分な期間エッチングするために、期間およびプラズマ電力を調整することによって実施することができる。側壁の平滑化は、エッチングの期間を、約200秒もしくは約200秒未満の期間、またはフィーチャ開口部を開くための期間よりも短い期間にして実施され得る。
動作610では、部分的にエッチングされた誘電体材料上に第2の量の誘電体材料が堆積される。様々な実施形態において、第2の量の誘電体材料は、ALD、PEALD、CVD、PECVD、またはそれらの任意の組み合わせによって堆積される。堆積は、任意の適切な前駆体および反応剤を使用して実施されてもよい。例えば、第2の量の酸化シリコンを堆積させるために、動作604に関して上述した任意のケイ素含有前駆体を動作610に使用することができる。同様に、前駆体と反応するための任意の適切な反応剤が使用されてもよい。例えば、酸化シリコンを堆積させるために、酸素または亜酸化窒素などの酸化剤を使用してケイ素含有前駆体と反応することができる。動作604に関して上述した任意の酸化剤を動作610に使用することができる。
いくつかの実施形態では、プロセスチャンバは、動作604と動作608との間でパージされる。いくつかの実施形態では、プロセスチャンバは、動作608と610との間でパージされる。いくつかの実施形態では、プロセスチャンバは、動作610の後にパージされる。チャンバのパージは、パージガスまたはスイープガスを流すことを伴う場合があり、これは他の動作で使用されるキャリアガスであってもよく、または異なるガスであってもよい。例示的なパージガスは、アルゴン、窒素、水素、およびヘリウムを含む。様々な実施形態において、パージガスは、不活性ガスである。例示的な不活性ガスは、アルゴン、窒素、およびヘリウムを含む。いくつかの実施形態では、パージは、チャンバを排気することを伴い得る。いくつかの実施形態では、パージは、プロセスチャンバを排気するための1つ以上の排気の副段階を含んでもよい。あるいは、パージは、いくつかの実施形態では省略されてもよいことが理解されよう。パージは、約0.1秒から約2秒など、任意の適切な期間実施すればよい。
いくつかの実施形態では、第2の量の誘電体材料がフィーチャを充填する。いくつかの実施形態では、フィーチャが充填されるまで、さらなる動作が実施される。例えば、いくつかの実施形態では、動作604、608、および610がサイクルで繰り返される。いくつかの実施形態では、動作604を実施した後、動作608および610が順次繰り返される。
いくつかの実施形態では、動作604は、ALDによって第1の量の誘電体を堆積させること、およびPECVDによってヘルメットを堆積させることを含み、動作608におけるエッチングは、ヘルメットの堆積後に実施される。いくつかの実施形態では、ヘルメットは、フィーチャを充填するために使用される誘電体とは異なる材料である。例えば、いくつかの実施形態では、酸化シリコンがフィーチャに堆積されるが、窒化シリコンは、エッチングの前にヘルメットとして堆積される。いくつかの実施形態では、フィーチャ内に堆積される材料が酸化シリコンである場合にヘルメットとして窒化シリコンを使用することは、フィーチャ内に堆積された材料が除去されることを防ぐために、エッチング中に酸化シリコン材料と比較して窒化シリコン犠牲ヘルメット材料の高いエッチング選択性を達成するように使用されてもよい。加えて、窒化シリコンヘルメットの使用は、酸化シリコンヘルメットを使用する場合と比較して、より少ない堆積量の窒化シリコンに、より長い期間エッチングを実施することを可能にし得る。例えば、窒化シリコンヘルメットと酸化シリコンヘルメットの堆積厚さが同じである場合、酸化シリコンヘルメットは、窒化シリコンヘルメットよりも速くエッチングされる。そのため、薄い窒化シリコン材料が使用される場合にフィーチャを開くための特定のエッチング期間を、酸化シリコンヘルメットを使用して達成するには、より厚い酸化シリコンヘルメットが使用される。
図7は、特定の開示の実施形態に従って実施される例示的な方法の例示的なプロセスフロー図を提供する。図7の例示的なプロセスは、図6の動作604、608、および610などの様々な動作の繰り返しを含む。
動作701では、側壁トポグラフィを有するフィーチャを有する基板がプロセスチャンバに供給される。本明細書で説明される例は側壁トポグラフィを有するフィーチャに言及しているが、そのような実施形態は、多層ラミネートスタックのフィーチャ、正に傾斜した側壁を有するフィーチャ、負に傾斜した側壁を有するフィーチャ、および凹型プロファイルを有するフィーチャを有する基板に適用することができることが理解されよう。動作701は、図6に関して上述した動作602の実施形態に対応し得る。
動作702a−1、702b−1、702c−1、および702d−1では、第1の量の誘電体材料がフィーチャ内に堆積されてもよく、そのような動作は、いくつかの実施形態では、図6に関して上述した動作604の実施形態に対応し得る。この特定の例では、動作702a−1から702d−1は、1つのALDサイクルを構成することができる。動作702a−1において、基板は、ケイ素含有前駆体(例えば、動作604に関して上述したもののいずれか)に曝露され、フィーチャの表面上に前駆体を吸着させる。様々な実施形態において、この動作は自己制限的である。いくつかの実施形態では、前駆体は、フィーチャの表面上の活性部位の全てに吸着するわけではない。動作702b−1において、プロセスチャンバは、未吸着のケイ素含有前駆体を除去するために任意でパージされる。動作702c−1において、基板は酸化剤に曝露され、プラズマが点火されてフィーチャ内に第1の酸化シリコン層を形成する。様々な実施形態において、この層は、図6に関して動作604にて上記で言及した、フィーチャ内に堆積された第1の量の誘電体材料である。様々な実施形態において、動作702c−1は、吸着されたケイ素含有前駆体層を酸化シリコンに変換する。動作702d−1において、プロセスチャンバは、ケイ素含有前駆体と酸化剤との間の反応に由来する副産物を除去するために任意でパージされる。動作702a−1から702d−1は、酸化シリコンをフィーチャ内に所望の厚さで堆積させるために、必要に応じて2以上のサイクルで任意に繰り返されてもよい。
動作708aでは、第1の量の酸化シリコンがフィーチャから部分的にエッチングされる。様々な実施形態において、これは図6の動作608に対応する。動作708aは、フィーチャ開口部を開くのに十分な期間実施すればよい。例えば、いくつかの実施形態では、動作702a−1から702b−1のサイクルは、ピンチオフまでフィーチャ内に酸化シリコンを堆積させ、ピンチオフと同時に動作708aを実施してフィーチャ開口部を開くことによって後続の堆積を可能にする。エッチング化学物質としては、動作608に関して上述したような、任意のエッチング化学物質を使用することができる。いくつかの実施形態では、エッチングを促進するためにプラズマが点火される。エッチング化学物質およびプラズマ条件は、フィーチャ内に堆積される材料に依存することが理解されよう。例えば、酸化シリコンの堆積の場合、動作708aは、酸化シリコンをエッチングするために、三フッ化窒素を流し、約1000Wから約5000Wの電力でプラズマを点火することを伴い得る。
動作710では、基板は、ケイ素含有前駆体および酸化剤に曝露され、PECVDによって第2の量の酸化シリコンを形成する。これは、上述した図6の動作610に対応し得る。いくつかの実施形態では、使用されるケイ素含有前駆体は、動作702a−1で使用されるものと同じである。いくつかの実施形態では、使用されるケイ素含有前駆体は、702a−1で使用されるケイ素含有前駆体とは異なる。ケイ素含有前駆体の選択は、使用される酸化剤および使用される技術(ALD、PEALD、CVD、PECVDなど)に依存する。酸化剤はまた、動作702c−1で使用される酸化剤と同じであっても異なっていてもよい。第2の量の酸化シリコンを使用して、基板のフィールド表面上にヘルメットを堆積させることができる。
動作708bでは、酸化シリコンは、フィーチャ開口部からエッチングされてもよい。これは、上述した図6の動作608が繰り返される動作に対応し得る。いくつかの実施形態では、動作710で堆積されたヘルメットは、フィーチャの内部よりもフィーチャ開口部をエッチングしながらヘルメットをエッチングすることができるように、酸化シリコン下にある材料およびフィーチャプロファイルを保護する。例えば、フィーチャ開口部のエッチングは、フィーチャの深さの上部5%または上部10%をエッチングすることを伴い得る。いくつかの実施形態では、フィーチャの高いアスペクト比のため、エッチング種がフィーチャの底部に達しない場合があり、したがってフィーチャ内に堆積された材料の上部から約50%までしかエッチングされない。そのようなエッチングは、2秒から約200秒の期間、約1000Wから約5000Wのプラズマ電力を使用することによって調整することができる。
動作702a−2から702d−2は、ALDサイクルを構成し得る。そのような例は、例えば、図6の動作604の繰り返しを示す。動作702a−2は、動作702a−1と同じであってもよく、または動作702a−1と同じ前駆体および条件を伴ってもよい。動作702b−2は、動作702b−1と同じであってもよく、または動作702b−1と同じパージ条件を伴ってもよい。動作702c−2は、動作702c−1と同じであってもよく、または動作702c−1と同じ酸化剤および/もしくはプラズマ条件を伴ってもよい。動作702d−2は、動作702d−1と同じであってもよく、または動作702d−1と同じパージ条件を伴ってもよい。動作702a−2の間、基板は、フィーチャ表面に前駆体を吸着させるためにケイ素含有前駆体に曝露される。このフィーチャ表面は、先行する動作で以前に堆積および/またはエッチングされた酸化シリコンを含んでいる。いくつかの実施形態では、動作702a−1、702b−1、702c−1、702d−1、708a、710、708b、702a−2、702b−2、702c−2、および702d−2は、フィーチャが充填されるまで任意に繰り返されてもよい。
図8A〜図8Dは、特定の開示の実施形態による、フィーチャ802aを有する基板804aの例を示しており、凹型フィーチャがライナ806aによって画定され、フィーチャの底部812aがフィーチャ充填動作を受けている。図8Bでは、第1の量の酸化シリコン808bが基板上に堆積されてヘルメットを形成する。一例として酸化シリコンが図示されているが、いくつかの実施形態では、ヘルメットは、窒化シリコン、または酸窒化シリコンである。凹型プロファイルを有しているため、ボイド810bが形成される。これは、図6の動作604における堆積に対応し得る。図8Cでは、エッチングされた酸化シリコン808cで示されるように、基板がエッチバックされる。これは、図6の動作608に対応し得る。図8Dでは、基板のエッチバックが完了し、露出したボイド810dで示されるようにフィーチャが開かれている。エッチバック中に消費されたのは犠牲ヘルメットであったため、材料損失816dはない。そして、図3Dと比較されるように、フィーチャ802dは、ボイドを有することなく酸化シリコンを堆積させるために後続のALD動作で充填され得る。
図9A〜図9Dは、特定の開示の実施形態による、フィーチャ902aを有する基板904aの例を示しており、フィーチャプロファイルがスタブ916aを有するライナ906aによって画定され、フィーチャの底部912aがフィーチャ充填動作を受けている。図9Bでは、第1の量の酸化シリコン908bが基板上に堆積され、ライナ906bのフィールド表面を覆う過剰堆積部によって示すように、ヘルメットを形成する。側壁トポグラフィを有しているため、堆積された酸化シリコンの側壁同士の間に空間910bが存在する。この堆積は、図6の動作604における堆積に対応し得る。図9Cでは、エッチングされた酸化シリコン908cで示されるように基板がエッチバックされ、平滑化された表面914cをもたらす。これは、図6の動作608に対応し得る。図9Dでは、基板のエッチバックが完了し、フィーチャが開かれ、符号914dおよび916dで示される側壁が両方とも図示のように平滑化されている。エッチバック中に消費されたのは犠牲ヘルメットであったため、材料損失916dはない。そして、図4Dと比較されるように、フィーチャ902dは、ボイドを有することなく酸化シリコンを堆積させるために後続のALD動作で充填され得る。
図9E〜図9Hは、特定の開示の実施形態による、フィーチャ902eを有する基板904eの例を示しており、フィーチャプロファイルがスタブ916eを有するライナ906eによって画定され、フィーチャの底部912eがフィーチャ充填動作を受けている。図9Fでは、第1の量の酸化シリコン908fがフィーチャ902fに堆積され、窒化シリコンヘルメット999fが、ライナ906fのフィールド表面を覆う過剰堆積部によって示すように、基板上に堆積される。この堆積は、図6の動作604および607における堆積に対応し得る。側壁トポグラフィを有しているため、堆積された酸化シリコンの側壁同士の間に空間910bが存在する。図9Gでは、エッチングされた酸化シリコン908gで示されるように基板がエッチバックされ、平滑化された表面914gをもたらす。これは、図6の動作608に対応し得る。窒化シリコンは酸化シリコンに対してエッチング選択性を有するため、酸化シリコンの多くが残り、窒化シリコンヘルメットはより長い期間エッチング動作に耐えることができるが、ここでのエッチング選択性は必ずしも無限ではないので、一部の窒化シリコンはエッチングプロセス中に除去される可能性があることに注意されたい。図9Hでは、基板のエッチバックが完了し、フィーチャが開かれ、符号914hおよび916hで示される側壁が両方とも図示のように平滑化されている。犠牲ヘルメット999hが酸化シリコンに対するエッチング選択性を有するため、材料損失916hはない。いくつかの実施形態では、ヘルメット999hの一部が除去される可能性があるが、エッチング条件が同じであると仮定すると酸化シリコンほど多くは除去されないことに注意されたい。そして、図4Dと比較されるように、フィーチャ902hは、ボイドを有することなく酸化シリコンを堆積させるために後続のALD動作で充填され得る。
図10A〜図10Cは、特定の開示の実施形態による、フィーチャ1002aを有する基板の例を示しており、多層ラミネートスタックが2つの材料1004aおよび1014aを有し、フィーチャ1002aがフィーチャ充填動作を受けている。第1の量の酸化シリコン1009bが基板上に堆積され、ヘルメットを形成する。これは、図6の動作604における堆積に対応し得る。図10Bでは、エッチングされた酸化シリコン1008bで示されるように基板がエッチバックされる。これは、図6の動作608に対応し得る。そして、図10Cでは、図5Cと比較されるように、フィーチャ1002cは、フィーチャプロファイルに損傷を与えることなく酸化シリコンを堆積させるために後続のALD動作で充填される。
図11は、堆積動作中に酸化シリコンを堆積させるためにPEALDおよびPECVDの組み合わせを使用する、図6の繰り返し動作の例による例示的なパルスのタイミングシーケンス図である。図11は、様々なプロセスパラメータについて、例示的なプロセス1100における各段階を示す。そのようなプロセスパラメータとしては、キャリアガスまたはパージガスの流れ、ケイ素含有前駆体の流れ、酸化剤の流れ、プラズマ電力、およびエッチング剤の流れなどが挙げられる。図中の線は、流れまたはプラズマ電力がオンおよびオフにされるタイミングを示す。また、図11に示されていない他のプロセスパラメータも、特定の開示の実施形態を調整するために関連する場合がある。そのようなパラメータには、前駆体ガス、不活性ガス、反応剤ガス、およびエッチングガスの流量、基板温度、ならびにプロセスチャンバの圧力が含まれるが、これらに限定されない。
プロセス1100は、ALDサイクル1102−1、エッチング段階1108−1、ALDサイクル1110−1、PECVD曝露段階1110−2、長いエッチバック段階1108−2、およびALDサイクル1102−2を含む。図11には例示的な動作の特定のシーケンスが示されているが、これは一例であり、ALD、PECVD、およびエッチングの他の変形が様々なタイプのフィーチャを有する基板および様々な材料に使用され得ることが理解されよう。さらに、図11は酸化シリコンを堆積させるためのシリコン前駆体および酸化剤の流れを示すが、実施形態は、本明細書の他の場所に記載されるような任意の所望の材料の堆積にも適し得ることが理解されよう。
ALDサイクル1102−1は、ケイ素含有前駆体曝露段階1102a、パージ段階1102b、酸化剤曝露段階1102c、およびパージ段階1102dを含む。ケイ素含有前駆体曝露段階1102aでは、ケイ素含有前駆体ガスの流れがオンの状態で、アルゴンがキャリアガスとして流れる一方、酸化剤およびエッチング剤の流れはオフであり、プラズマはオフにされる。パージ段階1102bでは、アルゴンガスがオンのままである一方、ケイ素含有ガス、酸化剤ガス、およびエッチングガスの流れはオフであり、プラズマはオフである。酸化剤曝露段階1102cでは、酸化剤およびパージガスの流れがオンである一方、プラズマはオンにされ、ケイ素含有前駆体およびエッチングガスの流れはオフである。パージ段階1102dでは、アルゴンガスがオンである一方、ケイ素含有ガスの流れ、酸化剤ガスの流れ、およびエッチングガスの流れはオフであり、プラズマはオフにされる。ここでは1つのALDサイクルが図示されているが、いくつかの実施形態では、複数のサイクルが実施されてもよいことが理解されよう。
エッチング段階1108−1は、1つのエッチング段階1108aを含み、これによりアルゴンガスおよびエッチングガスの流れがオンであり、プラズマがオンにされる一方、ケイ素含有前駆体および酸化剤ガスの流れはオフである。
パージ段階1153aでは、アルゴンがパージガスとして作用し、アルゴンガスの流れがオンにされる一方、ケイ素含有前駆体ガス、酸化剤ガス、およびエッチング液ガスの流れはオフであり、プラズマはオフにされる。
ALDサイクル1110−1は、エッチング段階1108−1でのエッチング後、より多くの酸化シリコン材料を堆積させるために実施される。ALDサイクル1110−1は、ALDサイクル1102−1からの反復動作を含み、それによりALDサイクル1110−1は、ケイ素含有前駆体曝露段階1102a、パージ段階1102b、酸化剤曝露段階1102c、およびパージ段階1102dを含む。ケイ素含有前駆体曝露段階1102aでは、ケイ素含有前駆体ガスの流れがオンの状態で、アルゴンがキャリアガスとして流れる一方、酸化剤およびエッチング剤の流れはオフであり、プラズマはオフにされる。パージ段階1102bでは、アルゴンガスがオンのままである一方、ケイ素含有ガス、酸化剤ガス、およびエッチングガスの流れはオフであり、プラズマはオフである。酸化剤曝露段階1102cでは、酸化剤およびパージガスの流れがオンである一方、プラズマはオンにされ、ケイ素含有前駆体およびエッチングガスの流れはオフである。パージ段階1102dでは、アルゴンガスがオンである一方、ケイ素含有ガスの流れ、酸化剤ガスの流れ、およびエッチングガスの流れはオフであり、プラズマはオフにされる。1つのALDサイクルが図示されているが、いくつかの実施形態では、複数のサイクルが実施されてもよいことが理解されよう。
この例では、エッチング段階1108−1でのエッチング後に第2の量の酸化シリコンを堆積させるために、ALDサイクル1110−1とPECVD曝露1110−2の組み合わせが実施される。PECVD曝露段階1110−の間、PECVD曝露1110の1つの動作のみが図示されており、これによりアルゴンが流れ、ケイ素含有前駆体ガスが流れ、酸化剤ガスが流れ、プラズマが点火されて酸化シリコンを堆積させる。ケイ素含有前駆体は、ALDサイクル1102−1および1110−1で使用されるケイ素含有前駆体と同じであっても異なっていてもよいことが理解されよう。PECVD曝露1110−2は、基板のフィールド表面上でのヘルメットの形成に対応し得る。
長いエッチバック段階1108−2では、より長いエッチング1108bの期間が図示されており、これによりアルゴンが流れ、エッチングガスが流れ、プラズマが点火されてエッチングを促進し、ケイ素含有前駆体および酸化剤ガスの流れがオフにされる。これは、基板上に犠牲ヘルメットを形成した後のエッチングによるフィーチャ開口部の開口動作に対応し得る。
パージ段階1153bは、アルゴンガスを流すことを伴う一方、ケイ素含有ガス、酸化剤ガス、およびエッチングガスの流れはオフにされ、プラズマはオフである。ALDサイクル1102−2は、ALDサイクル1102−1からの反復動作を含み、それによりALDサイクル1110−2は、ケイ素含有前駆体曝露段階1102a、パージ段階1102b、酸化剤曝露段階1102c、およびパージ段階1102dを含む。ケイ素含有前駆体曝露段階1102aでは、ケイ素含有前駆体ガスの流れがオンの状態で、アルゴンがキャリアガスとして流れる一方、酸化剤およびエッチング剤の流れはオフであり、プラズマはオフにされる。パージ段階1102bでは、アルゴンガスがオンのままである一方、ケイ素含有ガス、酸化剤ガス、およびエッチングガスの流れはオフであり、プラズマはオフである。酸化剤曝露段階1102cでは、酸化剤およびパージガスの流れがオンである一方、プラズマはオンにされ、ケイ素含有前駆体およびエッチングガスの流れはオフである。パージ段階1102dでは、アルゴンガスがオンである一方、ケイ素含有ガスの流れ、酸化剤ガスの流れ、およびエッチングガスの流れはオフであり、プラズマはオフにされる。1つのALDサイクルが図示されているが、いくつかの実施形態では、複数のサイクルが実施されてもよいことが理解されよう。
装置
図12は、低圧環境を維持するためのプロセスチャンバ本体1202を有する原子層堆積(ALD)プロセスステーション1200の一実施形態の概略図を図示する。そのようなステーションは、ALD、PEALD、CVD、PECVDによる堆積、ならびにエッチバック動作を含む、特定の開示の実施形態を実施するために使用されてもよい。複数のALDプロセスステーション1200を、1つの共通の低圧プロセスツール環境に含めてもよい。例えば、図13は、マルチステーション処理ツール1300の一実施形態を図示する。いくつかの実施形態では、以下で詳細に説明されるものを含むALDプロセスステーション1200の1つ以上のハードウェアパラメータは、1つ以上のコンピュータコントローラ1250によってプログラム的に調整することができる。
ALDプロセスステーション1200は、分配シャワーヘッド1206にプロセスガスを供給するために、反応剤供給システム1201aと流体的に連通している。反応剤供給システム1201aは、シャワーヘッド1206に供給するケイ素含有前駆体ガス、または酸化剤ガス(例えば、酸素または亜酸化窒素)、不活性ガス、エッチングガス(例えば、三フッ化窒素)などのプロセスガスをブレンドおよび/または調整するための混合容器1204を含む。1つ以上の混合容器入口弁1220は、混合容器1204へのプロセスガスの導入を制御し得る。三フッ化窒素および/または酸化剤プラズマはまた、シャワーヘッド1206に供給されてもよく、またはALDプロセスステーション1200内で生成されてもよい。
一例として、図12の実施形態は、混合容器1204に供給される液体反応剤を気化させるための気化ポイント1203を含む。いくつかの実施形態では、気化ポイント1203は、加熱気化器であってもよい。そのような気化器から生成された飽和反応剤蒸気は、下流の供給配管内で凝縮し得る。凝縮した反応剤に不適合ガスが曝露されると、小粒子が形成されることがある。これらの小粒子は、配管を詰まらせ、弁の動作を妨げ、基板を汚染するなどの恐れがある。これらの問題に対処するためのいくつかのアプローチは、残留反応剤を除去するために供給配管をパージおよび/または排気することを伴う。しかし、供給配管をパージすると、プロセスステーションのサイクル時間が増加し、プロセスステーションの処理量が低下する可能性がある。したがって、いくつかの実施形態では、気化ポイント1203の下流の供給配管をヒートトレースしてもよい。いくつかの例では、混合容器1204もヒートトレースしてもよい。1つの非限定的な例では、気化ポイント1203の下流の配管は、混合容器1204で約100℃から約150℃に及ぶ昇温プロファイルを有する。
いくつかの実施形態では、液体前駆体または液体反応剤は、液体注入器で気化されてもよい。例えば、液体注入器は、液体反応剤のパルスを混合容器の上流のキャリアガス流に注入することができる。一実施形態では、液体注入器は、液体を高圧から低圧に勢いよく流すことによって反応剤を気化させることができる。別の例では、液体注入器は、液体を分散微小液滴に霧化してから、加熱された供給パイプ内でその微小液滴を気化させてもよい。小さな液滴は、大きな液滴よりも速く蒸発することができ、液体注入と完全な気化との間の遅延が減少する。気化が速くなるほど、気化ポイント1203から下流の配管までの長さを短くすることができる。1つのシナリオでは、液体注入器を混合容器1204に直接取り付けてもよく、別のシナリオでは、液体注入器をシャワーヘッド1206に直接取り付けてもよい。
いくつかの実施形態では、気化およびプロセスステーション1200への供給のための液体の質量流量を制御するために、液体流コントローラ(LFC)を気化ポイント1203の上流に設けることができる。例えば、LFCは、LFCの下流に位置する熱質量流量計(MFM)を含み得る。次に、LFCのプランジャ弁は、MFMと電気的に通信する比例積分微分(PID)コントローラによって提供されるフィードバック制御信号に応答して調整され得る。しかし、フィードバック制御を使用して液体の流れを安定化するには1秒以上かかる場合がある。これは、液体反応剤の供給時間を延長する可能性がある。したがって、いくつかの実施形態では、LFCは、フィードバック制御モードと直接制御モードとの間で動的に切り替えられてもよい。いくつかの実施形態では、これは、LFCの感知管およびPIDコントローラを無効にすることによって実施され得る。
シャワーヘッド1206は、基板1212に向けてプロセスガスを分配する。図12に示す実施形態では、基板1212は、シャワーヘッド1206の下に位置し、台座1208上に載置された状態で示されている。シャワーヘッド1206は、任意の適切な形状とすることができ、プロセスガスを基板1212に分配するためのポートを任意の適切な数、任意の適切な配置で有することができる。
いくつかの実施形態では、台座1208は、基板1212とシャワーヘッド1206との間の体積に基板1212を曝露するために上下させることができる。いくつかの実施形態では、台座の高さは、適切なコンピュータコントローラ1250によってプログラム的に調整されてもよいことが理解されよう。いくつかの実施形態では、台座に電力が供給され、電力の印加により台座にバイアスを生成することができる。
別のシナリオでは、台座1208の高さを調整することにより、プラズマが点火される実施形態のプロセスにおけるプラズマ活性化サイクル中にプラズマ密度を変化させることが可能になり得る。プロセス段階の終わりには、別の基板移送段階中に台座1208を下げ、台座1208からの基板1212の除去を可能にすることができる。
いくつかの実施形態では、台座1208は、ヒータ1210を介して温度制御されてもよい。いくつかの実施形態では、台座1208は、約50℃から約650℃の温度に加熱されてもよい。いくつかの実施形態では、台座は、約50℃から約500℃の温度、例えば約200℃から約275℃の温度に設定される。いくつかの実施形態では、台座は、約50℃から約300℃の温度に設定される。いくつかの実施形態では、台座は、約200℃から約275℃の温度に設定される。
さらに、いくつかの実施形態では、プロセスステーション1200の圧力制御は、バタフライ弁1218によって行われてもよい。図12の実施形態に示すように、バタフライ弁1218は、下流の真空ポンプ(図示せず)によって提供される真空を絞る。しかし、いくつかの実施形態では、プロセスステーション1200の圧力制御は、プロセスステーション1200に導入される1つ以上のガスの流量を変化させることによって調整することもできる。
いくつかの実施形態では、シャワーヘッド1206の位置を台座1208に対して調整し、基板1212とシャワーヘッド1206との間の体積を変化させることができる。さらに、台座1208および/またはシャワーヘッド1206の垂直位置は、本開示の範囲内の任意の適切な機構によって変更されてもよいことが理解されよう。いくつかの実施形態では、台座1208は、基板1212の向きを回転させるための回転軸を含み得る。いくつかの実施形態では、これらの例示的な調整の1つ以上は、1つ以上の適切なコンピュータコントローラ1250によってプログラム的に実施され得ることが理解されよう。
プラズマが上述のように使用され得るいくつかの実施形態では、シャワーヘッド1206および台座1208は、プラズマに電力を供給するための高周波(RF)電源1214および整合ネットワーク1216と電気的に通信する。いくつかの実施形態では、プラズマエネルギーは、プロセスステーション圧力、ガス濃度、RF源電力、RF源周波数、およびプラズマ電力パルスタイミングの1つ以上を制御することによって制御されてもよい。例えば、RF電源1214および整合ネットワーク1216は、ラジカル種の所望の組成を有するプラズマを形成するために任意の適切な電力で動作されてもよい。適切な電力の例は、上記に含まれている。同様に、RF電源1214は、任意の適切な周波数のRF電力を提供し得る。いくつかの実施形態では、RF電源1214は、高周波および低周波RF電源を互いに独立して制御するように構成され得る。例示的な低周波RF周波数は、0kHzから500kHzの周波数を含んでもよいが、これに限定されない。例示的な高周波RF周波数は、1.8MHzから2.45GHz、または約13.56MHzを超える、または27MHzを超える、または40MHzを超える、または60MHzを超える周波数を含んでもよいが、これに限定されない。表面反応のためのプラズマエネルギーを提供するために、任意の適切なパラメータが離散的または連続的に調整されてもよいことが理解されよう。プラズマ電力は、ステーションが堆積を実施しているかエッチングを実施しているかに応じて変化してもよい。例えば、例示的なエッチングプラズマ電力は、1000Wから5000Wを含む。
いくつかの実施形態では、プラズマは、1つ以上のプラズマモニタによってin−situで監視され得る。1つのシナリオでは、プラズマ電力は、1つ以上の電圧電流センサ(例えば、VIプローブ)によって監視され得る。別のシナリオでは、プラズマ密度および/またはプロセスガス濃度は、1つ以上の発光分光センサ(OES)によって測定されてもよい。いくつかの実施形態では、1つ以上のプラズマパラメータは、そのようなin−situのプラズマモニタからの測定値に基づいてプログラム的に調整され得る。例えば、OESセンサは、プラズマ電力のプログラム制御を提供するためのフィードバックループで使用されてもよい。いくつかの実施形態では、プラズマおよび他のプロセス特性を監視するために他のモニタが使用されてもよいことが理解されよう。このようなモニタとしては、赤外線(IR)モニタ、音響モニタ、および圧力トランスデューサが挙げられるが、これらに限定されない。
いくつかの実施形態では、コントローラ1250に対する命令は、入力/出力制御(IOC)シーケンス命令を介して提供されてもよい。一例では、プロセス段階の条件を設定するための命令は、プロセスレシピの対応するレシピ段階に含めることができる。場合によっては、プロセスレシピ段階が順次配置されてもよく、それによりプロセス段階の全ての命令がそのプロセス段階と同時に実施される。いくつかの実施形態では、1つ以上のリアクタパラメータを設定するための命令は、レシピ段階に含まれ得る。例えば、第1のレシピ段階は、不活性ガスおよび/または反応剤ガス(例えば、ケイ素含有前駆体などの第1の前駆体)の流量を設定するための命令、キャリアガス(アルゴンなど)の流量を設定するための命令、ならびに第1のレシピ段階の時間遅延命令を含み得る。続く第2のレシピ段階は、不活性ガスおよび/または反応剤ガスの流量を調整または停止するための命令、ならびにキャリアガスまたはパージガスの流量を調整するための命令、および第2のレシピ段階の時間遅延命令を含み得る。第3のレシピ段階は、酸素などの第2の反応剤ガスの流量を調整するための命令、キャリアガスまたはパージガスの流量を調整するための命令、および第3のレシピ段階の時間遅延命令を含み得る。続く第4のレシピ段階は、エッチングガスの流量を調整または停止するための命令、ならびにキャリアガスまたはパージガスの流量を調整するための命令、および第4のレシピ段階の時間遅延命令を含み得る。第5のレシピ段階は、ケイ素含有ガスの流量と、窒化シリコンヘルメットを堆積させるための窒素含有ガスまたは酸化物ヘルメットを堆積させるための酸素含有ガスの流量とを調整するための命令、キャリアガスまたはパージガスの流量を調整するための命令、および第5のレシピ段階の時間遅延命令を含み得る。これらのレシピ段階は、本開示の実施形態の範囲内で、任意の適切な方法でさらに細分化および/または反復されてもよいことが理解されよう。いくつかの実施形態では、コントローラ1250は、図13のシステムコントローラ1350に関して以下で説明される特徴のいずれかを含み得る。
上述のように、マルチステーション処理ツールには1つ以上のプロセスステーションを含めることができる。図13は、インバウンドロードロック1302およびアウトバウンドロードロック1304を備え、これらのいずれかまたは両方が遠隔プラズマ源を含み得るマルチステーション処理ツール1300の一実施形態の概略図を示す。ロボット1306は、大気圧において、ポッド1308を通してロードされたカセットから、大気圧ポート1310を介してインバウンドロードロック1302にウエハを移動させるように構成される。ウエハは、ロボット1306によって、インバウンドロードロック1302の台座1312上に載置され、大気圧ポート1310が閉じられ、ロードロックがポンプダウンされる。インバウンドロードロック1302が遠隔プラズマ源を含む場合、ウエハは、処理チャンバ1314に導入される前にロードロックにおいて遠隔プラズマ処理を受けてもよい。さらに、ウエハはまた、例えば、水分および吸着したガスを除去するためにインバウンドロードロック1302においても加熱されてもよい。次に、処理チャンバ1314へのチャンバ移送ポート1316が開かれ、別のロボット(図示せず)が、処理のためにリアクタに示す第1のステーションの台座上のリアクタにウエハを載置する。なお、図13に図示される実施形態はロードロックを含んでいるが、いくつかの実施形態では、プロセスステーションにウエハを直接進入させてもよいことを理解されたい。
図示の処理チャンバ1314は、図13に示す実施形態において1から4まで番号が付けられた4つのプロセスステーションを含む。各ステーションは、加熱台座(ステーション1に対して1318で示す)と、ガスライン入口とを有する。いくつかの実施形態では、各プロセスステーションは、異なる目的または複数の目的を有し得ることが理解されよう。例えば、いくつかの実施形態では、プロセスステーションは、ALDプロセスモードとプラズマ強化ALDプロセスモードとの間で切り替え可能であり得る。これに加えて、またはこれに代えて、いくつかの実施形態では、処理チャンバ1314は、ALDプロセスステーションおよびプラズマ強化ALDプロセスステーションの対応するペアを1つ以上含み得る。図示の処理チャンバ1314は4つのステーションを含むが、本開示による処理チャンバは、任意の適切な数のステーションを有してもよいことが理解されよう。例えば、いくつかの実施形態では、処理チャンバは、5つ以上のステーションを有してもよく、他の実施形態では、処理チャンバは、3つ以下のステーションを有してもよい。
図13は、処理チャンバ1314内でウエハを移送するためのウエハハンドリングシステム1390の一実施形態を図示する。いくつかの実施形態では、ウエハハンドリングシステム1390は、様々なプロセスステーション同士の間および/またはプロセスステーションとロードロックとの間でウエハを移送することができる。任意の適切なウエハハンドリングシステムが用いられてもよいことが理解されよう。非限定的な例として、ウエハカルーセルおよびウエハハンドリングロボットが挙げられる。図13はまた、プロセスツール1300のプロセス条件およびハードウェア状態を制御するために用いられるシステムコントローラ1350の一実施形態を図示する。システムコントローラ1350は、1つ以上のメモリデバイス1356、1つ以上の大容量記憶デバイス1354、および1つ以上のプロセッサ1352を含むことができる。プロセッサ1352は、CPUまたはコンピュータ、アナログおよび/またはデジタル入出力接続、ステッピングモータコントローラボードなどを含み得る。
いくつかの実施形態では、システムコントローラ1350は、プロセスツール1300の活動の全てを制御する。システムコントローラ1350は、大容量記憶デバイス1354に記憶され、メモリデバイス1356にロードされ、プロセッサ1352で実施されるシステム制御ソフトウェア1358を実行する。あるいは、制御論理がコントローラ1350においてハードコード化されてもよい。これらの目的のために、特定用途向け集積回路、プログラマブル論理デバイス(例えば、フィールド・プログラマブル・ゲート・アレイ、FPGA)などを使用することができる。以下の説明では、「ソフトウェア」または「コード」が使用されている場合は常に、機能的に同等のハードコード化された論理を代わりに使用することができる。システム制御ソフトウェア1358は、タイミング、ガスの混合、ガス流量、チャンバ圧力および/またはステーション圧力、チャンバ温度および/またはステーション温度、ウエハ温度、ターゲット電力レベル、RF電力レベル、基板台座、チャック位置および/またはサセプタ位置、ならびにプロセスツール1300によって実施される特定のプロセスの他のパラメータを制御するための命令を含み得る。システム制御ソフトウェア1358は、任意の適切な方法で構成され得る。例えば、様々なプロセスツール構成要素サブルーチンまたは制御オブジェクトは、様々なプロセスツールプロセスを実施するために使用されるプロセスツール構成要素の動作を制御するために書かれてもよい。システム制御ソフトウェア1358は、任意の適切なコンピュータが読み取り可能なプログラミング言語でコード化され得る。
いくつかの実施形態では、システム制御ソフトウェア1358は、上述の様々なパラメータを制御するための入力/出力制御(IOC)シーケンス命令を含み得る。システムコントローラ1350に関連する大容量記憶デバイス1354および/またはメモリデバイス1356に記憶された他のコンピュータソフトウェアおよび/またはプログラムは、いくつかの実施形態で用いられてもよい。この目的のためのプログラムの例またはプログラムのセクションの例は、基板位置決めプログラム、プロセスガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、およびプラズマ制御プログラムを含む。
基板位置決めプログラムは、基板を台座1318上にロードし、基板とプロセスツール1300の他の部分との間の間隔を制御するために使用されるプロセスツール構成要素のプログラムコードを含み得る。
プロセスガス制御プログラムは、ガス組成(例えば、本明細書で説明されるケイ素含有ガス、酸化剤ガス、エッチングガス、キャリアガス、およびパージガス)と流量を制御するためのコード、および任意で、プロセスステーションの圧力を安定化するため堆積前に1つ以上のプロセスステーションにガスを流すためのコードを含み得る。圧力制御プログラムは、例えば、プロセスステーションの排気システムのスロットル弁、プロセスステーションへのガス流などを調節することによってプロセスステーションの圧力を制御するためのコードを含み得る。
ヒータ制御プログラムは、基板を加熱するために使用される加熱ユニットへの電流を制御するためのコードを含み得る。あるいは、ヒータ制御プログラムは、基板への熱伝達ガス(ヘリウムなど)の供給を制御することができる。
プラズマ制御プログラムは、本明細書の実施形態に従って1つ以上のプロセスステーションのプロセス電極に印加されるRF電力レベルを設定するためのコードを含み得る。
圧力制御プログラムは、本明細書の実施形態に従って反応チャンバの圧力を維持するためのコードを含み得る。
いくつかの実施形態では、システムコントローラ1350に関連するユーザインターフェースが存在してもよい。ユーザインターフェースは、ディスプレイ画面、装置および/またはプロセス条件のグラフィカルソフトウェアディスプレイ、ならびにポインティングデバイス、キーボード、タッチスクリーン、マイクロフォンなどのユーザ入力デバイスを含み得る。
いくつかの実施形態では、システムコントローラ1350によって調整されたパラメータは、プロセス条件に関係するものであってもよい。非限定的な例として、プロセスガスの組成および流量、温度、圧力、プラズマ条件(RFバイアス電力レベルなど)などが挙げられる。これらのパラメータは、レシピの形態でユーザに提供されてもよく、ユーザインターフェースを利用して入力することができる。
プロセスを監視するための信号は、様々なプロセスツールセンサからシステムコントローラ1350のアナログおよび/またはデジタル入力接続によって提供されてもよい。プロセスを制御するための信号は、プロセスツール1300のアナログおよびデジタル出力接続で出力することができる。監視することができるプロセスツールセンサの非限定的な例は、マスフローコントローラ、圧力センサ(圧力計など)、熱電対などを含む。適切にプログラムされたフィードバックおよび制御アルゴリズムをこれらのセンサからのデータと共に使用して、プロセス条件を維持することができる。
システムコントローラ1350は、上述の堆積プロセスを実行するためのプログラム命令を提供することができる。プログラム命令は、DC電力レベル、RFバイアス電力レベル、圧力、温度など、様々なプロセスパラメータを制御することができる。命令は、本明細書で説明される様々な実施形態に従って、膜スタックのin−situ堆積を実施するようにパラメータを制御し得る。
システムコントローラ1350は、通常、開示の実施形態による方法を装置が実施するように命令を実施するよう構成された1つ以上のメモリデバイスおよび1つ以上のプロセッサを含む。開示の実施形態に従ってプロセス動作を制御するための命令を含む機械が読み取り可能な媒体は、システムコントローラ1350に結合されてもよい。
いくつかの実施形態では、システムコントローラ1350は、上述した例の一部であり得るシステムの一部である。そのようなシステムは、1つまたは複数の処理ツール、1つまたは複数のチャンバ、1つまたは複数の処理用プラットフォーム、および/または特定の処理構成要素(ウエハ台座、ガス流システムなど)を含む半導体処理装置を含むことができる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および処理後のシステム動作を制御するための電子機器と一体化されてもよい。そのような電子機器は「コントローラ」と呼ばれることがあり、1つまたは複数のシステムの様々な構成要素または副部品を制御してもよい。システムコントローラ1350は、処理条件および/またはシステムのタイプに応じて、本明細書に開示されているプロセスのいずれかを制御するようにプログラムされてもよい。そのようなプロセスとしては、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ツールに対するウエハ搬送(搬入および搬出)、ならびに、特定のシステムと接続または連動する他の搬送ツールおよび/またはロードロックに対するウエハ搬送(搬入および搬出)が含まれる。
広義には、システムコントローラ1350は、命令を受信し、命令を発行し、動作を制御し、クリーニング動作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、ロジック、メモリ、および/またはソフトウェアを有する電子機器として定義されてもよい。集積回路は、プログラム命令を記憶するファームウェアの形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されたチップ、および/または、1つまたは複数のマイクロプロセッサ、すなわちプログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラを含んでもよい。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形式でシステムコントローラ1350に通信される命令であって、特定のプロセスを半導体ウエハ上で、または半導体ウエハ用に、またはシステムに対して実行するための動作パラメータを定義してもよい。動作パラメータは、いくつかの実施形態では、1つまたは複数の層、材料、金属、酸化物、ケイ素、二酸化ケイ素、表面、回路、および/またはウエハダイの製作における1つまたは複数の処理ステップを実現するためプロセスエンジニアによって定義されるレシピの一部であってもよい。
いくつかの実施態様において、システムコントローラ1350は、システムと統合または結合されるか、その他の方法でシステムにネットワーク接続されるコンピュータの一部であってもよく、またはそのようなコンピュータに結合されてもよく、またはそれらの組み合わせであってもよい。例えば、システムコントローラ1350は、「クラウド」内にあってもよいし、ファブホストコンピュータシステムのすべてもしくは一部であってもよい。これにより、ウエハ処理のリモートアクセスが可能となる。コンピュータは、システムへのリモートアクセスを可能にして、製作動作の現在の進捗状況を監視し、過去の製作動作の履歴を検討し、複数の製作動作から傾向または性能基準を検討し、現在の処理のパラメータを変更し、現在の処理に続く処理ステップを設定するか、または新しいプロセスを開始してもよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ネットワークを通じてプロセスレシピをシステムに提供することができる。そのようなネットワークは、ローカルネットワークまたはインターネットを含んでいてもよい。リモートコンピュータは、パラメータおよび/または設定のエントリまたはプログラミングを可能にするユーザインターフェースを含んでもよく、そのようなパラメータおよび/または設定は、その後リモートコンピュータからシステムに通信される。いくつかの例では、システムコントローラ1350は命令をデータの形式で受信する。そのようなデータは、1つまたは複数の動作中に実施される各処理ステップのためのパラメータを特定するものである。パラメータは、実施されるプロセスのタイプ、およびシステムコントローラ1350が連動または制御するように構成されるツールのタイプに特有のものであってもよいことを理解されたい。したがって、上述したように、システムコントローラ1350は、例えば、互いにネットワーク接続され共通の目的(本明細書に記載のプロセスおよび制御など)に向けて協働する1つまたは複数の個別のコントローラを含むことによって分散されてもよい。このような目的のための分散型コントローラの例として、チャンバ上の1つまたは複数の集積回路であって、(例えば、プラットフォームレベルで、またはリモートコンピュータの一部として)遠隔配置されておりチャンバにおけるプロセスを制御するよう組み合わせられる1つまたは複数の集積回路と通信するものが挙げられるであろう。
限定はしないが、例示的なシステムは、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理気相堆積(PVD)チャンバまたはモジュール、化学気相堆積(CVD)チャンバまたはモジュール、ALDチャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、追跡チャンバまたはモジュール、ならびに半導体ウエハの製作および/または製造に関連するか使用されてもよい任意の他の半導体処理システムを含んでもよい。
上述のように、ツールによって実施される1つまたは複数のプロセスステップに応じて、システムコントローラ1350は、1つまたは複数の他のツール回路もしくはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近接するツール、工場全体に位置するツール、メインコンピュータ、別のコントローラ、または半導体製造工場においてツール場所および/もしくはロードポートに対してウエハの容器を搬入および搬出する材料搬送に使用されるツールと通信してもよい。
本明細書に開示される方法を実施するための適切な装置は、2011年4月11日に出願された、名称を「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」とする米国特許出願第13/084,399号(現在は米国特許第8,728,956号)、および、2011年4月11日に出願された、名称を「SILICON NITRIDE FILMS AND METHODS」とする米国特許出願第13/084,305号にさらに説明および記載されており、各々の出願の全体が本明細書に組み込まれる。
本明細書で説明される装置/プロセスは、例えば、半導体デバイス、ディスプレイ、LED、太陽光パネルなどの製作または製造のために、リソグラフィパターニングツールまたはプロセスと併せて使用されてもよい。通常、必須ではないが、そのようなツール/プロセスは、共通の製作施設で共に使用または実施される。膜のリソグラフィパターニングは、通常、以下の操作のいくつかまたは全てを含み、各操作が使用可能な多くのツールを用いて可能にされる:(1)スピンオンツールまたはスプレーオンツールを使用して、ワークピース(すなわち、基板)にフォトレジストを塗布する操作、(2)ホットプレートまたは炉またはUV硬化ツールを使用してフォトレジストを硬化する操作、(3)ウェハステッパなどのツールを用いて可視光またはUV光またはX線光にフォトレジストを露光する操作、(4)ウェットベンチなどのツールを使用して、レジストを現像してレジストを選択的に除去し、それによってレジストをパターニングする操作、(5)ドライエッチングツールまたはプラズマ支援エッチングツールを使用することによって、下層の膜またはワークピースにレジストパターンを転写する操作、および(6)RFまたはマイクロ波プラズマレジストストリッパなどのツールを使用してレジストを除去する操作。
実験
実験1
実験は、5ミクロンの深さを有する15:1のフィーチャを有する基板で実施され、凹状タングステンと酸化シリコンのスタブ、およびフィーチャ開口部付近のフィーチャの上部に凹型部があった。そのような基板上への酸化シリコンの堆積を、従来の原子層堆積によって基板上で実施した結果、酸化物スタブが側壁上で出合う位置にボイドが形成された。フィーチャの上部に存在する凹型部により、上部にもボイドが形成された。別の同様の基板に対して、300サイクルのALD、NF3を使用したエッチバック、複数サイクルのALD、PECVDを利用した60秒間のヘルメットの堆積、長いエッチバック、およびフィーチャを充填するためのALD堆積を行った。得られた基板にボイドは発生せず、フィーチャ開口部の角部分から材料は除去されなかった。これらの結果は、側壁トポグラフィを有する高アスペクト比のフィーチャにおいて、ボイドを形成することなく誘電体材料によるギャップ充填を行うために利用される特定の開示のdep−etch−dep動作の実現可能性を示唆した。
実験2
実験は、160nmの深さを有する凹状フィーチャを有する基板で実施され、凹状フィーチャの表面は窒化シリコンであり、フィーチャの上部のフィーチャ開口部は25nmであり、側壁はフィーチャの底部に向かって深さ方向に狭まっていた。酸化シリコンは、プラズマとアミノシラン前駆体およびN2O/O2を使用し、40サイクルのALDを利用してフィーチャ内に堆積された。ALDに続いて、酸化シリコンヘルメットがPECVDによって125Aの厚さに堆積された。ヘルメットの堆積後、基板はNF3を使用して32秒の期間エッチングされた。ヘルメットは、エッチング中に完全に消費された。フィーチャを完全に充填するために、後続のALDが実施された。フィーチャは、ボイドがほとんど形成されないか全く形成されない状態で充填された。
実験は、160nmの深さを有する凹状フィーチャを有する基板で実施され、凹状フィーチャの表面は窒化シリコンであり、フィーチャの上部のフィーチャ開口部は25nmであり、側壁はフィーチャの底部に向かって深さ方向に狭まっていた。酸化シリコンは、プラズマとアミノシラン前駆体およびN2O/O2を使用し、40サイクルのALDを利用してフィーチャ内に堆積された。ALDに続いて、窒化シリコンヘルメットがアミノシラン前駆体および窒素プラズマを使用したPECVDによって125Åの厚さに堆積された。ヘルメットの堆積後、基板はNF3を使用して32秒の期間エッチングされた。エッチング後もヘルメットの50%超が残っていた。フィーチャを完全に充填するために、後続のALDが実施された。ALDによって堆積された酸化シリコンに対する窒化シリコンヘルメットのエッチング選択性により、フィーチャを開くためのエッチング期間をより長くすることが可能になった。
実験は、160nmの深さを有する凹状フィーチャを有する基板で実施され、凹状フィーチャの表面は窒化シリコンであり、フィーチャの上部のフィーチャ開口部は25nmであり、側壁はフィーチャの底部に向かって深さ方向に狭まっていた。酸化シリコンは、プラズマとアミノシラン前駆体およびN2O/O2を使用し、40サイクルのALDを利用してフィーチャ内に堆積された。ALDに続いて、窒化シリコンヘルメットがアミノシラン前駆体および窒素プラズマを使用したPECVDによって65Åの厚さに堆積された。ヘルメットの堆積後、基板はNF3を使用して32秒の期間エッチングされた。ヘルメットはエッチング中に完全に消費された。フィーチャを完全に充填するために、後続のALDが実施された。ALDによって堆積された酸化シリコンに対する窒化シリコンヘルメットのエッチング選択性により、より薄いヘルメットを使用しつつ、ボイドが形成されないフィーチャ充填を達成することができた。
結論
前述の実施形態は、明確な理解のために多少詳しく説明されてきたが、一定の変更および修正が添付の特許請求の範囲の範囲内で実施されてもよいことは明らかであろう。本実施形態のプロセス、システム、および装置の実施には多くの別の方法があることに注意されたい。したがって、本実施形態は、限定ではなく例示と見なされるべきであり、それらの実施形態は本明細書に述べられる詳細に限定されるべきではない。

Claims (44)

  1. 基板上のフィーチャを充填する方法であって、
    前記フィーチャを備える前記基板をプロセスチャンバに供給し、前記フィーチャはフィーチャ開口部および側壁トポグラフィを備え、前記側壁トポグラフィは前記フィーチャの前記側壁にスタブを備え、
    ケイ素含有前駆体および酸化剤を使用して、前記フィーチャを充填するには不十分な期間、第1の量の酸化シリコンを堆積させ、
    エッチング剤に前記第1の量の前記酸化シリコンを曝露して前記第1の量の前記酸化シリコンの少なくとも一部をエッチングし、
    前記第1の量の前記酸化シリコンをエッチングした後、前記エッチングされた第1の量の前記酸化シリコン上に第2の量の前記酸化シリコンを堆積させること
    を備える、方法。
  2. 請求項1に記載の方法であって、
    前記スタブは、前記側壁の平面に垂直な100Åから約300Åの寸法を有する、方法。
  3. 請求項1に記載の方法であって、
    前記第1の量の酸化シリコンを前記フィーチャ内に堆積させるには不十分な前記期間は、前記基板のフィールド表面上に酸化シリコンの過剰堆積部を形成する、方法。
  4. 請求項3に記載の方法であって、
    前記エッチング剤に前記第1の量の前記酸化シリコンを曝露することは、前記フィーチャの内部よりも前記フィーチャ開口部またはその付近で前記第1の量の前記酸化シリコンの前記少なくとも一部をエッチングすることを含む、方法。
  5. 請求項1に記載の方法であって、
    前記第2の量の前記酸化シリコンは、プラズマ強化化学気相堆積によって堆積される、方法。
  6. 請求項5に記載の方法はさらに、
    前記第2の量の前記酸化シリコンを堆積させた後、前記エッチング剤に前記第1の量の前記酸化シリコンを曝露するために使用される前記期間よりも長い期間、前記エッチング剤に前記第2の量の前記酸化シリコンを曝露することを備える、方法。
  7. 請求項1に記載の方法であって、
    前記フィーチャの前記側壁は、スタックに積層された2つ以上の材料を含む、方法。
  8. 請求項1に記載の方法であって、
    前記第1の量の前記酸化シリコンの前記堆積および前記エッチング剤への前記第1の量の前記酸化シリコンの前記曝露は、真空を破ることなく実施される、方法。
  9. 請求項1に記載の方法であって、
    前記エッチング剤への前記第1の量の前記酸化シリコンの前記曝露および前記第2の量の前記酸化シリコンの前記堆積は、真空を破ることなく実施される、方法。
  10. 請求項1から9のいずれか一項に記載の方法であって、
    前記第1の量の前記酸化シリコンは、原子層堆積の1つ以上のサイクルによって堆積され、原子層堆積の各サイクルは、前記酸化剤と前記ケイ素含有前駆体の交互のパルスを含む、方法。
  11. 請求項10に記載の方法であって、
    プラズマは、前記酸化剤のパルス中に点火される、方法。
  12. 請求項1から9のいずれか一項に記載の方法であって、
    前記エッチング剤は、三フッ化窒素、フルオロホルム(CHF3)、オクタフルオロシクロブタン(C48)、テトラフルオロメタン(CF4)、およびそれらの組み合わせからなる群から選択される、方法。
  13. 請求項1から9のいずれか一項に記載の方法であって、
    前記フィーチャは、少なくとも5ミクロンの深さを有する、方法。
  14. 請求項1から9のいずれか一項に記載の方法であって、
    前記フィーチャは、少なくとも15:1のアスペクト比を有する、方法。
  15. 基板上のフィーチャを充填する方法であって、
    前記フィーチャを備える前記基板をプロセスチャンバに供給し、前記フィーチャはフィーチャ開口部および側壁トポグラフィを備え、前記側壁トポグラフィは前記フィーチャの前記側壁にスタブを備え、
    ケイ素含有前駆体および酸化剤を使用して、前記フィーチャを充填するには不十分な期間、第1の量の酸化シリコンを堆積させ、
    前記第1の量の酸化シリコンを堆積させた後、かつエッチング剤に前記第1の量の酸化シリコンを曝露する前に、過剰堆積部を形成する犠牲ヘルメットを前記基板のフィールド表面上に堆積させ、
    前記エッチング剤に前記基板を曝露して前記第1の量の前記酸化シリコンの少なくとも一部をエッチングし、
    前記第1の量の前記酸化シリコンをエッチングした後、前記エッチングされた第1の量の前記酸化シリコン上に第2の量の前記酸化物を堆積させて前記フィーチャを少なくとも部分的に充填すること
    を備える、方法。
  16. 請求項15に記載の方法であって、
    犠牲ヘルメットは、プラズマ強化化学気相堆積によって堆積される、方法。
  17. 請求項15および16のいずれか一項に記載の方法であって、
    前記犠牲ヘルメットは、窒化シリコンを含む、方法。
  18. 請求項15および16のいずれか一項に記載の方法であって、
    前記犠牲ヘルメットは、酸化シリコンを含む、方法。
  19. 基板上のフィーチャを充填する方法であって、
    前記フィーチャを備える前記基板をプロセスチャンバに供給し、前記フィーチャはフィーチャ開口部および1つ以上の凹型面を有する側壁を備え、
    前記フィーチャを充填するには不十分な期間、第1の量の材料を堆積させ、
    エッチング剤に前記第1の量の前記材料を曝露して、前記フィーチャ内の前記第1の量の前記材料の少なくとも一部をエッチングし、
    前記第1の量の前記材料をエッチングした後、前記エッチングされた第1の量の前記材料上に第2の量の前記材料を堆積させること
    を備え、
    前記材料は、炭化シリコン、窒化シリコン、シリコン、タングステン、ルテニウム、銅、コバルト、およびモリブデンからなる群から選択される、
    方法。
  20. 請求項19に記載の方法であって、
    前記フィーチャ内に前記第1の量の前記材料を堆積させるのに十分な前記期間は、前記基板のフィールド表面上に前記材料の過剰堆積部を形成する、方法。
  21. 請求項20に記載の方法であって、
    前記エッチング剤に前記第1の量の前記材料を曝露することは、前記フィーチャの内部よりも前記フィーチャ開口部またはその付近で前記第1の量の前記材料の少なくとも一部をエッチングすることを含む、方法。
  22. 請求項21に記載の方法であって、
    前記第2の量の前記材料は、プラズマ強化化学気相堆積によって堆積される、方法。
  23. 請求項22に記載の方法はさらに、
    前記第2の量の前記材料を堆積させた後、前記エッチング剤に前記第1の量の前記材料を曝露するために使用される前記期間よりも長い期間、前記エッチング剤に前記第2の量の前記材料を曝露することを備える、方法。
  24. 請求項19から23のいずれか一項に記載の方法であって、
    前記フィーチャの前記側壁は、スタックに積層された2つ以上の材料を含む、方法。
  25. 請求項19から23のいずれか一項に記載の方法であって、
    前記第1の量の前記材料の前記堆積および前記エッチング剤への前記第1の量の前記材料の前記曝露は、真空を破ることなく実施される、方法。
  26. 請求項19から23のいずれか一項に記載の方法であって、
    前記エッチング剤への前記第1の量の前記材料の前記曝露および前記第2の量の前記材料の前記堆積は、真空を破ることなく実施される、方法。
  27. 基板上のフィーチャを充填する方法であって、
    前記フィーチャを備える前記基板をプロセスチャンバに供給し、前記フィーチャはフィーチャ開口部および側壁トポグラフィを備え、前記側壁トポグラフィは前記フィーチャの前記側壁にスタブを備え、
    前記フィーチャを充填するには不十分な期間、第1の量の第1の材料を堆積させ、
    前記第1の量の第1の材料を堆積させた後、かつエッチング剤に前記第1の量の前記第1の材料を曝露する前に、過剰堆積部を形成する犠牲ヘルメットを前記基板のフィールド表面上に堆積させ、前記犠牲ヘルメットが第2の材料を含み、
    前記エッチング剤に前記基板を曝露して前記第1の量の前記第1の材料の少なくとも一部をエッチングし、
    前記第1の量の前記第1の材料をエッチングした後、前記エッチングされた第1の量の前記第1の材料上に第2の量の前記材料を堆積させて前記フィーチャを少なくとも部分的に充填すること
    を備える、方法。
  28. 請求項27に記載の方法であって、
    前記第1の材料は前記第2の材料とは異なる、方法。
  29. 請求項27に記載の方法であって、
    前記第1の材料は第2の材料と組成的に同じである、方法。
  30. 半導体基板を処理するための装置であって、
    (a)前記半導体基板を保持するための台座を備える少なくとも1つのプロセスチャンバと、
    (b)真空に結合するための少なくとも1つの出口と、
    (c)1つ以上のプロセスガス源に結合されている1つ以上のプロセスガス入口と、
    (d)前記装置の動作を制御するためのコントローラであって、
    (i)ケイ素含有前駆体および酸化剤を導入して、前記半導体基板上のフィーチャを充填するには不十分な期間、前記半導体基板上に第1の量の酸化シリコンを堆積させ、前記フィーチャはフィーチャ開口部および側壁トポグラフィを有し、前記側壁トポグラフィは前記フィーチャの前記側壁にスタブを有し、
    (ii)前記第1の量の前記酸化シリコンの少なくとも一部をエッチングする期間、前記少なくとも1つのプロセスチャンバにエッチング剤を導入し、
    (iii)前記少なくとも1つのプロセスチャンバに前記エッチング剤を導入した後、前記ケイ素含有前駆体および前記酸化剤を導入して、前記エッチングされた第1の量の前記酸化シリコン上に第2の量の酸化シリコンを堆積させるための機械が読み取り可能な命令を含むコントローラと
    を備える、装置。
  31. 請求項30に記載の装置であって、
    前記コントローラは、(iii)の前記期間を(i)の前記期間よりも長くするための機械が読み取り可能な命令をさらに含む、装置。
  32. 請求項30に記載の装置であって、
    前記コントローラは、真空を破ることなく(i)および(ii)を実施させるための機械が読み取り可能な命令をさらに含む、装置。
  33. 請求項30に記載の装置はさらに、
    プラズマを発生させるためのプラズマ発生器を備える、装置。
  34. 請求項30に記載の装置であって、
    前記コントローラは、前記酸化剤が導入されるときにプラズマを発生させるための命令をさらに備える、装置。
  35. 半導体基板を処理するための装置であって、
    (a)前記半導体基板を保持するための台座を備える少なくとも1つのプロセスチャンバと、
    (b)真空に結合するための少なくとも1つの出口と、
    (c)1つ以上のプロセスガス源に結合されている1つ以上のプロセスガス入口と、
    (d)前記装置の動作を制御するためのコントローラであって、
    (i)堆積前駆体および反応剤を導入して、前記半導体基板上のフィーチャを充填するには不十分な期間、第1の量の材料を堆積させ、
    (ii)エッチング剤を導入して前記フィーチャ内の前記第1の量の前記材料の少なくとも一部をエッチングし、
    (iii)前記エッチング剤を導入した後、前記堆積前駆体および前記反応剤を導入して、前記エッチングされた第1の量の前記材料上に第2の量の前記材料を堆積させるための機械が読み取り可能な命令を含むコントローラと
    を備え、
    前記材料は、炭化シリコン、窒化シリコン、シリコン、タングステン、ルテニウム、銅、コバルト、およびモリブデンのいずれか1つである、
    装置。
  36. 請求項35に記載の装置であって、
    前記コントローラは、(iii)の前記期間を(i)の前記期間よりも長くするための命令をさらに含む、装置。
  37. 請求項35に記載の装置であって、
    前記コントローラは、真空を破ることなく(i)および(ii)を実施させるための機械が読み取り可能な命令をさらに含む、装置。
  38. 請求項35に記載の装置はさらに、
    プラズマを発生させるためのプラズマ発生器を備える、装置。
  39. 請求項35に記載の装置であって、
    前記コントローラは、前記反応剤が導入されるときにプラズマを発生させるための命令をさらに含む、装置。
  40. 半導体基板を処理するための装置であって、
    (a)前記半導体基板を保持するための台座を備える少なくとも1つのプロセスチャンバと、
    (b)真空に結合するための少なくとも1つの出口と、
    (c)1つ以上のプロセスガス源に結合されている1つ以上のプロセスガス入口と、
    (d)前記装置の動作を制御するためのコントローラであって、
    (i)ケイ素含有前駆体および酸化剤を導入して、前記半導体基板上のフィーチャを充填するには不十分な期間、前記半導体基板上に第1の量の酸化シリコンを堆積させ、前記フィーチャはフィーチャ開口部および側壁トポグラフィを有し、前記側壁トポグラフィは前記フィーチャの前記側壁にスタブを有し、
    (ii)過剰堆積部を形成する犠牲ヘルメットを前記半導体基板のフィールド表面上に堆積させるための1つ以上のプロセスガスを導入し、
    (iii)前記第1の量の前記酸化シリコンの少なくとも一部をエッチングする期間、前記少なくとも1つのプロセスチャンバにエッチング剤を導入し、
    (iv)前記少なくとも1つのプロセスチャンバに前記エッチング剤を導入した後、前記ケイ素含有前駆体および前記酸化剤を導入して、前記エッチングされた第1の量の前記酸化シリコン上に第2の量の酸化シリコンを堆積させるための機械が読み取り可能な命令を含むコントローラと
    を備える、装置。
  41. 請求項40に記載の装置であって、
    前記コントローラは、(ii)の間に第2のケイ素含有前駆体および窒素含有反応剤を供給させて前記犠牲ヘルメットを堆積させるための命令を含み、前記犠牲ヘルメットは窒化シリコンを含む、装置。
  42. 半導体基板を処理するための装置であって、
    (a)前記半導体基板を保持するための台座を備える少なくとも1つのプロセスチャンバと、
    (b)真空に結合するための少なくとも1つの出口と、
    (c)1つ以上のプロセスガス源に結合されている1つ以上のプロセスガス入口と、
    (d)前記装置の動作を制御するためのコントローラであって、
    (i)第1の材料を堆積させるための第1のセットの堆積前駆体を導入して、前記半導体基板上のフィーチャを充填するには不十分な期間、前記半導体基板上に第1の量の前記第1の材料を堆積させ、
    (ii)過剰堆積部を形成する犠牲ヘルメットを前記半導体基板のフィールド表面上に堆積させるための1つ以上のプロセスガスを導入し、前記犠牲ヘルメットは第2の材料を含み、
    (iii)前記第1の量の前記第1の材料の少なくとも一部をエッチングする期間、前記少なくとも1つのプロセスチャンバにエッチング剤を導入し、
    (iv)前記少なくとも1つのプロセスチャンバに前記エッチング剤を導入した後、第1のセットの堆積前駆体を導入して、前記エッチングされた第1の量の前記第1の材料上に第2の量の前記第1の材料を堆積させるための機械が読み取り可能な命令を含むコントローラと
    を備える、装置。
  43. 請求項42に記載の装置であって、
    前記第1の材料は前記第2の材料とは異なる、装置。
  44. 請求項42に記載の装置であって、
    前記第1の材料は前記第2の材料と組成的に同じである、装置。
JP2020514992A 2017-09-13 2018-09-07 犠牲エッチングキャップ層を利用した高アスペクト比フィーチャの誘電体ギャップ充填 Active JP7232823B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/703,917 2017-09-13
US15/703,917 US10269559B2 (en) 2017-09-13 2017-09-13 Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
PCT/US2018/050049 WO2019055317A1 (en) 2017-09-13 2018-09-07 DIELECTRIC FILLING OF HIGH ASPECT RATIO ELEMENTS USING A SACRIFICIAL ETCH PROTECTION LAYER

Publications (3)

Publication Number Publication Date
JP2020535633A true JP2020535633A (ja) 2020-12-03
JP2020535633A5 JP2020535633A5 (ja) 2021-10-14
JP7232823B2 JP7232823B2 (ja) 2023-03-03

Family

ID=65632390

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020514992A Active JP7232823B2 (ja) 2017-09-13 2018-09-07 犠牲エッチングキャップ層を利用した高アスペクト比フィーチャの誘電体ギャップ充填

Country Status (7)

Country Link
US (2) US10269559B2 (ja)
JP (1) JP7232823B2 (ja)
KR (1) KR20200042542A (ja)
CN (1) CN111344857B (ja)
SG (1) SG11202002271UA (ja)
TW (1) TWI791042B (ja)
WO (1) WO2019055317A1 (ja)

Families Citing this family (294)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10249525B2 (en) * 2016-10-03 2019-04-02 Applied Materials, Inc. Dynamic leveling process heater lift
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) * 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
CN112262457A (zh) 2018-05-03 2021-01-22 朗姆研究公司 在3d nand结构中沉积钨和其他金属的方法
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11972952B2 (en) 2018-12-14 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) * 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20210141762A (ko) 2019-04-11 2021-11-23 램 리써치 코포레이션 고 단차 커버리지 (step coverage) 텅스텐 증착
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
JP2022544931A (ja) * 2019-08-12 2022-10-24 ラム リサーチ コーポレーション タングステン堆積
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JPWO2021130600A1 (ja) * 2019-12-27 2021-07-01
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132606A (ko) * 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 반도체 기판 상의 3차원 구조에 갭을 충진하는 방법
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202221764A (zh) * 2020-08-02 2022-06-01 美商應用材料股份有限公司 集成可流動低k間隙填充及電漿處理
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023107492A1 (en) * 2021-12-08 2023-06-15 Tokyo Electron Limited Methods for etching molybdenum
US20240112903A1 (en) * 2022-09-29 2024-04-04 Applied Materials, Inc. Selective oxidation of a substrate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014532304A (ja) * 2011-09-23 2014-12-04 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
JP2015029097A (ja) * 2013-07-25 2015-02-12 ラム リサーチ コーポレーションLam Research Corporation 異なるサイズのフィーチャへのボイドフリータングステン充填
JP2015512568A (ja) * 2012-03-27 2015-04-27 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated タングステンによるフィーチャ充填

Family Cites Families (583)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR93097E (fr) 1965-10-11 1969-02-07 Ibm Procédé de dépot de films isolants et dispositifs électriques utilisant de tels films.
JPS4843472A (ja) 1971-10-04 1973-06-23
US4158717A (en) 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4419809A (en) 1981-12-30 1983-12-13 International Business Machines Corporation Fabrication process of sub-micrometer channel length MOSFETs
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4575921A (en) 1983-11-04 1986-03-18 General Motors Corporation Silicon nitride formation and use in self-aligned semiconductor device manufacturing method
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
EP0313683A1 (en) 1987-10-30 1989-05-03 International Business Machines Corporation Method for fabricating a semiconductor integrated circuit structure having a submicrometer length device element
JPH0293071A (ja) 1988-09-29 1990-04-03 Toshiba Corp 薄膜の形成方法
JPH0311635A (ja) 1989-06-08 1991-01-18 Sekiyu Sangyo Katsuseika Center 化合物半導体装置の製造方法
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
US5094984A (en) 1990-10-12 1992-03-10 Hewlett-Packard Company Suppression of water vapor absorption in glass encapsulation
US5091332A (en) 1990-11-19 1992-02-25 Intel Corporation Semiconductor field oxidation process
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
US5202272A (en) 1991-03-25 1993-04-13 International Business Machines Corporation Field effect transistor formed with deep-submicron gate
US5230929A (en) 1992-07-20 1993-07-27 Dow Corning Corporation Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes
TW201848B (ja) 1991-11-08 1993-03-11 Advanced Micro Devices Inc
DE4136987A1 (de) 1991-11-11 1993-05-13 Leybold Ag Verfahren zur oberflaechenpassivierung von sensoren
US5223443A (en) 1992-02-19 1993-06-29 Integrated Device Technology, Inc. Method for determining wafer cleanliness
JPH06177120A (ja) 1992-10-27 1994-06-24 Sony Corp 層間絶縁膜の形成方法
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US5528719A (en) 1993-10-26 1996-06-18 Sumitomo Metal Mining Company Limited Optical fiber guide structure and method of fabricating same
US20010028922A1 (en) * 1995-06-07 2001-10-11 Sandhu Gurtej S. High throughput ILD fill process for high aspect ratio gap fill
JPH09102494A (ja) 1995-10-09 1997-04-15 Toshiba Corp 半導体装置の保護膜およびその形成方法
US6191026B1 (en) 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5593914A (en) 1996-03-19 1997-01-14 Radiant Technologies, Inc. Method for constructing ferroelectric capacitor-like structures on silicon dioxide surfaces
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JPH1098032A (ja) 1996-09-20 1998-04-14 Hitachi Ltd 薄膜形成方法及び薄膜形成装置
US5731235A (en) 1996-10-30 1998-03-24 Micron Technology, Inc. Methods of forming a silicon nitrite film, a capacitor dielectric layer and a capacitor
US5994209A (en) 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US5891805A (en) 1996-12-13 1999-04-06 Intel Corporation Method of forming contacts
US6039834A (en) 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6153519A (en) 1997-03-31 2000-11-28 Motorola, Inc. Method of forming a barrier layer
US6069058A (en) 1997-05-14 2000-05-30 United Semiconductor Corp. Shallow trench isolation for semiconductor devices
US6225175B1 (en) 1997-06-20 2001-05-01 Texas Instruments Incorporated Process for defining ultra-thin geometries
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US5854105A (en) 1997-11-05 1998-12-29 Vanguard International Semiconductor Corporation Method for making dynamic random access memory cells having double-crown stacked capacitors with center posts
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US6100202A (en) 1997-12-08 2000-08-08 Taiwan Semiconductor Manufacturing Company Pre deposition stabilization method for forming a void free isotropically etched anisotropically patterned doped silicate glass layer
US5976990A (en) 1998-01-09 1999-11-02 Micron Technology, Inc. Method for optimization of thin film deposition
US6509601B1 (en) 1998-07-31 2003-01-21 Samsung Electronics Co., Ltd. Semiconductor memory device having capacitor protection layer and method for manufacturing the same
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6080676A (en) 1998-09-17 2000-06-27 Advanced Micro Devices, Inc. Device and method for etching spacers formed upon an integrated circuit gate conductor
US6380056B1 (en) 1998-10-23 2002-04-30 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6197701B1 (en) 1998-10-23 2001-03-06 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6228779B1 (en) 1998-11-06 2001-05-08 Novellus Systems, Inc. Ultra thin oxynitride and nitride/oxide stacked gate dielectrics fabricated by high pressure technology
US6218293B1 (en) 1998-11-13 2001-04-17 Micron Technology, Inc. Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
US6403416B1 (en) 1999-01-07 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for making a double-cylinder-capacitor structure for dynamic random access memory (DRAM)
WO2000047404A1 (en) 1999-02-12 2000-08-17 Gelest, Inc. Chemical vapor deposition of tungsten nitride
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
EP1169733A1 (en) 1999-03-17 2002-01-09 Infineon Technologies SC300 GmbH & Co. KG Method for filling gaps on a semiconductor wafer
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6313042B1 (en) 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
KR100340716B1 (ko) 1999-10-29 2002-06-20 윤종용 실리콘 질화막 형성방법
CA2387341A1 (en) 1999-11-02 2001-05-10 Tokyo Electron Limited Method and apparatus for supercritical processing of multiple workpieces
KR100356473B1 (ko) 1999-12-29 2002-10-18 주식회사 하이닉스반도체 반도체 소자의 알루미늄 옥사이드 박막 형성 방법
KR100338125B1 (ko) 1999-12-31 2002-05-24 구본준, 론 위라하디락사 박막 트랜지스터 및 그 제조방법
JP5016767B2 (ja) 2000-03-07 2012-09-05 エーエスエム インターナショナル エヌ.ヴェー. 傾斜薄膜の形成方法
JP3437832B2 (ja) 2000-03-22 2003-08-18 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2001274404A (ja) 2000-03-24 2001-10-05 Toshiba Corp 薄膜トランジスタおよびその製造方法
WO2001082368A2 (en) 2000-04-25 2001-11-01 Tokyo Electron Limited Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US20030008070A1 (en) 2001-06-12 2003-01-09 Applied Materials,Inc Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
JP2002009072A (ja) 2000-06-23 2002-01-11 Tokyo Electron Ltd シリコン窒化膜の形成方法及び形成装置
KR100721503B1 (ko) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
JP3687651B2 (ja) 2000-06-08 2005-08-24 ジニテック インク. 薄膜形成方法
KR100366621B1 (ko) 2000-06-28 2003-01-09 삼성전자 주식회사 반도체 소자의 도전성 콘택체를 형성하는 방법
US6632741B1 (en) 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US20050230047A1 (en) 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US6548368B1 (en) 2000-08-23 2003-04-15 Applied Materials, Inc. Method of forming a MIS capacitor
US6482726B1 (en) 2000-10-17 2002-11-19 Advanced Micro Devices, Inc. Control trimming of hard mask for sub-100 nanometer transistor gate
JP2002134497A (ja) 2000-10-23 2002-05-10 Sony Corp 半導体装置の製造方法
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
JP3437830B2 (ja) 2000-11-28 2003-08-18 東京エレクトロン株式会社 成膜方法
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6878402B2 (en) 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
EP1421607A2 (en) 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
JP4406178B2 (ja) 2001-03-28 2010-01-27 株式会社渡辺商行 成膜装置
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
JP3696119B2 (ja) 2001-04-26 2005-09-14 株式会社日立製作所 半導体装置、及び半導体装置の製造方法
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6755945B2 (en) * 2001-05-04 2004-06-29 Tokyo Electron Limited Ionized PVD with sequential deposition and etching
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
US6709928B1 (en) 2001-07-31 2004-03-23 Cypress Semiconductor Corporation Semiconductor device having silicon-rich layer and method of manufacturing such a device
JP2003045864A (ja) 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
EP1421606A4 (en) 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US20030092280A1 (en) * 2001-11-09 2003-05-15 Applied Materials, Inc. Method for etching tungsten using NF3 and Cl2
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
US6638879B2 (en) 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
WO2003076678A2 (en) 2002-03-08 2003-09-18 Sundew Technologies, Llc Ald method and apparatus
KR20030081144A (ko) 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
US6518167B1 (en) 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US7374617B2 (en) 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US6777308B2 (en) 2002-05-17 2004-08-17 Micron Technology, Inc. Method of improving HDP fill process
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100469126B1 (ko) 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
KR100472777B1 (ko) 2002-06-26 2005-03-10 동부전자 주식회사 박막 적층 방법
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6730164B2 (en) 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6784049B2 (en) 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
WO2004032196A2 (en) 2002-10-03 2004-04-15 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7097886B2 (en) 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
CN101572232B (zh) 2002-12-20 2011-12-21 应用材料有限公司 形成高质量的低温氮化硅层的方法
US6890656B2 (en) 2002-12-20 2005-05-10 General Electric Company High rate deposition of titanium dioxide
KR100546852B1 (ko) 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6930058B2 (en) 2003-04-21 2005-08-16 Micron Technology, Inc. Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge
CN102191483B (zh) 2003-04-23 2012-10-03 艾克斯特朗公司 瞬时增强原子层沉积
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6765303B1 (en) 2003-05-06 2004-07-20 Advanced Micro Devices, Inc. FinFET-based SRAM cell
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7264849B2 (en) 2003-07-11 2007-09-04 Optisolar, Inc. Roll-vortex plasma chemical vapor deposition method
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
DE10335099B4 (de) 2003-07-31 2006-06-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
KR100500472B1 (ko) 2003-10-13 2005-07-12 삼성전자주식회사 리세스 게이트 트랜지스터 구조 및 형성방법
JP3999189B2 (ja) * 2003-10-31 2007-10-31 松下電器産業株式会社 半導体装置及びその製造方法
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
JP2005210076A (ja) 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
KR100545697B1 (ko) 2003-12-29 2006-01-24 주식회사 하이닉스반도체 반도체소자의 트렌치 소자분리 방법
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050170104A1 (en) 2004-01-29 2005-08-04 Applied Materials, Inc. Stress-tuned, single-layer silicon nitride film
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
US7088003B2 (en) 2004-02-19 2006-08-08 International Business Machines Corporation Structures and methods for integration of ultralow-k dielectrics with improved reliability
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
KR100538096B1 (ko) 2004-03-16 2005-12-21 삼성전자주식회사 원자층 증착 방법을 이용하는 커패시터 형성 방법
JP2005310927A (ja) 2004-04-20 2005-11-04 Toshiba Corp 紫外線照射による高品質シリコン窒化膜の成膜方法
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7449345B2 (en) 2004-06-15 2008-11-11 Headway Technologies, Inc. Capping structure for enhancing dR/R of the MTJ device
US7585396B2 (en) 2004-06-25 2009-09-08 Guardian Industries Corp. Coated article with ion treated overcoat layer and corresponding method
US7550067B2 (en) 2004-06-25 2009-06-23 Guardian Industries Corp. Coated article with ion treated underlayer and corresponding method
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
US7488690B2 (en) 2004-07-06 2009-02-10 Applied Materials, Inc. Silicon nitride film with stress control
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7271464B2 (en) 2004-08-24 2007-09-18 Micron Technology, Inc. Liner for shallow trench isolation
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
JP4701691B2 (ja) 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US7429820B2 (en) 2004-12-07 2008-09-30 Motorola, Inc. Field emission display with electron trajectory field shaping
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7482247B1 (en) * 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
US20060183055A1 (en) 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
CN100554506C (zh) 2005-03-09 2009-10-28 东京毅力科创株式会社 半导体处理用的成膜方法及装置
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
JP4607637B2 (ja) 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US7365027B2 (en) 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7176084B2 (en) 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7700492B2 (en) 2005-06-22 2010-04-20 Tokyo Electron Limited Plasma etching method and apparatus, control program and computer-readable storage medium storing the control program
JP4752349B2 (ja) 2005-06-23 2011-08-17 大日本印刷株式会社 パターン形成体およびその製造方法
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
KR100734748B1 (ko) 2005-09-08 2007-07-03 주식회사 아이피에스 인시튜 질화물(in-situ nitride) 박막증착방법
US20070065576A1 (en) 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20070087581A1 (en) 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US7524743B2 (en) 2005-10-13 2009-04-28 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
JPWO2007043709A1 (ja) 2005-10-14 2009-04-23 日本電気株式会社 半導体装置の製造方法およびその製造装置
US7696101B2 (en) 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
US7465669B2 (en) 2005-11-12 2008-12-16 Applied Materials, Inc. Method of fabricating a silicon nitride stack
US7897217B2 (en) 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
WO2007064376A2 (en) 2005-11-28 2007-06-07 Honeywell International Inc. Organometallic precursors and related intermediates for deposition processes, their production and methods of use
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US7829159B2 (en) 2005-12-16 2010-11-09 Asm Japan K.K. Method of forming organosilicon oxide film and multilayer resist structure
KR100714305B1 (ko) 2005-12-26 2007-05-02 삼성전자주식회사 자기정렬 이중패턴의 형성방법
JP2007180362A (ja) 2005-12-28 2007-07-12 Toshiba Corp 半導体装置
US7301210B2 (en) 2006-01-12 2007-11-27 International Business Machines Corporation Method and structure to process thick and thin fins and variable fin to fin spacing
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US7491630B2 (en) 2006-03-15 2009-02-17 Freescale Semiconductor, Inc. Undoped gate poly integration for improved gate patterning and cobalt silicide extendibility
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
KR20080106984A (ko) 2006-03-31 2008-12-09 어플라이드 머티어리얼스, 인코포레이티드 유전체막들에 대한 스텝 커버리지 및 패턴 로딩 개선 방법
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
JP2007281181A (ja) 2006-04-06 2007-10-25 Elpida Memory Inc 半導体装置の製造方法
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
JP2007287889A (ja) 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法
JP2007287890A (ja) 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法、プラズマcvd装置
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
WO2007145513A1 (en) 2006-06-16 2007-12-21 Fujifilm Manufacturing Europe B.V. Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080014759A1 (en) 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP5241499B2 (ja) 2006-09-19 2013-07-17 東京エレクトロン株式会社 プラズマクリーニング方法、プラズマcvd方法、およびプラズマ処理装置
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
JP5258229B2 (ja) 2006-09-28 2013-08-07 東京エレクトロン株式会社 成膜方法および成膜装置
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
WO2008042981A2 (en) 2006-10-05 2008-04-10 Asm America, Inc. Ald of metal silicate films
US20080087890A1 (en) 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
KR100816759B1 (ko) 2006-11-09 2008-03-25 삼성전자주식회사 가변저항 스토리지를 갖는 비휘발성 기억 장치 및 동작방법
US20080119057A1 (en) 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US20080124946A1 (en) 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US20080142483A1 (en) 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
DE112008000368T5 (de) 2007-02-12 2009-12-24 Lotus Applied Technology, LLC, Beaverton Herstellung von Verbundmaterialien unter Verwendung von Atomschichtabscheidung
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7488659B2 (en) 2007-03-28 2009-02-10 International Business Machines Corporation Structure and methods for stress concentrating spacer
US20080242032A1 (en) 2007-03-29 2008-10-02 Texas Instruments Incorporated Carbon-Doped Epitaxial SiGe
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (ko) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
KR100956210B1 (ko) 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
EP2011898B1 (en) 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US7910497B2 (en) 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
JP5098882B2 (ja) 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
KR20150036815A (ko) 2007-09-18 2015-04-07 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소 함유 막의 형성 방법
US8119424B2 (en) 2007-09-28 2012-02-21 Everspin Technologies, Inc. Electronic device including a magneto-resistive memory device and a process for forming the electronic device
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090057665A (ko) 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US8440569B2 (en) 2007-12-07 2013-05-14 Cadence Design Systems, Inc. Method of eliminating a lithography operation
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
KR101221598B1 (ko) 2007-12-18 2013-01-14 삼성전자주식회사 유전막 패턴 형성 방법 및 이를 이용한 비휘발성 메모리소자 제조방법.
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
KR20090067576A (ko) 2007-12-21 2009-06-25 삼성전자주식회사 트렌치의 매립 방법 및 이를 이용한 소자 분리막 구조물의형성 방법
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (ja) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
US8373254B2 (en) 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
ES2335638B1 (es) 2008-08-01 2011-02-09 Cosentino, S.A. Articulo en forma de tabla o losa fabricado de aglomerado petreo recubierto con laminas delgadas transparentes de tio2 o zno mediante tecnicas de deposicion en via seca con alta resistencia frente a la degradacion solar.
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US8357617B2 (en) 2008-08-22 2013-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning a metal gate of semiconductor device
JP5423205B2 (ja) 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
US20100051578A1 (en) 2008-09-04 2010-03-04 Shuo-Che Chang Method for fabricating an integrated circuit
JP2010103484A (ja) 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
US8303780B2 (en) 2008-09-30 2012-11-06 Tdk Corporation Method of forming mask for dry etching and manufacturing method of magnetic head using the same method
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
JP2010103303A (ja) 2008-10-23 2010-05-06 Toshiba Corp 磁気抵抗素子及びその製造方法
WO2010062582A2 (en) 2008-10-27 2010-06-03 Applied Materials, Inc. Vapor deposition method for ternary compounds
US8580993B2 (en) 2008-11-12 2013-11-12 Air Products And Chemicals, Inc. Amino vinylsilane precursors for stressed SiN films
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US7994002B2 (en) * 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
CN101736326B (zh) 2008-11-26 2011-08-10 中微半导体设备(上海)有限公司 电容耦合型等离子体处理反应器
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
GB0823565D0 (en) 2008-12-24 2009-01-28 Oxford Instr Plasma Technology Signal generating system
JP5293168B2 (ja) 2008-12-25 2013-09-18 富士通株式会社 レジスト組成物及びそれを用いた半導体装置の製造方法
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
JP2010183069A (ja) 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP2010177652A (ja) 2009-02-02 2010-08-12 Toshiba Corp 半導体装置の製造方法
JP5298938B2 (ja) 2009-02-24 2013-09-25 住友電気工業株式会社 半導体素子の製造方法
US8197915B2 (en) 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
US8268727B2 (en) 2009-04-20 2012-09-18 GlobalFoundries, Inc. Methods for fabricating FinFET semiconductor devices using planarized spacers
KR20100128863A (ko) 2009-05-29 2010-12-08 주식회사 케이씨텍 원자층 증착장치 및 방법
CN102484070B (zh) 2009-06-26 2014-12-10 康奈尔大学 用于铝-硅氮化物的化学气相沉积处理
KR20110002208A (ko) 2009-07-01 2011-01-07 삼성전자주식회사 반도체 소자의 형성방법
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
JP2011023576A (ja) 2009-07-16 2011-02-03 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2011023655A (ja) 2009-07-17 2011-02-03 Shimadzu Corp 窒化シリコン薄膜成膜方法および窒化シリコン薄膜成膜装置
US8105901B2 (en) 2009-07-27 2012-01-31 International Business Machines Corporation Method for double pattern density
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8169024B2 (en) 2009-08-18 2012-05-01 International Business Machines Corporation Method of forming extremely thin semiconductor on insulator (ETSOI) device without ion implantation
KR101680899B1 (ko) 2009-09-02 2016-11-29 소니 주식회사 고체 촬상 장치 및 그 제조 방법
KR101732187B1 (ko) 2009-09-03 2017-05-02 에이에스엠 저펜 가부시기가이샤 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법
US8072800B2 (en) 2009-09-15 2011-12-06 Grandis Inc. Magnetic element having perpendicular anisotropy with enhanced efficiency
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
JP2011067744A (ja) 2009-09-25 2011-04-07 Dowa Holdings Co Ltd 水素製造用触媒、水素製造方法、水素製造装置および燃料電池システム
US8076241B2 (en) 2009-09-30 2011-12-13 Tokyo Electron Limited Methods for multi-step copper plating on a continuous ruthenium film in recessed features
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
JP5692085B2 (ja) 2009-11-11 2015-04-01 日本電気株式会社 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法
US8691675B2 (en) 2009-11-25 2014-04-08 International Business Machines Corporation Vapor phase deposition processes for doping silicon
US8354331B2 (en) 2009-12-01 2013-01-15 International Business Machines Corporation Multiplying pattern density by single sidewall imaging transfer
US8021949B2 (en) 2009-12-01 2011-09-20 International Business Machines Corporation Method and structure for forming finFETs with multiple doping regions on a same chip
KR101758944B1 (ko) 2009-12-09 2017-07-18 노벨러스 시스템즈, 인코포레이티드 신규한 갭 충진 집적화
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US8662053B2 (en) 2009-12-22 2014-03-04 Cummins Inc. Pre-combustion device for an internal combustion engine
WO2011087698A2 (en) 2009-12-22 2011-07-21 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5514129B2 (ja) 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
JP2011192776A (ja) 2010-03-15 2011-09-29 Toshiba Corp 半導体装置の製造方法
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US20110244142A1 (en) 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
CN102471885A (zh) 2010-04-01 2012-05-23 乔治洛德方法研究和开发液化空气有限公司 使用氨基金属与卤化金属前体组合的含金属氮化物的薄膜沉积
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
EP3664165B1 (en) 2010-05-21 2022-06-29 ASM International N.V. Method of manufacturing a solar cell
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
KR101710658B1 (ko) 2010-06-18 2017-02-27 삼성전자 주식회사 관통 전극을 갖는 3차원 적층 구조의 반도체 장치 및 그 반도체 장치의 시그널링 방법
US20120021252A1 (en) 2010-07-22 2012-01-26 Synos Technology, Inc. Treating Surface of Substrate Using Inert Gas Plasma in Atomic Layer Deposition
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US20120064682A1 (en) 2010-09-14 2012-03-15 Jang Kyung-Tae Methods of Manufacturing Three-Dimensional Semiconductor Memory Devices
US8138097B1 (en) 2010-09-20 2012-03-20 Kabushiki Kaisha Toshiba Method for processing semiconductor structure and device based on the same
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
WO2012039833A2 (en) 2010-09-24 2012-03-29 Applied Materials, Inc. Low temperature silicon carbide deposition process
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
KR101815527B1 (ko) 2010-10-07 2018-01-05 삼성전자주식회사 반도체 소자 및 그 제조 방법
JP2012084707A (ja) 2010-10-13 2012-04-26 Mitsubishi Heavy Ind Ltd 窒化珪素膜形成装置及び方法
US20120108079A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
US8679914B2 (en) 2010-11-02 2014-03-25 Micron Technology, Inc. Method of forming a chalcogenide material and methods of forming a resistive random access memory device including a chalcogenide material
US8288083B2 (en) 2010-11-05 2012-10-16 Micron Technology, Inc. Methods of forming patterned masks
JP5940079B2 (ja) 2010-11-10 2016-06-29 ナノシス・インク. ディスプレイバックライトユニット及びディスプレイバックライトユニットの形成方法
KR20120062385A (ko) 2010-12-06 2012-06-14 에스케이하이닉스 주식회사 반도체 메모리 소자의 형성방법
KR101225601B1 (ko) 2010-12-16 2013-01-24 한국과학기술원 대면적 나노스케일 패턴형성방법
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
JP5682290B2 (ja) 2010-12-20 2015-03-11 東京エレクトロン株式会社 炭素含有薄膜のスリミング方法及び酸化装置
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
KR101172272B1 (ko) 2010-12-30 2012-08-09 에스케이하이닉스 주식회사 매립비트라인을 구비한 반도체장치 제조 방법
JP2012160671A (ja) 2011-02-02 2012-08-23 Toshiba Corp 磁気ランダムアクセスメモリ及びその製造方法
JP2012169408A (ja) 2011-02-14 2012-09-06 Taiyo Nippon Sanso Corp マスク用材料、マスクの形成方法、パターン形成方法、及びエッチング保護膜
JP5661523B2 (ja) 2011-03-18 2015-01-28 東京エレクトロン株式会社 成膜方法及び成膜装置
US8883649B2 (en) 2011-03-23 2014-11-11 International Business Machines Corporation Sidewall image transfer process
KR101923167B1 (ko) 2011-04-07 2018-11-29 피코순 오와이 플라즈마 소오스를 갖는 원자층 퇴적
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US20120258261A1 (en) 2011-04-11 2012-10-11 Novellus Systems, Inc. Increasing etch selectivity of carbon films with lower absorption co-efficient and stress
US8298951B1 (en) 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
WO2012148439A1 (en) 2011-04-25 2012-11-01 William Marsh Rice University Direct growth of graphene films on non-catalyst surfaces
US8298954B1 (en) 2011-05-06 2012-10-30 International Business Machines Corporation Sidewall image transfer process employing a cap material layer for a metal nitride layer
JP5551129B2 (ja) 2011-09-07 2014-07-16 株式会社東芝 記憶装置
JP2013058521A (ja) 2011-09-07 2013-03-28 Toshiba Corp 記憶装置及びその製造方法
WO2013039881A2 (en) 2011-09-13 2013-03-21 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
US8809169B2 (en) 2011-09-30 2014-08-19 Tokyo Electron Limited Multi-layer pattern for alternate ALD processes
US9194041B2 (en) 2011-11-02 2015-11-24 Ube Industries, Ltd. Tris(dialkylamide)aluminum compound, and method for producing aluminum-containing thin film using same
US20130115778A1 (en) 2011-11-04 2013-05-09 Applied Materials, Inc. Dry Etch Processes
US9318431B2 (en) * 2011-11-04 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having a MOM capacitor and method of making same
US9059263B2 (en) * 2011-11-09 2015-06-16 QUALCOMM Incorpated Low-K dielectric protection spacer for patterning through substrate vias through a low-K wiring layer
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US20130189845A1 (en) 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
JP5882776B2 (ja) 2012-02-14 2016-03-09 信越化学工業株式会社 レジスト下層膜形成用組成物、及びパターン形成方法
JP5843318B2 (ja) 2012-02-14 2016-01-13 株式会社Adeka Ald法用窒化アルミニウム系薄膜形成用原料及び該薄膜の製造方法
US8846484B2 (en) 2012-02-15 2014-09-30 Intermolecular, Inc. ReRAM stacks preparation by using single ALD or PVD chamber
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
JP5991609B2 (ja) 2012-02-29 2016-09-14 住友電工デバイス・イノベーション株式会社 半導体装置の製造方法
KR20140143151A (ko) 2012-03-15 2014-12-15 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP6125247B2 (ja) 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US8703578B2 (en) 2012-05-29 2014-04-22 Globalfoundries Singapore Pte. Ltd. Middle in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US8936977B2 (en) 2012-05-29 2015-01-20 Globalfoundries Singapore Pte. Ltd. Late in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US9487869B2 (en) 2012-06-01 2016-11-08 Carnegie Mellon University Pattern transfer with self-assembled nanoparticle assemblies
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
CN103515197A (zh) 2012-06-26 2014-01-15 中芯国际集成电路制造(上海)有限公司 自对准多重图形化的掩膜层及其形成方法
US9023737B2 (en) 2012-07-11 2015-05-05 Asm Ip Holding B.V. Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment
US20140023794A1 (en) 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
US20140049162A1 (en) 2012-08-15 2014-02-20 George Thomas Defect reduction in plasma processing
US8795774B2 (en) 2012-09-23 2014-08-05 Rohm And Haas Electronic Materials Llc Hardmask
US8716136B1 (en) 2012-10-19 2014-05-06 Globalfoundries Inc. Method of forming a semiconductor structure including a wet etch process for removing silicon nitride
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
SG2013083241A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
KR102052936B1 (ko) 2012-11-13 2019-12-06 삼성전자 주식회사 반도체 소자 제조 방법
US8784951B2 (en) 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9446965B2 (en) 2013-02-19 2016-09-20 Nanotech Industrial Solutions, Inc. Applications for inorganic fullerene-like particles
US8623770B1 (en) 2013-02-21 2014-01-07 HGST Netherlands B.V. Method for sidewall spacer line doubling using atomic layer deposition of a titanium oxide
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140273531A1 (en) 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
US9721784B2 (en) 2013-03-15 2017-08-01 Applied Materials, Inc. Ultra-conformal carbon film deposition
TW201441408A (zh) 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US20140273530A1 (en) 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
US9209274B2 (en) 2013-07-19 2015-12-08 Globalfoundries Inc. Highly conformal extension doping in advanced multi-gate devices
CN104347421A (zh) 2013-08-07 2015-02-11 中芯国际集成电路制造(北京)有限公司 鳍式场效应管的形成方法
KR102081195B1 (ko) * 2013-08-28 2020-02-25 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9564361B2 (en) 2013-09-13 2017-02-07 Qualcomm Incorporated Reverse self aligned double patterning process for back end of line fabrication of a semiconductor device
TWI649803B (zh) * 2013-09-30 2019-02-01 蘭姆研究公司 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充
US9368348B2 (en) 2013-10-01 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned patterning process
EP3058401A4 (en) 2013-10-17 2017-05-17 Nanosys, Inc. Light emitting diode (led) devices
US20150251917A1 (en) 2013-10-21 2015-09-10 Qualcomm Mems Technologies, Inc. Method of patterning pillars
CN104576506A (zh) * 2013-10-22 2015-04-29 中微半导体设备(上海)有限公司 一种刻蚀硅通孔的方法
US9159579B2 (en) 2013-10-25 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using multilayer spacer for reduced spacer footing
KR101860243B1 (ko) 2013-11-08 2018-05-21 도쿄엘렉트론가부시키가이샤 Euv 리소그래피를 가속화하기 위한 사후처리 방법을 이용한 방법
KR102219147B1 (ko) 2013-11-13 2021-02-22 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 5 족 전이 금속-함유 필름의 증착을 위한 5 족 전이 금속-함유 화합물
US10084016B2 (en) 2013-11-21 2018-09-25 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
TWI480415B (zh) 2013-11-27 2015-04-11 Ind Tech Res Inst 多模式薄膜沉積設備以及薄膜沉積方法
US9123776B2 (en) 2013-12-04 2015-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double spacer patterning process
US9614053B2 (en) 2013-12-05 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Spacers with rectangular profile and methods of forming the same
US20150159271A1 (en) 2013-12-09 2015-06-11 Veeco Ald Inc. Deposition of non-isostructural layers for flexible substrate
WO2015106261A1 (en) 2014-01-13 2015-07-16 Applied Materials, Inc. Self-aligned double patterning with spatial atomic layer deposition
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9806129B2 (en) 2014-02-25 2017-10-31 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US9660080B2 (en) 2014-02-28 2017-05-23 Stmicroelectronics, Inc. Multi-layer strained channel FinFET
CN103928396A (zh) 2014-04-08 2014-07-16 上海华力微电子有限公司 扩大沟槽开口的方法
US9305837B2 (en) 2014-04-10 2016-04-05 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and formation thereof
US9543375B2 (en) 2014-06-27 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. MIM/RRAM structure with improved capacitance and reduced leakage current
US9406522B2 (en) 2014-07-24 2016-08-02 Applied Materials, Inc. Single platform, multiple cycle spacer deposition and etch
US20160049307A1 (en) 2014-08-15 2016-02-18 Yijian Chen Patterning method for IC fabrication using 2-D layout decomposition and synthesis techniques
TWI735912B (zh) 2014-08-22 2021-08-11 美商蘭姆研究公司 在一狀態期間中的次脈動用之電漿系統、電漿工具、射頻產生器、控制器、及方法
US9318334B2 (en) 2014-08-27 2016-04-19 United Microelectronics Corp. Method for fabricating semiconductor device
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9355837B2 (en) 2014-09-25 2016-05-31 Micron Technology, Inc. Methods of forming and using materials containing silicon and nitrogen
US9875888B2 (en) 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US9791779B2 (en) 2014-10-16 2017-10-17 Tokyo Electron Limited EUV resist etch durability improvement and pattern collapse mitigation
US9184060B1 (en) * 2014-11-14 2015-11-10 Lam Research Corporation Plated metal hard mask for vertical NAND hole etch
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9449971B2 (en) 2014-12-01 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming FinFETs
CN105719954B (zh) 2014-12-04 2018-09-07 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9620377B2 (en) * 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US9997373B2 (en) * 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
EP3035379B1 (en) 2014-12-15 2020-07-01 IMEC vzw Method for blocking a trench portion
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US9496169B2 (en) 2015-02-12 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect structure having an air gap and structure thereof
US9443731B1 (en) 2015-02-20 2016-09-13 Tokyo Electron Limited Material processing to achieve sub-10nm patterning
US9530646B2 (en) 2015-02-24 2016-12-27 United Microelectronics Corp. Method of forming a semiconductor structure
US9472506B2 (en) 2015-02-25 2016-10-18 International Business Machines Corporation Registration mark formation during sidewall image transfer process
US9595424B2 (en) 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US9748093B2 (en) 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US10043690B2 (en) 2015-03-31 2018-08-07 Lam Research Corporation Fault detection using showerhead voltage variation
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
US9406693B1 (en) * 2015-04-20 2016-08-02 Sandisk Technologies Llc Selective removal of charge-trapping layer for select gate transistors and dummy memory cells in 3D stacked memory
US9502428B1 (en) 2015-04-29 2016-11-22 Sandisk Technologies Llc Sidewall assisted process for wide and narrow line formation
US9859088B2 (en) 2015-04-30 2018-01-02 Lam Research Corporation Inter-electrode gap variation methods for compensating deposition non-uniformity
US20160329206A1 (en) 2015-05-08 2016-11-10 Lam Research Corporation Methods of modulating residual stress in thin films
CN106298519A (zh) 2015-05-15 2017-01-04 联华电子股份有限公司 形成半导体结构的方法
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US9653571B2 (en) 2015-06-15 2017-05-16 International Business Machines Corporation Freestanding spacer having sub-lithographic lateral dimension and method of forming same
US9508560B1 (en) 2015-06-18 2016-11-29 International Business Machines Corporation SiARC removal with plasma etch and fluorinated wet chemical solution combination
US9530663B1 (en) 2015-06-23 2016-12-27 Nanya Technology Corp. Method for forming a pattern
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
CN106373880B (zh) 2015-07-22 2021-05-25 联华电子股份有限公司 半导体元件及其形成方法
US9972504B2 (en) * 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US9748110B2 (en) 2015-09-03 2017-08-29 Tokyo Electron Limited Method and system for selective spacer etch for multi-patterning schemes
US9818611B2 (en) 2015-09-24 2017-11-14 Tokyo Electron Limited Methods of forming etch masks for sub-resolution substrate patterning
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9768272B2 (en) 2015-09-30 2017-09-19 International Business Machines Corporation Replacement gate FinFET process using a sit process to define source/drain regions, gate spacers and a gate cavity
KR102250656B1 (ko) 2015-10-08 2021-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US10141417B2 (en) 2015-10-20 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure, semiconductor device and the method of forming semiconductor device
US10103032B2 (en) 2015-11-20 2018-10-16 Tokyo Electron Limited Methods of forming etch masks for sub-resolution substrate patterning
CN205164805U (zh) * 2015-11-26 2016-04-20 醴陵市三塘瓷业有限公司 日用陶瓷胚料混合粉碎一体机
US9576817B1 (en) 2015-12-03 2017-02-21 International Business Machines Corporation Pattern decomposition for directed self assembly patterns templated by sidewall image transfer
US9508604B1 (en) 2016-04-29 2016-11-29 Globalfoundries Inc. Methods of forming punch through stop regions on FinFET devices on CMOS-based IC products using doped spacers
US20170323785A1 (en) 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014532304A (ja) * 2011-09-23 2014-12-04 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
JP2015512568A (ja) * 2012-03-27 2015-04-27 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated タングステンによるフィーチャ充填
JP2015029097A (ja) * 2013-07-25 2015-02-12 ラム リサーチ コーポレーションLam Research Corporation 異なるサイズのフィーチャへのボイドフリータングステン充填

Also Published As

Publication number Publication date
US10269559B2 (en) 2019-04-23
CN111344857B (zh) 2024-04-09
CN111344857A (zh) 2020-06-26
US20190206677A1 (en) 2019-07-04
KR20200042542A (ko) 2020-04-23
US10658172B2 (en) 2020-05-19
SG11202002271UA (en) 2020-04-29
WO2019055317A1 (en) 2019-03-21
US20190080903A1 (en) 2019-03-14
TWI791042B (zh) 2023-02-01
TW201931520A (zh) 2019-08-01
JP7232823B2 (ja) 2023-03-03

Similar Documents

Publication Publication Date Title
JP7232823B2 (ja) 犠牲エッチングキャップ層を利用した高アスペクト比フィーチャの誘電体ギャップ充填
KR102510157B1 (ko) 반도체 패터닝 애플리케이션들을 위한 도핑된 ald 막들
US10679848B2 (en) Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) Selective atomic layer deposition for gapfill using sacrificial underlayer
US10134579B2 (en) Method for high modulus ALD SiO2 spacer
KR102514839B1 (ko) Ald 갭충진 스페이서 마스크를 사용하는 자기-정렬된 다중 패터닝 프로세스 플로우
JP2018074145A (ja) 半導体パターニング用途のための高ドライエッチング速度材料
TWI834679B (zh) 含金屬硬遮罩薄膜的選擇性生長
KR20160035991A (ko) Ald에 의해 형성된 실리콘 나이트라이드 막의 피처-내 습식 에칭 레이트의 균일한 감소를 위한 방법들 및 장치들
KR20210150606A (ko) 변조된 원자 층 증착
US20230307290A1 (en) Reducing intralevel capacitance in semiconductor devices
US20230002887A1 (en) In-situ pecvd cap layer

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210903

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210903

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20221011

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221018

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230106

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230124

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230220

R150 Certificate of patent or registration of utility model

Ref document number: 7232823

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150