CN111344857A - 使用牺牲蚀刻盖层的高深宽比特征的介电间隙填充 - Google Patents

使用牺牲蚀刻盖层的高深宽比特征的介电间隙填充 Download PDF

Info

Publication number
CN111344857A
CN111344857A CN201880073124.9A CN201880073124A CN111344857A CN 111344857 A CN111344857 A CN 111344857A CN 201880073124 A CN201880073124 A CN 201880073124A CN 111344857 A CN111344857 A CN 111344857A
Authority
CN
China
Prior art keywords
feature
silicon oxide
substrate
amount
etchant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201880073124.9A
Other languages
English (en)
Other versions
CN111344857B (zh
Inventor
约瑟夫·R·阿贝尔
普尔凯特·阿加瓦尔
理查德·菲利普斯
普鲁肖塔姆·库马尔
阿德里安·拉沃伊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN111344857A publication Critical patent/CN111344857A/zh
Application granted granted Critical
Publication of CN111344857B publication Critical patent/CN111344857B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)
  • Micromachines (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Weting (AREA)

Abstract

本发明描述了用于将材料沉积到高深宽比特征,多层堆叠件中的特征,具有正倾斜的侧壁的特征,具有负倾斜的侧壁的特征,具有内凹轮廓的特征和/或具有侧壁形貌的特征的方法和设备。方法包括将第一数量的材料(例如电介质(例如硅氧化物))沉积到特征中,并在衬底的场表面上形成牺牲护盔,蚀刻第一数量的材料中的一些以打开特征开口和/或使特征的侧壁平滑,并沉积第二数量的材料以填充特征。牺牲护盔可以是与沉积到特征中的第一数量的材料相同或不同的材料。

Description

使用牺牲蚀刻盖层的高深宽比特征的介电间隙填充
相关申请的交叉引用
本申请要求2017年9月13日提交的名称为“DIELECTRIC GAPFILL OF HIGH ASPECTRATIO FEATURES UTILIZING A SACRIFICIAL ETCH CAP LAYER”的美国专利申请No.15/703,917的权益,该专利申请通过引用将其全部内容并入本文并用于所有目的。
背景技术
半导体制造处理涉及图案化操作,包括在半导体衬底上沉积及蚀刻各种材料。衬底包括各种类型的特征,包括水平及竖直特征、具有倾斜侧壁的负特征、具有内凹(re-entrant)特征的特征、以及在具有二或更多材料的多层堆叠件的衬底中作为负特征的特征,以使在特征侧壁的表面上的材料组成根据特征的深度而变化。存在用于填充此类特征的各种技术,但当组件收缩且特征变得更小时,没有空隙或接缝的特征填充变得越来越具有挑战性。
发明内容
本文提出用于处理半导体衬底的方法和设备。一方面涉及一种填充衬底上的特征的方法,所述方法包括:提供所述衬底至处理室,所述衬底包括所述特征,所述特征包括特征开口和侧壁形貌,所述侧壁形貌包括在所述特征的所述侧壁上的多个残段;使用含硅前体和氧化剂以沉积第一数量的硅氧化物持续不足以填满所述特征的持续时间;使所述第一数量的所述硅氧化物暴露于蚀刻剂,以蚀刻所述第一数量的所述硅氧化物中的至少一些;以及在蚀刻所述第一数量的所述硅氧化物之后,在经蚀刻的所述第一数量的所述硅氧化物上沉积第二数量的所述硅氧化物。
在多种实施方案中,所述残段在垂直于所述侧壁的平面上具有介于
Figure BDA0002487631660000011
与约
Figure BDA0002487631660000012
之间的尺寸。
在多种实施方案中,不足以沉积所述第一数量的硅氧化物至所述特征中的所述持续时间在所述衬底的场表面上形成硅氧化物的覆盖层。在一些实施方案中,使所述第一数量的所述硅氧化物暴露于所述蚀刻剂包括:相对于所述特征的内侧,蚀刻在所述特征开口处或附近的所述第一数量的所述硅氧化物中的所述至少一些。
在多种实施方案中,所述第二数量的所述硅氧化物通过等离子体增强化学气相沉积来沉积。在一些实施方案中,所述方法还可以包括:在沉积所述第二数量的所述硅氧化物之后,使所述第二数量的所述硅氧化物暴露于所述蚀刻剂持续比使所述第一数量的所述硅氧化物暴露于所述蚀刻剂所使用的所述持续时间长的持续时间。
在一些实施方案中,所述特征的所述侧壁包括在堆叠件中分层的二或更多种材料。
在多种实施方案中,所述沉积所述第一数量的所述硅氧化物以及所述使所述第一数量的所述硅氧化物暴露于所述蚀刻剂在没有破坏真空的情况下执行。在多种实施方案中,所述沉积所述第一数量的所述硅氧化物以及所述使所述第一数量的所述硅氧化物暴露于所述蚀刻剂在相同室中执行。
在一些实施方案中,所述使所述第一数量的所述硅氧化物暴露于所述蚀刻剂以及所述沉积所述第二数量的所述硅氧化物在没有破坏真空的情况下执行。在多种实施方案中,所述使所述第一数量的所述硅氧化物暴露于所述蚀刻剂以及所述沉积所述第二数量的所述硅氧化物在相同室中执行。
在多种实施方案中,所述沉积所述第一数量的所述硅氧化物、所述使所述第一数量的所述硅氧化物暴露于所述蚀刻剂以及所述沉积所述第二数量的所述硅氧化物在没有破坏真空的情况下执行。在多种实施方案中,在没有破坏真空的情况下执行所述沉积所述第一数量的所述硅氧化物、所述使所述第一数量的所述硅氧化物暴露于所述蚀刻剂以及所述沉积所述第二数量的所述硅氧化物是在相同室中执行。
在多种实施方案中,第一数量的硅氧化物是通过一或更多个原子层沉积循环而沉积,一或更多个原子层沉积循环的每一循环包括氧化剂和含硅前体的多个交替脉冲。在多种实施方案中,不足以填满特征的持续时间是实施原子层沉积约40个循环或更少循环的持续时间。在一些实施方案中,在氧化剂的多个脉冲期间,点燃等离子体。在多种实施方案中,一或更多个原子层沉积循环的每一循环还包括:在氧化剂和含硅前体的这些交替脉冲之间清扫处理室。在一些实施方案中,在沉积第一数量的硅氧化物之后且在使第一数量的硅氧化物暴露于蚀刻剂之前,清扫处理室。在一些实施方案中,在使第一数量的硅氧化物暴露于蚀刻剂之后且在沉积第二数量的硅氧化物之前,清扫处理室。
在多种实施方案中,所述蚀刻剂是三氟化氮(NF3)、氟仿(CHF3)、八氟环丁烷(C4F8)、四氟甲烷(CF4)及其组合中的任何一种。
在多种实施方案中,所述特征具有至少5微米的深度。在多种实施方案中,所述特征具有至少15:1的深宽比。
一种填充衬底上的特征的方法,所述方法包括:提供所述衬底至处理室,所述衬底包括所述特征,所述特征包括特征开口和侧壁形貌,所述侧壁形貌包括在所述特征的所述侧壁上的多个残段;使用含硅前体和氧化剂以沉积第一数量的硅氧化物持续不足以填满所述特征的持续时间;在沉积所述第一数量的硅氧化物之后且在使所述第一数量的硅氧化物暴露于蚀刻剂之前,沉积牺牲护盔,所述牺牲护盔形成在所述衬底的场表面上的覆盖层;使所述衬底暴露于所述蚀刻剂,以蚀刻所述第一数量的所述硅氧化物中的至少一些;以及在蚀刻所述第一数量的所述硅氧化物之后,在经蚀刻的所述第一数量的所述硅氧化物上沉积第二数量的所述氧化物,以至少部分填满所述特征。
在多种实施方案中,所述残段具有介于
Figure BDA0002487631660000031
与约
Figure BDA0002487631660000032
之间的垂直于所述侧壁的平面的尺寸。
在多种实施方案中,所述牺牲护盔通过等离子体增强化学气相沉积而沉积。
在多种实施方案中,所述牺牲护盔包含硅氮化物。
在多种实施方案中,所述牺牲护盔包含硅氧化物。
在多种实施方案中,所述沉积所述第一数量的所述硅氧化物以及所述沉积所述牺牲护盔是在没有破坏真空的情况下执行。在一些实施方案中,所述沉积所述第一数量的所述硅氧化物及所述使所述衬底暴露于所述蚀刻剂是在没有破坏真空的情况下执行。在一些实施方案中,所述沉积所述第一数量的所述硅氧化物、所述沉积所述牺牲护盔以及所述使所述衬底暴露于所述蚀刻剂是在没有破坏真空的情况下执行。在一些实施方案中,所述使所述衬底暴露于所述蚀刻剂及所述沉积所述第二数量的硅氧化物是在没有破坏真空的情况下执行。在一些实施方案中,所述沉积所述牺牲护盔、所述使所述衬底暴露于所述蚀刻剂以及所述沉积所述第二数量的硅氧化物是在没有破坏真空的情况下执行。在一些实施方案中,所述沉积所述第一数量的所述硅氧化物、所述沉积所述牺牲护盔、所述使所述衬底暴露于所述蚀刻剂以及所述沉积所述第二数量的硅氧化物是在没有破坏真空的情况下执行。
在多种实施方案中,所述沉积所述第一数量的所述硅氧化物及所述沉积所述牺牲护盔是在相同室中执行。在一些实施方案中,所述沉积所述第一数量的所述硅氧化物及所述使所述衬底暴露于所述蚀刻剂是在相同室中执行。在一些实施方案中,所述沉积所述第一数量的所述硅氧化物、所述沉积所述牺牲护盔以及所述使所述衬底暴露于所述蚀刻剂是在相同室中执行。在一些实施方案中,所述沉积所述牺牲护盔、所述使所述衬底暴露于所述蚀刻剂及所述沉积所述第二数量的硅氧化物是在相同室中执行。在一些实施方案中,所述沉积所述第一数量的所述硅氧化物、所述沉积所述牺牲护盔、所述使所述衬底暴露于所述蚀刻剂以及所述沉积所述第二数量的硅氧化物是在相同室中执行。
在多种实施方案中,第一数量的硅氧化物是通过一或更多个原子层沉积循环而沉积,一或更多个原子层沉积循环的每一循环包括氧化剂和含硅前体的多个交替脉冲。在多种实施方案中,不足以填满特征的持续时间是实施原子层沉积约40个循环或更少循环的持续时间。在一些实施方案中,在氧化剂的多个脉冲期间,点燃等离子体。在多种实施方案中,一或更多个原子层沉积循环的每一循环还包括:在氧化剂和含硅前体的这些交替脉冲之间清扫处理室。在一些实施方案中,在沉积第一数量的硅氧化物之后且在使第一数量的硅氧化物暴露于蚀刻剂之前,清扫处理室。在一些实施方案中,在使第一数量的硅氧化物暴露于蚀刻剂之后且在沉积牺牲护盔之前,清扫处理室。
在多种实施方案中,所述蚀刻剂是三氟化氮(NF3)、氟仿(CHF3)、八氟环丁烷(C4F8)、四氟甲烷(CF4)及其组合中的任何一种。
在多种实施方案中,所述特征具有至少5微米的深度。在多种实施方案中,所述特征具有至少15:1的深宽比。
另一方面涉及一种填充衬底上的特征的方法,所述方法包括:提供所述衬底至处理室,所述衬底包括所述特征,所述特征包括特征开口和侧壁,所述侧壁具有一或更多个内凹表面;沉积第一数量的材料持续不足以填满所述特征的持续时间;使所述第一数量的所述材料暴露于蚀刻剂,以蚀刻在所述特征中的所述第一数量的所述材料中的至少一些;以及在蚀刻所述第一数量的所述材料之后,在经蚀刻的所述第一数量的所述材料上沉积第二数量的所述材料,其中所述材料是硅碳化物、硅氮化物、硅、钨、钌、铜、钴和钼中的任何一种。
在多种实施方案中,足以沉积所述第一数量的所述材料至所述特征中的所述持续时间在所述衬底的场表面上形成所述硅氧化物覆盖层。
在多种实施方案中,使所述第一数量的所述材料暴露于所述蚀刻剂包括:相对于所述特征的内侧,蚀刻在所述特征开口处或附近的所述第一数量的所述材料中的所述至少一些。
在多种实施方案中,所述第二数量的所述材料通过等离子体增强化学气相沉积而沉积。
在一些实施方案中,所述方法还包括:在沉积所述第二数量的所述材料之后,使所述第二数量的所述材料暴露于所述蚀刻剂持续比使所述第一数量的所述材料暴露于所述蚀刻剂所使用的所述持续时间长的持续时间。
在多种实施方案中,所述特征的所述侧壁包括在堆叠件中分层的二或更多种材料。
在多种实施方案中,所述沉积所述第一数量的所述材料以及所述使所述第一数量的所述材料暴露于所述蚀刻剂在没有破坏真空的情况下执行。
在多种实施方案中,所述使所述第一数量的所述材料暴露于所述蚀刻剂以及所述沉积所述第二数量的所述材料在没有破坏真空的情况下执行。
另一方面涉及一种填充衬底上的特征的方法,所述方法包括:提供所述衬底至处理室,所述衬底包括所述特征,所述特征包括特征开口和侧壁形貌,所述侧壁形貌包括在所述特征的所述侧壁上的多个残段;沉积第一数量的第一材料持续不足以填满所述特征的持续时间;在沉积所述第一数量的第一材料之后且在使所述第一数量的所述第一材料暴露于蚀刻剂之前,沉积牺牲护盔,所述牺牲护盔形成在所述衬底的场表面上的覆盖层,所述牺牲护盔包括第二材料;使所述衬底暴露于所述蚀刻剂,以蚀刻所述第一数量的所述第一材料中的至少一些;以及在蚀刻所述第一数量的所述第一材料之后,在经蚀刻的所述第一数量的所述第一材料上沉积第二数量的所述氧化物,以至少部分填满所述特征。
在多种实施方案中,所述残段具有介于
Figure BDA0002487631660000061
与约
Figure BDA0002487631660000062
之间的垂直于所述侧壁的平面的尺寸。
在多种实施方案中,所述第一材料不同于所述第二材料。在多种实施方案中,所述第一材料在组成上与所述第二材料相同。在多种实施方案中,所述第二材料通过等离子体增强化学气相沉积而沉积。
在多种实施方案中,所述第一材料通过原子层沉积而沉积。在一些实施方案中,通过同时引入所述含硅前体及所述含氮反应物并点燃等离子体以形成硅氮化物来沉积所述第二材料。在多种实施方案中,所述含氮反应物与氧一起引入。在一些实施方案中,所述蚀刻剂是含卤素蚀刻剂。例如,在一些实施方案中,所述蚀刻剂是三氟化氮。在多种实施方案中,当使用蚀刻剂时,所述牺牲护盔具有相对于所述第一材料的蚀刻选择性。在一些实施方案中,所述牺牲护盔对第一材料的蚀刻选择性是在约1:2与约1:5之间,其中所述第一材料的蚀刻比所述牺牲护盔快约2至约5倍。
另一方面涉及一种用于处理半导体衬底的设备,所述设备包括:(a)至少一个处理室,所述至少一个处理室包括用以保持所述半导体衬底的基座;(b)至少一个出口,其用于耦合至真空;(c)一或更多个处理气体入口,其耦合至一或更多处理气体源;以及(d)用于控制在所述设备中的多个操作的控制器,其包括多个机器可读指令,所述机器可读指令用于:(i)引入含硅前体和氧化剂,以在所述半导体衬底上沉积第一数量的硅氧化物持续不足以填满在所述半导体衬底上的特征的持续时间,所述特征具有特征开口和侧壁形貌,所述侧壁形貌具有在所述特征的所述侧壁上的多个残段;(ii)引入蚀刻剂至所述至少一个处理室持续用以蚀刻所述第一数量的所述硅氧化物其中至少一些的持续时间;及(iii)在引入所述蚀刻剂至所述至少一个处理室之后,引入所述含硅前体和所述氧化剂,以在经蚀刻的所述第一数量的所述硅氧化物上沉积第二数量的硅氧化物。
在多种实施方案中,所述控制器还包括:用于使(iii)的所述持续时间长于(i)的所述持续时间的机器可读指令。在多种实施方案中,所述控制器还包括:用于执行(i)与(ii)而没有破坏真空的机器可读指令。
在多种实施方案中,所述的设备还包括:等离子体产生器,其用于产生等离子体。在一些实施方案中,所述控制器还包括:用于在引入所述氧化剂时产生等离子体的指令。
另一方面涉及一种用于处理半导体衬底的设备,所述设备包括:(a)至少一个处理室,所述至少一个处理室包括用以保持所述半导体衬底的基座;(b)至少一个出口,其用于耦合至真空;(c)一或更多个处理气体入口,其耦合至一或更多处理气体源;以及(d)用于控制在所述设备中的多个操作的控制器,其包括多个机器可读指令,所述机器可读指令用于:(i)引入沉积前体和反应物以用于沉积第一数量的材料持续不足以填满在所述半导体衬底上的特征的持续时间;(ii)引入蚀刻剂,以蚀刻在所述特征中的所述第一数量的所述材料中的至少一些;以及(iii)在引入所述蚀刻剂之后,引入所述沉积前体和所述反应物,以在经蚀刻的所述第一数量的所述材料上沉积第二数量的所述材料,其中所述材料是硅碳化物、硅氮化物、硅、钨、钌、铜、钴和钼中的任一者。
在多种实施方案中,所述控制器还包括:用于将(iii)的所述持续时间设定成长于(i)的所述持续时间的指令。在多种实施方案中,所述控制器还包括:用于执行(i)与(ii)而没有破坏真空的机器可读指令。
在多种实施方案中,所述设备还包括:等离子体产生器,其用于产生等离子体。在一些实施方案中,所述控制器还包括:用于在引入所述氧化剂时点燃等离子体的指令。
另一方面涉及一种用于处理半导体衬底的设备,所述设备包括:(a)至少一个处理室,所述至少一个处理室包括用以保持所述半导体衬底的基座;(b)至少一个出口,其用于耦合至真空;(c)一或更多个处理气体入口,其耦合至一或更多处理气体源;以及(d)用于控制在所述设备中的多个操作的控制器,其包括多个机器可读指令,所述机器可读指令用于:(i)引入含硅前体和氧化剂,以在所述半导体衬底上沉积第一数量的硅氧化物持续不足以填满在所述半导体衬底上的特征的持续时间,所述特征具有特征开口和侧壁形貌,所述侧壁形貌具有在所述特征的所述侧壁上的多个残段;(ii)引入用于沉积牺牲护盔的一或更多种处理气体,所述牺牲护盔形成在所述半导体衬底的场表面上的覆盖层;(iii)引入蚀刻剂至所述至少一个处理室持续用以蚀刻所述第一数量的所述硅氧化物其中至少一些的持续时间;以及(iv)在引入所述蚀刻剂至所述至少一个处理室之后,引入所述含硅前体和所述氧化剂,以在经蚀刻的所述第一数量的所述硅氧化物上沉积第二数量的硅氧化物。
在多种实施方案中,所述控制器包括:用于在(ii)期间传送第二含硅前体和含氮反应物以沉积所述牺牲护盔的指令,所述牺牲护盔包含硅氮化物。
另一方面涉及一种用于处理半导体衬底的设备,所述设备包括:(a)至少一个处理室,所述至少一个处理室包括用以保持所述半导体衬底的基座;(b)至少一个出口,其用于耦合至真空;(c)一或更多个处理气体入口,其耦合至一或更多处理气体源;以及(d)用于控制在所述设备中的多个操作的控制器,其包括多个机器可读指令,所述机器可读指令用于:(i)引入用于沉积第一材料的第一组沉积前体,以在所述半导体衬底上沉积第一数量的所述第一材料持续不足以填满在所述半导体衬底上的特征的持续时间;(ii)引入用于沉积牺牲护盔的一或更多种处理气体,所述牺牲护盔形成在所述半导体衬底的场表面上的覆盖层,所述牺牲护盔包括第二材料;(iii)引入蚀刻剂至所述至少一个处理室持续用以蚀刻所述第一数量的所述第一材料中的至少一些的持续时间;以及(iv)在引入所述蚀刻剂至所述至少一个处理室之后,引入所述第一组沉积前体,以在经蚀刻的所述第一数量的所述第一材料上沉积第二数量的所述第一材料。
在多种实施方案中,所述第一材料不同于所述第二材料。在多种实施方案中,所述第一材料在组成上与所述第二材料相同。
下文参考附图进一步说明这些以及其它方面。
附图说明
图1A-1E是具有各种类型的特征的示例性衬底的示意图。
图2A-2E是示例性衬底的示意图,其具有填充有材料的各种类型的特征。
图3A-3D是衬底中的示例性特征的示意图,其具有进行特征填充的内凹特征。
图4A-4D是衬底中的示例性特征的示意图,其具有进行特征填充的侧壁波形。
图5A-5C是衬底中的示例性特征的示意图,其具有进行特征填充的多层堆叠件。
图6和7是描绘在根据某些公开的实施方案执行的方法中执行的操作的处理流程图。
图8A至图8D是根据某些公开的实施方案的衬底中的示例性特征的示意图,其具有进行特征填充的内凹特征。
图9A-9D是根据某些公开的实施方案的衬底中的示例性特征的示意图,其具有进行特征填充的侧壁形貌。
图9E-9H是根据某些公开的实施方案的衬底中的示例性特征的示意图,其具有进行特征填充的侧壁形貌。
图10A-10C是根据某些公开的实施方案的衬底中的示例性特征的示意图,其具有进行特征填充的多层堆叠件。
图11是描绘根据某些公开的实施方案执行的操作的示例的时序示意图。
图12是用于执行某些公开的实施方案的示例性处理室的示意图。
图13是用于执行某些公开的实施方案的示例处理工具的示意图。
具体实施方式
在下面的描述中,阐述了许多具体细节以提供对所呈现的实施方案的透彻理解。在没有这些具体细节中的一些或所有的情形下可以实施所公开的实施方案。在其它情形下,未详细描述公知的处理操作,以避免不必要地使所公开的实施方案难以理解。虽然将结合具体的实施方案描述所公开的实施方案,但是应理解的是并不意在限制所公开的实施方案。
半导体制造处理通常包括电介质间隙填充,其使用化学气相沉积(CVD)和/或原子层沉积(ALD)方法以填充特征。本文中描述了利用材料(例如电介质或金属材料,包括但不限于硅氧化物)填充特征的方法、以及相关的系统及设备。本文中所述的方法可用于填充竖直的负特征。形成在衬底中的特征可通过狭窄和/或内凹的开口、特征内的收缩(constriction)及高深宽比中的一或多者来描述其特性。衬底可为硅晶片,例如200mm晶片、300mm晶片或450mm晶片,包括上面沉积有一或更多层材料(例如电介质、导电或半导电材料)的晶片。
特征可形成在这些层中的一或更多者中。例如,特征可至少部分地形成在介电层中。如本文中所述的单一衬底包括具有二或更多材料的多层堆叠件,例如ONON(氧化物-氮化物-氧化物-氮化物)堆叠件、OPOP(多晶硅上的硅氧化物)堆叠件、或OMOM堆叠件(金属上的硅氧化物,金属例如为钨、钴或钼),特征可形成在这类的多层衬底中,其中特征的侧壁包括二或更多种组分。多层堆叠件可在双层(例如ON)至500组合层(例如{ON}150)的范围中。特征也可具有倾斜的侧壁或侧壁形貌。侧壁形貌包括具有一或更多残段的锯齿状侧壁,每一残段具有与侧壁的平坦表面垂直的介于
Figure BDA0002487631660000101
与约
Figure BDA0002487631660000102
之间的尺寸。在多种实施方案中,侧壁形貌的特征在于二或更多残段,其中二或更多残段存在于特征深度方向的侧壁上。换言之,在侧壁上的一残段的深度不同于存在侧壁上的第二残段的深度。此外,特征也可包括内凹轮廓。
特征孔在开口附近的尺寸(例如,开口直径或线宽)也可在约1nm与约1微米之间,例如在约25nm与约300nm之间,例如约200nm。特征孔可被称为未填充特征或简称为特征。在一些实行例中,特征孔的深宽比可为至少约2:1、至少约4:1、至少约6:1、或至少约20:1或更大。
图1A-1E显示出具有各种类型的特征的示例性衬底。图1A显示出在具有多层堆叠件的衬底中的特征102a,多层堆叠件包括在交替的水平层中的两种组分104a及114a,其中特征102a是通过将孔蚀刻至衬垫106a中而限定。应当注意,虽然在本文所述的各种示例中描绘了衬垫106a,但是在一些实施方案中,不存在衬垫。在存在衬垫的情况下,衬垫可为氮化物材料,例如但不限于硅氮化物,或者在一些实施方案中,衬垫可为多晶硅材料。
图1B显示出在衬底104b中的特征102b,其具有由衬垫106b所限定的直侧壁。图1B的特征102b具有高深宽比,具有直的侧壁。图1C显示出在衬底104c中的示例性特征102c,具有衬垫106c,从而形成具有正倾斜侧壁的特征102c,其中在特征102c顶部处的特征开口120c大于在底部112c处的宽度。图1D显示出在衬底104d中的特征102d,其中特征102d是通过在衬垫106d中蚀刻孔而限定,且特征102d包括负倾斜侧壁或内凹轮廓,其中在特征102d的顶部处的特征开口120d比特征102d的底部112d的宽度窄。图1E显示出在衬底104e中的特征102e,其中特征102e是通过在衬垫106e中蚀刻孔而限定,且特征102e包括具有残段的侧壁形貌。在一些情形中,特征由于用于形成特征的蚀刻类型、或者内部形成特征的一或更多种材料而导致会具有侧壁形貌。例如在图1A-1E中所示的示例的特征的间隙填充取决于特征类型及轮廓。虽然可使用例如CVD及ALD之类的现有技术来填充这些特征,但是传统技术导致在特征内形成非期望的接缝或空隙。
图2A显示出在衬底中的具有衬垫206a的示例性特征202a,衬底包括多层堆叠件,该多层堆叠件具有交替层形式的材料204a及214a。介电材料208a通过保形ALD而沉积至特征202a中,但是在特征202a的中间形成接缝210a,因为自侧壁生长的介电材料208a在特征202a的中间相遇,从而形成接缝210a。
图2B显示出特征202b的类似现象,特征202b通过ALD而在衬垫206b上填充有介电材料208b,衬垫206b限定了在衬底204b中具有直的侧壁的特征202b。类似地,在图2C中,正斜率特征202c包括在衬底204c中的底部212a处的较窄的宽度且具有由衬垫206c所限定的侧壁,也导致当通过保形ALD而沉积介电材料208c时形成接缝210c。图2D也显示出由在衬底204d中的衬垫206d所限定的具有负斜率特征的特征202d,其在底部212d处具有较宽的宽度。在此示例中,当沉积介电材料208d时,仍然形成接缝210d。在一些情形中,由于ALD的保形填充,也可能在特征202d的底部处或其附近形成空隙。图2E显示出特征202e的示例,其具有由衬底204e中的衬垫206e所限定的侧壁形貌。侧壁形貌的特征在于残段216e,且特征的底部212e宽于残段216e处的宽度。当通过ALD而沉积材料208e时,形成微空隙210e,且特征不能完全被填充。微空隙可限定为直径小于约50nm的空隙,或者在一些实施方案中,直径在约30nm与约50nm之间、或直径小于30nm,例如小于约15nm宽。
已经提出了一些沉积-蚀刻-沉积(dep-etch-dep)技术以用介电材料填充各种特征。然而,现有的沉积-蚀刻-沉积技术也导致对衬底上的其它材料的非期望的蚀刻,因此导致材料损失。如下所述,图3A-3D、4A-4D及5A-5C中提出了示例。
图3A显示出在衬底304a中的特征302a的示例,其中特征轮廓由衬垫306a所限定。如图所示,在312a处所描绘的特征的底部的宽度窄于在特征开口处或其附近的宽度的一部分,为此描绘出内凹轮廓。在传统的保形ALD中,材料308b逐层地填充特征302b,如图3B所示。在图3B中,材料308b被描绘为沉积至特征302b中,其特征轮廓限定在衬底304b的衬垫306b中。然而,ALD沉积是保形的并且导致空隙310b的形成,而特征的底部312b被完全填充。传统的沉积-蚀刻-沉积涉及在ALD沉积之后的蚀刻,例如图3C中所示。在图3C中,回蚀已沉积的介电材料308c以打开衬底304c中的特征302c的窄特征开口。然而,即使在将介电材料308c蚀刻至衬垫306c的表面之后,在开口308c尚未打开时,空隙310c仍然存在。特征的底部312c保持填充。在传统的沉积-蚀刻-沉积中,实施蚀刻直到特征被打开以允许特征的进一步填充,因此如图3D所示,回蚀导致衬垫306d的材料损失316d以打开特征302d,并且能够接近由材料308d的先前ALD沉积所形成的空隙310d。在衬底308d中的特征的底部312d保持填充。然而,这样的材料损失316d是非期望的。
图4A-4D也显示出非期望蚀刻的示例,其是由使用介电材料以填充特征的传统的沉积-蚀刻-沉积处理所造成。图4A包括在衬底404a中的特征402a,其具有通过在衬垫406a中蚀刻所形成的侧壁形貌,侧壁形貌具有残段,其中在残段处的特征宽度窄于在特征的底部412a处。在这样的实施方案中,一些残段是处于相同的深度。在图4B中,实施ALD以将介电材料408b保形地沉积至在衬垫406b上的特征402b中。应当注意,在特征402b的侧壁上的沉积材料之间的空间410b保持打开,且材料408b沉积在残段416b上,包括沉积在底部412b上。然而,为了避免在侧壁形貌之间形成空隙,在图4C中,已沉积的介电材料408b被回蚀以使已沉积的材料平滑,如材料408c的已平滑化的表面414c所示。应当注意,特征402c在衬底404c的特征402c的残段416c及底部412c附近仍然包括粗糙的沉积材料。在图4D中,进一步回蚀衬底404,以容许沿着整个侧壁的平滑化,从而减少微孔隙的形成,如在特征的顶部414d处的平滑及在特征的底部416d处的平滑所示。应当注意,在特征的残段416d及底部412d处或附近的介电材料408d被平滑化,以使随后的ALD沉积不会形成微孔隙。然而,这样的回蚀导致衬垫406d的材料损失416d,这可能因此在后续导致问题。
图5A-5C也显示出具有两种组分504a及514a的多层堆叠件的衬底的示例,其中衬底包括特征502a,材料508a保形地沉积在衬垫506a上。在图5B中,材料508b被回蚀以打开特征502b的特征开口,但是这样的蚀刻造成衬垫506b的蚀刻,从而造成渐缩的(tapered)轮廓。在图5C的材料508c的后续沉积中,材料沉积在渐缩轮廓上,结果为具有填充特征但具有堆叠件材料损失的衬底。
在进行高深宽比特征及具有侧壁形貌的特征的间隙填充时,现有技术的这些及其它问题导致非期望的特征轮廓。
本文中提出了方法及设备,其用于将介电材料沉积至具有高深宽比、侧壁形貌、多层堆叠件组分及内凹轮廓的特征中。方法包括调变的沉积-蚀刻-沉积持续时间及条件,以减少及消除对特征轮廓及衬底的下层的蚀刻,包括使用等离子体增强化学气相沉积来在衬底的场表面上沉积牺牲护盔,从而导致在特征开口处的材料(可与沉积在特征中的材料相同或不同)覆盖层,并且实施更久的回蚀以打开该特征,同时仅仅消耗牺牲材料覆盖层而不蚀刻衬底的下层,因此防止对特征轮廓的蚀刻。
虽然以下描述聚焦于电介质特征填充,但是本公开内容的方面也可用于以其它材料来填充特征。例如,使用本文中所述的一或更多种技术的特征填充可用于利用其它材料来填充特征,其它材料包括含硅材料(例如,硅碳化物、硅氮化物、硅氧化物、硅)及含金属材料(例如,钨、钌、铜、钴、钼、及其氮化物及碳化物)。
图6为处理流程图,描绘根据某些所公开的实施方案所实施的方法的操作。图6中的操作可在约50℃与约650℃之间的衬底温度下实施。
在操作602中,提供具有特征的衬底,特征具有侧壁形貌。例如,特征可具有如图1E中所绘示的轮廓。尽管关于图6所述的示例是关于具有侧壁形貌的特征,但是应当理解,在一些实施方案中,所公开的实施方案可在具有竖直侧壁的高深宽比特征、具有内凹轮廓的特征、具有正倾斜侧壁的特征、具有负倾斜侧壁的特征、以及在侧壁上具有多堆叠件组分的特征(分别如同图1B、1C、1D及1A所示)中的任何一或多者上实施。可提供衬底至处理室,处理室可位于用于处理一或更多个晶片的单站式或多站式设备的站内。
在操作604中,将第一数量的介电材料沉积在特征中。在多种实施方案中,第一数量的介电材料不足以填满特征。未充分填满的特征被限定为具有在其中沉积了一些介电材料、且特征中存在一或更多空隙的特征。未充分填满的特征包括沿着特征的侧壁沉积材料、但特征开口保持打开的特征。在操作604期间的沉积可在约0.1托(Torr)与约15托之间的室压强下实施,例如在约6托下实施。
在多种实施方案中,介电材料为硅氧化物。硅氧化物可通过ALD、等离子体增强ALD(PEALD)、CVD、或等离子体增强CVD(PECVD)而沉积。ALD为使用顺序自限反应来沉积材料的薄层的技术。ALD处理使用表面媒介的(surface-mediated)沉积反应以循环地逐层沉积膜。作为示例,ALD循环可包含以下操作:(i)前体的传送/吸附、(ii)从室清扫前体、(iii)第二反应物的传送及可选的等离子体点燃、及(iv)从室清扫副产物。第二反应物与吸附的前体之间的反应在衬底表面上形成膜,并且影响膜的成分及性质,例如非均匀性、应力、湿蚀刻率、干蚀刻率、电气性质(例如,击穿电压及漏电流)等。
在ALD处理的一示例中,衬底表面包含成群的表面活性位置,将该衬底表面暴露于一定剂量的被提供至容纳该衬底的室的气相分配的第一前体(例如,含硅前体)。第一前体的分子被吸附至衬底表面上,包括第一前体的化学吸附物质和/或物理吸附分子。应当理解,如本文中所述,当化合物吸附至衬底表面上时,吸附层可包括该化合物及该化合物的衍生物。例如,含硅前体的吸附层可包括该含硅前体、及该含硅前体的衍生物。在提供第一前体之后,接着将室抽空以去除大部分或所有以气相残留的第一前体,以使主要或仅保留吸附物质。在一些实现方式中,可能未将室完全抽空。例如,可将反应器抽空,以使气相的第一前体的分压足够低,从而使反应减缓。将第二反应物(例如,含氧气体)导入至室中,使得这些分子中的一些与吸附在表面上的第一前体反应。在一些处理中,第二反应物立即与吸附的第一前体进行反应。在其它实施方案中,第二前体仅在暂时地施加活化源之后才进行反应。接着可再次使室抽空,以去除未结合的第二反应物分子。如上所述,在一些实施方案中,可能未将室完全抽空。额外的ALD循环可用于增加膜厚。
在一些实施方案中,ALD方法包括等离子体活化。如本文中所述,本文中所描述的ALD方法及设备可为保形膜沉积(CFD)方法,其大致上描述于美国专利申请No.13/084,399(现为美国专利No.8,728,956),其申请日为2011年4月11日、且发明名称为“PLASMAACTIVATED CONFORMAL FILM DEPOSITION”,其整体内容通过引用并入于本文。
为了沉积硅氧化物,可使用一或更多种含硅前体。根据所公开的实施方案,适用的含硅前体包含了聚硅烷(H3Si-(SiH2)n-SiH3),其中n>0。硅烷的示例为硅烷(SiH4)、二硅烷(Si2H6)、以及有机硅烷,例如甲基硅烷、乙基硅烷、异丙基硅烷、叔丁基硅烷、二甲基硅烷、二乙基硅烷、二叔丁基硅烷、烯丙基硅烷、仲丁基硅烷、叔己基硅烷、异戊基硅烷、叔丁基二硅烷、二叔丁基二硅烷等。
卤硅烷包含至少一个卤素基团,可包含或可不包含氢和/或碳基团。卤硅烷的示例为碘硅烷、溴硅烷、氯硅烷、及氟硅烷。虽然卤硅烷(尤其是氟硅烷)在等离子体点燃时可能形成会蚀刻硅材料的反应性卤化物物质,但在一些实施方案中,卤硅烷在等离子体点燃时可能未引入室中,所以可能减少来自卤硅烷的反应性卤化物物质的形成。具体的氯硅烷为四氯硅烷、三氯硅烷、二氯硅烷、一氯硅烷、氯烯丙基硅烷、氯甲基硅烷、二氯甲基硅烷、氯二甲基硅烷、氯乙基硅烷、叔丁基氯硅烷、二叔丁基氯硅烷、氯异丙基硅烷、氯仲丁基硅烷、叔丁基二甲基氯硅烷、叔己基二甲基氯硅烷等。
氨基硅烷包含与硅原子键结的至少一个氮原子,但也可包含氢、氧、卤素、及碳。氨基硅烷包含与硅原子键合的至少一个氮原子,但也可包含氢、氧、卤素、及碳。氨基硅烷的示例为一、二、三、及四氨基硅烷(分别为H3Si(NH2),H2Si(NH2)2,HSi(NH2)3及Si(NH2)4),以及经取代的一、二、三、及四氨基硅烷,例如叔丁基氨基硅烷、甲基氨基硅烷、叔丁基硅烷胺、双(叔丁基氨基)硅烷(SiH2(NHC(CH3)3)2(BTBAS))、甲硅烷基氨基甲酸叔丁酯(tert-butylsilylcarbamate)、SiH(CH3)-(N(CH3)2)2、SiHCl-(N(CH3)2)2、(Si(CH3)2NH)3等。氨基硅烷的进一步示例为三硅基胺(N(SiH3))。
在操作604期间,还使用额外的反应物。为了通过PECVD沉积硅氧化物护盔,使氧化剂与含硅前体一起流动至处理室以进行反应,并且在衬底上沉积硅氧化物。示例性氧化剂包括氧气、水、二氧化碳、一氧化二氮及其组合。在多种实施方案中,在点燃等离子体时,使衬底同时暴露于氧化剂及惰性气体。例如,在一实施方案中,在点燃等离子体时,将氧及氩的混合物引导至衬底。示例性惰性气体包括氦及氩。在一些实施方案中,惰性气体作为载气以将处理气体传送至衬底,并且在室的上游转向。为了通过ALD或PEALD进行沉积,含硅前体及反应物以脉冲的方式按顺序引入,其可通过清扫(purging)操作而分隔开。下面参考图7进一步描述这些示例。
在操作604中使用ALD、PEALD、CVD及PECVD的任何的组合来沉积介电材料的实施方案中,在两种技术期间可使用相同的反应物及前体。在一些实施方案中,可根据技术而选择不同的前体。例如,在一些实施方案中,可使用卤硅烷而实施ALD,然后使用硅烷作为含硅前体进行PECVD。在一些实施方案中,在用于沉积介电材料的一或更多技术期间点燃等离子体。
在操作607中,在衬底的场表面上沉积牺牲护盔。护盔为(相对于衬底上的特征内部)优先沉积在衬底的场表面上的材料覆盖层。在多种实施方案中,护盔为用于缓冲后续的蚀刻处理的牺牲材料,以便保护下方的特征轮廓不被蚀刻或损坏。
在多种实施方案中,护盔材料生长在介电材料上,该介电材料在操作604中被沉积并保留在衬底的场表面上。因此,牺牲护盔不会在特征开口上方闭合,且特征开口保持打开。在多种实施方案中,牺牲护盔是通过PECVD而沉积。在后续的蚀刻操作608期间,牺牲护盔作为保护下方的衬底及特征轮廓的层。牺牲护盔与沉积至特征中的介电材料可为相同或不同的材料。例如,在一些实施方案中,牺牲护盔是通过PECVD而沉积的硅氧化物材料,而待沉积至特征中的材料也是硅氧化物。
在一些实施方案中,护盔是沉积至介于约
Figure BDA0002487631660000171
与约
Figure BDA0002487631660000172
之间的厚度。可使用ALD、CVD或两者的组合来沉积护盔。在多种实施方案中,护盔是通过PECVD而沉积。在多种实施方案中,使特征暴露于用以沉积护盔的沉积前体(例如,用于沉积硅氮化物护盔的含硅前体及含氮前体)持续足以形成护盔的持续时间。持续时间取决于特征开口的大小、特征开口的深度、用于沉积材料的技术、材料是否与沉积至特征中的材料相同或不同、以及如果材料与沉积至特征中的材料相同,已经沉积至特征中的材料量(若有的话)。
在护盔材料与沉积至特征中的材料相同的一些实施方案中,操作604的沉积可以以循环的方式继续,以沉积用于操作607的牺牲护盔。在多种实施方案中,通过PEALD或ALD以执行操作604,而通过PECVD以执行操作607。在护盔材料与沉积至特征中的材料相同的情况下,在操作604及操作607两者中可使用相同的前体。例如,以上关于操作604所述的任何前体及反应物可用于在操作607中沉积硅氧化物护盔。
在一些实施方案中,牺牲护盔与沉积至特征中的材料是不同的材料。例如,在一些实施方案中,牺牲护盔是通过PECVD所沉积的硅氮化物材料,而待沉积至特征中的材料是硅氧化物。在多种实施方案中,当暴露于操作608中所使用的蚀刻剂时,牺牲护盔具有相对于待沉积材料的蚀刻选择性,以使操作608中的蚀刻不会损坏牺牲护盔,因此护盔可承受待沉积至特征中的材料的沉积及蚀刻的许多循环。在一些实施方案中,护盔是硅氮化物材料,硅氮化物材料是相应地通过同时或以交替脉冲方式使用硅前体及氮等离子体的PECVD或PEALD所沉积。在多种实施方案中,在使用硅氧化物以在特征中沉积第一数量的硅氧化物之后,实施硅氮化物护盔的形成,并且在衬底的场表面上沉积硅氮化物。在多种实施方案中,作为护盔的硅氮化物在蚀刻期间增加了对氧化物的蚀刻选择性。对于通过CVD的沉积,可使衬底暴露于沉积前体(例如用于沉积硅氧化物的含硅前体及氧化剂)在约2秒与约120秒之间的持续时间。在一些实施方案中,使用等离子体增强处理(例如,PEALD或PECVD)以沉积护盔。
为了通过PECVD以沉积硅氮化物护盔,使含氮气体(例如氮)与含硅前体一起流入处理室以形成硅氮化物。在多种实施方案中,用等离子体点燃氮以形成硅氮化物。
在一示例中,使用硅烷作为硅前体,用于实施硅氮化物和/或硅氧化物的PECVD。在通过PECVD的沉积期间,前体及反应物以各种流率而流动。例如,为了沉积硅氧化物,硅烷可与氮和/或一氧化二氮一起流动。硅烷可以在约50sccm与约200sccm之间的流率引入,例如以约75sccm的流率引入。氮可以在约1000sccm与约15000sccm之间的流率引入,例如以约3000sccm的流率引入。一氧化二氮可以在约5000sccm与约25000sccm之间的流率引入,例如以约20000sccm的流率引入。
在操作608中,从特征开口蚀刻介电材料,以在特征中留下部分被蚀刻的介电材料。可实施蚀刻持续足以加宽特征开口的持续时间,以使后续的沉积能够到达特征的底部。例如,在一些实施方案中,实施蚀刻的持续时间在约100秒与约400秒之间,例如约115秒、或约200秒、或约300秒、或约400秒。在多种实施方案中,实施蚀刻持续的时间足以打开特征开口,而不去除在操作604中沉积至特征中的介电材料层下方的材料。在多种实施方案中,蚀刻的实施对于护盔材料具有蚀刻选择性。例如,在一些实施方案中,三氟化氮(NF3)是在操作608期间所使用的蚀刻剂,且硅氧化物对牺牲硅氮化物护盔的蚀刻选择性介于约3:1与约5:1之间。
在操作604涉及覆盖层或护盔的沉积的一些实施方案中,实施蚀刻以使特征开口露出,同时消耗在场表面上的一些但不是全部的牺牲介电材料护盔,从而在特征中留下部分被蚀刻的介电层。即使护盔与待沉积的材料是不同的材料也如此,还可能消耗一些护盔。然而,在一些实施方案中,护盔由对于沉积至特征中的材料具有蚀刻选择性的不同材料所构成,这使得护盔能在相同的处理条件下承受更长的蚀刻时间,而不会像由相同材料(与所沉积的材料相同)所构成的护盔那样快速地被消耗。在一些实施方案中,护盔是由对于沉积至特征中的材料具有蚀刻选择性的不同材料所构成,这使得能沉积较薄的护盔,同时仍然减少对特征轮廓的蚀刻。
所选择的蚀刻剂取决于待蚀刻的材料。例如,为了蚀刻硅氧化物,可使用含氟蚀刻化学品进行蚀刻,例如通过使三氟化氮(NF3)流动进行。用于蚀刻硅氧化物的示例性蚀刻剂包括三氟化氮、氟仿(CHF3)、八氟环丁烷(C4F8)、四氟甲烷(CF4)及其组合。用于蚀刻硅碳化物、硅氮化物、硅、钨、钌、铜、钴及钼(这些材料被用于特征填充)的示例性蚀刻剂包括氢溴酸(HBr)、氟代甲烷(CH3F)、氯(Cl2)、四氟化硅(SiF4)、四氟甲烷(CF4)、三氯化硼(BCl3)、氟仿(CHF3)及其组合。
在另一示例中,为了蚀刻硅碳化物(其中硅碳化物待沉积至特征中),可使用氢溴酸或CH3F进行蚀刻。
在另一示例中,为了蚀刻硅氮化物(其中硅氮化物待沉积至特征中,可使用CH3F进行蚀刻。
在多种实施方案中,蚀刻剂可与一或更多载气(例如氧、氮和/或氩)一起流动。
在多种实施方案中,在操作608期间点燃等离子体以加强蚀刻。在一些实施方案中,使用射频等离子体以点燃等离子体。在一些实施方案中,可将自偏偏置施加至在蚀刻期间保持衬底的被供电基座。在多种实施方案中,在使三氟化氮气体流动的同时,点燃等离子体,等离子体功率在约1000W与约5000W之间。在多种实施方案中,等离子体被原位地(in-situ)产生。在一些实施方案中,等离子体可在远程等离子体室中远程地产生,然后传送至容纳衬底的处理室。
在一些实施方案中,操作604及608在不同室中实施。在一些实施方案中,操作604及608在同一室中实施。在一些实施方案中,在不破坏真空的情况下执行操作604及608。例如,在一些实施方案中,操作604及608在多站式室中的不同工作站中实施而不破坏真空。因为沉积及蚀刻可在相同室中或在相同工具中实施,因而所公开的实施方案提高了效率。
在一些实施方案中,可执行操作608以使侧壁平滑。所公开的实施方案也可适用于在沉积至具有侧壁形貌的特征期间使侧壁平滑,以使在介电材料的多个沉积之间所实施的蚀刻用于使表面平坦并减少在特征侧壁上的残段的存在。可通过调变持续时间及等离子体功率以实施蚀刻,蚀刻的持续时间足以使沉积在特征侧壁上的介电材料平滑,而不使衬底上的下伏材料暴露。在实施侧壁的平滑化时,蚀刻的持续时间可为约200秒或小于约200秒、或短于打开特征开口的持续时间。
在操作610中,在部分被蚀刻的介电材料上沉积第二数量的介电材料。在多种实施方案中,第二数量的介电材料是通过ALD、PEALD、CVD、PECVD或其任何组合加以沉积。可使用任何合适的前体及反应物以进行沉积。例如,为了沉积第二数量的硅氧化物,以上关于操作604所述的任何含硅前体可用于操作610。类似地,可使用用于与前体进行反应的任何合适的反应物。例如,为了沉积硅氧化物,可使用氧化剂(例如,氧或一氧化二氮)与含硅前体进行反应。以上关于操作604所述的任何氧化剂可用于操作610中。
在一些实施方案中,在操作604与操作608之间清扫处理室。在一些实施方案中,在操作608与610之间清扫处理室。在一些实施方案中,在操作610之后清扫处理室。清扫室可涉及使清扫气体(purge gas)或吹扫气体(sweep gas)流动,清扫气体或吹扫气体可为在其它操作中所使用的载气,或可为不同的气体。示例性清扫气体包括氩、氮、氢、及氦。在多种实施方案中,清扫气体为惰性气体。示例性惰性气体包括氩、氮及氦。在一些实施方案中,清扫可涉及抽空室。在一些实施方案中,吹扫可包括一或更多个抽空子阶段,以用于抽空处理室。替代地,应当理解,在一些实施方案中可省略清扫。清扫可实施任何合适的持续时间,例如在约0.1秒与约2秒之间。
在一些实施方案中,用第二数量的介电材料填充特征。在一些实施方案中,实施更多的操作直到填满特征。例如,在一些实施方案中,以循环的方式重复操作604、608及610。在一些实施方案中,在执行操作604之后,依顺序重复操作608及610。
在一些实施方案中,操作604包括,通过ALD而沉积第一数量的介电特征以及通过PECVD而沉积护盔,并且在沉积护盔之后执行操作608中的蚀刻。在一些实施方案中,护盔与用于填充特征的电介质是不同的材料。例如,在一些实施方案中,硅氧化物被沉积在特征中,但是在蚀刻之前硅氮化物被沉积作为护盔。在一些实施方案中,当待沉积至特征中的材料为硅氧化物时,使用硅氮化物作为护盔,这可用于在蚀刻期间实现硅氮化物牺牲护盔材料相对于硅氧化物材料的高蚀刻选择性,以防止沉积在特征中的材料的去除。此外,相对于使用硅氧化物作为护盔,使用硅氮化物护盔可容许对沉积较少的硅氮化物进行较长持续时间的蚀刻。例如,对于沉积厚度相同的硅氮化物护盔与硅氧化物护盔,硅氧化物护盔的蚀刻将比硅氮化物护盔更快。因此,使用较厚的硅氧化物护盔以达到用于打开使用较薄的硅氮化物材料的特征的特定蚀刻持续时间。
图7提供了根据某些所公开的实施方案所实施的示例性方法的示例性处理流程图。在图7中的示例性处理包括各种操作(例如,图6的操作604、608及610)的重复。
在操作701中,将具有侧壁形貌的特征的衬底提供至处理室。虽然本文中所述的示例涉及具有侧壁形貌的特征,但应当了解,这样的实施方案可应用于具有在多层堆叠件中的特征、具有正倾斜侧壁的特征、具有负倾斜侧壁的特征、及具有内凹轮廓的特征的衬底。操作701可对应于以上关于图6所述的操作602的实施方案。
在操作702a-1、702b-1、702c-1及702d-1中,可以将第一数量的介电材料沉积至特征中,在一些实施方案中,这样的操作可对应于以上关于图6所述的操作604的实施方案。在此特定示例中,操作702a-1到702d-1可构成一个ALD循环。在操作702a-1中,使衬底暴露于含硅前体(例如以上关于操作604所述的任何含硅前体),以使前体吸附至特征的表面上。在多种实施方案中,此操作为自限制的。在一些实施方案中,前体吸附至特征表面上的所有活性位置中的一部分。在操作702b-1中,任选地清扫处理室,以去除任何未吸附的含硅前体。在操作702c-1中,使衬底暴露于氧化剂并点燃等离子体,以在特征中形成第一硅氧化物层。在多种实施方案中,该层为沉积在特征中的第一数量的介电材料,如以上关于图6的操作604中所述。在多种实施方案中,操作702c-1将吸附的含硅前体层转换为硅氧化物。在操作702d-1中,任选地清扫处理室,以去除由含硅前体与氧化剂之间的反应所产生的副产物。根据需要,可任选地重复操作702a-1至702d-1二或更多个循环,以在特征中将硅氧化物沉积至期望厚度。
在操作708a中,从特征中部分地蚀刻第一数量的硅氧化物。在多种实施方案中,这对应于图6的操作608。可执行操作708a持续足以打开特征开口的持续时间。例如,在一些实施方案中,操作702a-1至702d-1的循环可沉积硅氧化物至特征中直到夹断(pinch-off),在其上执行操作708a以打开特征开口,从而允许后续的沉积。可使用以上关于操作608所述的任何蚀刻化学品。在一些实施方案中,点燃等离子体以促进蚀刻。应当理解,蚀刻化学品及等离子体条件取决于沉积在特征中的材料。例如,对于硅氧化物的沉积,操作708a可涉及,使三氟化氮流动并且以在约1000W与约5000W之间的功率点燃等离子体,以蚀刻硅氧化物。
在操作710中,使衬底暴露于含硅前体及氧化剂,以通过PECVD形成第二数量的硅氧化物。这可对应于上述的图6的操作610。在一些实施方案中,所使用的含硅前体与操作702a-1中相同。在一些实施方案中,所使用的含硅前体不同于在702a-1中所使用的含硅前体。含硅前体的选择取决于所用的氧化剂及所用的技术(ALD、PEALD、CVD、PECVD等)。氧化剂也可与在操作702c-1中所使用的氧化剂相同或不同。第二数量的硅氧化物可用于在衬底的场表面上沉积护盔。
在操作708b中,可从特征开口蚀刻硅氧化物。这可对应于如上所述的图6的操作608的重复操作。在一些实施方案中,在操作710中所沉积的护盔保护在硅氧化物下方的材料及特征轮廓,以使护盔被蚀刻,同时(相对于特征的内部)蚀刻特征开口。例如,特征开口的蚀刻可涉及蚀刻特征的深度的顶部5%或顶部10%。在一些实施方案中,由于特征的高深宽比,蚀刻物质可能不会到达特征的底部,因此,只有沉积在特征中的材料的顶部约50%被蚀刻。可通过使用在约1000W与约5000W之间的等离子体功率来调变这样的蚀刻,持续时间在2秒与约200秒之间。
操作702a-2至702d-2可构成ALD循环。这样的示例显示出,例如,图6的操作604的重复。操作702a-2可与操作702a-1相同或涉及相同的前体及条件,操作702b-2可与操作702b-1相同或涉及相同的清扫条件,操作702c-2可与操作702c-1相同或涉及相同的氧化剂和/或等离子体条件,操作702d-2可与操作702d-1相同或涉及相同的清扫条件。在操作702a-2期间,使衬底暴露于含硅前体,以使前体吸附至特征表面,特征表面包括在先前操作中经过沉积和/或蚀刻的硅氧化物。在一些实施方案中,可任选地重复操作702a-1、702b-1、702c-1、702d-1、708a、710、708b、702a-2、702b-2、702c-2及702d-2,直到填满特征为止。
图8A-8D显示根据某些所公开的实施方案的具有特征802a的衬底804a的示例,特征802a具有进行特征填充操作的由衬垫806a所限定的内凹特征以及特征底部812a。在图8B中,在衬底上沉积第一数量的硅氧化物808b以形成护盔。虽然以硅氧化物作为示例,但在一些实施方案中,护盔为硅氮化物或硅氮氧化物。由于内凹轮廓,所以形成空隙810b。此可对应于在图6的操作604中的沉积。在图8C中,回蚀衬底,如已蚀刻的硅氧化物808c中所示。这可对应于图6的操作608。在图8D中,衬底回蚀完成,且特征打开,如外露的空隙810d所示,且在回蚀期间牺牲护盔被消耗,而没有材料损失816d。相较于图3D,现在可利用后续的ALD操作来沉积硅氧化物以填充特征802d而没有空隙。
图9A-9D显示根据某些所公开的实施方案的具有进行特征填充操作的特征902a的衬底904a的示例,特征902a具有由具有残段916a以及特征底部912a的衬垫906a所限定的特征轮廓。在图9B中,将第一数量的硅氧化物908b沉积在衬底上以形成护盔,如以沉积在衬垫906b的场表面上的覆盖层所示的。由于侧壁形貌,在已沉积的硅氧化物的侧壁之间存在空间910b。这沉积可对应至在图6的操作604中的沉积。在图9C中,衬底被回蚀,如已蚀刻的硅氧化物908c中所示,产生平滑化的表面914c。这可对应于图6的操作608。在图9D中,衬底回蚀完成,特征打开,且如图所示在914d及916d处的侧壁皆被平滑化,牺牲护盔在回蚀期间被消耗,而没有材料损失916d。相较于图4D,现在可利用后续的ALD操作来沉积硅氧化物以填充特征902d而没有空隙。
图9E-9H显示根据某些所公开的实施方案的具有进行特征填充操作的特征902e的衬底904e的示例,特征902e具有由具有残段916e以及特征底部912e衬垫906e所限定的特征轮廓。在图9F中,将第一数量的硅氧化物908f沉积至特征902f中,并且将硅氮化物护盔999f沉积在衬底上,如以沉积在衬垫906f的场表面上的覆盖层所示的。该沉积可对应至在图6的操作604及607中的沉积。由于侧壁形貌,在已沉积的硅氧化物的侧壁之间存在空间910f。在图9G中,衬底被回蚀,如已蚀刻的硅氧化物908g所示,产生平滑化的表面914g。这可对应于图6的操作608。应当注意,硅氮化物具有对硅氧化物的蚀刻选择性,所以大部分的硅氧化物保留,且硅氮化物护盔可承受蚀刻操作更长的持续时间;然而,由于此处的蚀刻选择性不一定是无限大的,所以在蚀刻处理中可能去除一些硅氮化物。在图9H中,衬底回蚀完成,特征打开,且如图所示在914h及916h处的侧壁皆被平滑化,牺牲护盔具有相对于硅氧化物的蚀刻选择性,而没有材料损失916h。应当注意,在一些实施方案中,可能去除一些护盔999h,但不像(在相同的蚀刻条件下)硅氧化物那么多。相较于图4D,现在可利用后续的ALD操作来沉积硅氧化物以填充特征902h,而没有空隙。
图10A-10C显示根据某些所公开的实施方案的具有多层堆叠件的衬底的示例,多层堆叠件具有二种材料1004a及1014a,该衬底具有进行特征填充操作的特征1002a。将第一数量的硅氧化物1008a沉积在衬底上以形成护盔。这可对应于在图6的操作604中的沉积。在图10B中,衬底被回蚀,如已蚀刻的硅氧化物1008b中所示。这可对应于图6的操作608。相较于图5C,在图10C中,利用后续的ALD操作来沉积硅氧化物以填充特征1002c,而不损坏特征轮廓。
图11为根据图6的重复操作的示例的示例性脉冲的时序图,其使用PEALD及PECVD的组合以在沉积操作期间沉积硅氧化物。图11显示在示例性处理1100中的多个阶段的各种处理参数,例如载气或清扫气体流、含硅前体流、氧化剂流、等离子体功率及蚀刻剂流。线条表示所述流或等离子体功率何时打开及关闭。其它未显示在图11中的处理参数也可能与调变某些所公开的实施方案有关;这类参数包括,但不限于,前体、惰性气体、反应物及蚀刻剂气体的流率、衬底温度及处理室压力。
处理1100包括ALD循环1102-1、蚀刻阶段1108-1、ALD循环1110-1、PECVD暴露阶段1110-2、长回蚀阶段1108-2及ALD循环1102-2。虽然在图11中显示特定顺序的示例性操作,但是应当了解,这仅是示例,且ALD、PECVD及蚀刻的其它变型可使用于具有各种类型及各种材料的特征的衬底。此外,虽然图11显示用于沉积硅氧化物的硅前体及氧化剂流动,但应当了解,实施方案可适用于沉积任何期望的材料,例如本文其它处所述。
ALD循环1102-1包括含硅前体暴露阶段1102a、清扫阶段1102b、氧化剂暴露阶段1102c及清扫阶段1102d。在含硅前体暴露阶段1102a中,使作为载气的氩流动,含硅前体气体流打开,同时氧化剂及蚀刻剂流关闭,且等离子体关闭。在清扫阶段1102b中,氩气保持打开,而含硅气体、氧化剂气体及蚀刻剂气体流关闭,且等离子体关闭。在氧化剂暴露阶段1102c中,氧化剂及清扫气体流打开,同时等离子体打开,且含硅前体及蚀刻剂气体流关闭。在清扫阶段1102d中,氩气打开,同时含硅气体流、氧化剂气体流及蚀刻剂气体流关闭,且等离子体关闭。虽然描绘了一个ALD循环,但应当了解,在一些实施方案中可以实施多个循环。
蚀刻阶段1108-1包括一蚀刻阶段1108a,由此氩气及蚀刻剂气体流打开,且等离子体打开,同时含硅前体及氧化剂气体流关闭。
在清扫阶段1153a中,氩作为清扫气体且氩气流打开,同时含硅前体气体、氧化剂气体及蚀刻剂气体流关闭,且等离子体关闭。
在蚀刻阶段1108-1的蚀刻之后,实施ALD循环1110-1以沉积更多硅氧化物材料。ALD循环1110-1包括来自ALD循环1102-1的重复操作,以使ALD循环1110-1包括含硅前体暴露阶段1102a、清扫阶段1102b、氧化剂暴露阶段1102c及清扫阶段1102d。在含硅前体暴露阶段1102a中,使作为载气的氩流动,含硅前体气体流打开,同时氧化剂及蚀刻剂流关闭,且等离子体关闭。在清扫阶段1102b中,氩气保持打开,而含硅气体、氧化剂气体及蚀刻剂气体流关闭,且等离子体关闭。在氧化剂暴露阶段1102c中,氧化剂及清扫气体流打开,同时等离子体打开,且含硅前体及蚀刻剂气体流关闭。在清扫阶段1102d中,氩气打开,同时含硅气体流、氧化剂气体流及蚀刻剂气体流关闭,且等离子体关闭。虽然描绘了一个ALD循环,但应当了解,在一些实施方案中可以实施多个循环。
在该示例中,在蚀刻阶段1108-1的蚀刻之后,实施ALD循环1110-1及PECVD暴露1110-2的组合,以沉积第二数量的硅氧化物。在PECVD暴露阶段1110-2期间,仅描绘PECVD暴露1110的一操作,由此使氩流动,使含硅前体气体流动,使氧化剂气体流动,并且点燃等离子体以沉积硅氧化物。应当了解,含硅前体可与在ALD循环1102-1及1110-1中所使用的含硅前体相同或不同。PECVD暴露1110-2可对应至在衬底的场表面上形成护盔。
在长回蚀阶段1108-2中,描绘较长的蚀刻持续时间1108b,由此使氩流动,使蚀刻气体流动,并且点燃等离子体以促进蚀刻,同时关闭含硅前体及氧化剂气体流。这可对应于在衬底上形成牺牲护盔之后通过蚀刻打开特征开口。
清扫阶段1153b涉及使氩气流动,同时使含硅气体、氧化剂气体及蚀刻剂气体流关闭,且等离子体关闭。ALD循环1102-2包括来自ALD循环1102-1的重复操作,以使ALD循环1102-2包括含硅前体暴露阶段1102a、清扫阶段1102b、氧化剂暴露阶段1102c及清扫阶段1102d。在含硅前体暴露阶段1102a中,使作为载气的氩流动,使含硅前体气体流打开,同时使氧化剂及蚀刻剂流动关闭,且等离子体关闭。在清扫阶段1102b中,氩气保持打开,而含硅气体、氧化剂气体及蚀刻剂气体流关闭,且等离子体关闭。在氧化剂暴露阶段1102c中,氧化剂及清扫气体流打开,同时等离子体打开,含硅前体及蚀刻剂气体流关闭。在清扫阶段1102d中,氩气打开,同时含硅气体流、氧化剂气体流及蚀刻剂气体流关闭,且等离子体关闭。虽然描绘了一个ALD循环,但应当了解,在一些实施方案中可以实施多个循环。
设备
图12绘出了具有用于保持低压环境的处理室主体1202的原子层沉积(ALD)处理站1200的一个实施方案的示意图。这样的站可用于实施某些所公开的实施方案,包括通过ALD、PEALD、CVD、PECVD的沉积,还有回蚀操作。多个ALD处理站1200可以包含在共同的低压处理工具环境中。例如,图13绘出了多站式处理工具1300的一个实施方案。在一些实施方案中,ALD处理站1200的一个或一个以上的硬件参数(包含下文详细讨论的那些)可以由一个或一个以上的计算机控制器1250以编程方式调节。
ALD处理站1200与反应物输送系统1201a流体连通,以将处理气体输送至分配喷头1206。反应物输送系统1201a包含混合容器1204,混合容器1204用于混合和/或调节输送至喷头1206的处理气体,如含硅前体气体、或氧化剂气体(例如,氧或一氧化二氮)、惰性气体、蚀刻剂气体(例如,三氟化氮)。一个或一个以上的混合容器入口阀1220可以对处理气体导入至混合容器1204进行控制。三氟化氮和/或氧化剂等离子体也可被输送至喷头1206、或可在ALD处理站1200中产生。
举例而言,图12的实施方案包含汽化点1203,用于汽化将供应至混合容器1204的液体反应物。在一些实施方案中,汽化点1203可以是加热的蒸发器。从这样的蒸发器产生的饱和的反应物蒸气会在下游输送管道凝结。不兼容气体暴露于凝结的反应物会产生小颗粒。这些小颗粒可能阻塞管道、阻碍阀操作、污染衬底等。处理这些问题的一些方法涉及清扫和/或排空输送管道以去除残留反应物。然而,清扫输送管道会增加处理站循环时间、降低处理站吞吐量。因此,在一些实施方案中,汽化点1203下游的输送管道可以被热追踪。在一些示例中,混合容器1204也可以被热追踪。在一个非限制性示例中,汽化点1203下游的管道具有增大的温度分布,在混合容器1204处从约100℃延伸至约150℃。
在一些实施方案中,液体前体或者液体反应物可以在液体喷射器处汽化。例如,液体喷射器可以将液体反应物的脉冲喷射到混合容器上游的载气流中。在一个实施方案中,液体喷射器可以通过将液体从较高压闪变到较低压来汽化反应物。在另一个示例中,液体喷射器可以将液体雾化为接下来在加热的输送管中汽化的分散的微滴。较小的液滴比较大的液滴可以较快汽化,从而减小了在液体注入和完成汽化之间的延迟。较快的汽化可以减小汽化点1203下游的管道长度。在一个方案中,液体喷射器可以直接装载到混合容器1204。在另一个方案中,液体喷射器可以直接装载到喷头1206。
在一些实施方案中,可以在汽化点1203上游设置液体流控制器(LFC)来控制用于汽化并输送至处理站1200的液体的质量流量。例如,LFC可以包含位于LFC下游的热质量流量计(MFM)。然后可以响应于由与MFM电通信的比例积分微分(PID)控制器提供的反馈控制信号,来调节LFC的柱塞阀。然而,其可以采取一秒或一秒以上来使用反馈控制以稳定液体流。这可以延长投配液体反应物的时间。因此,在一些实施方案中,LFC可以在反馈控制模式和直接控制模式之间动态切换。在一些实施方案中,这可以通过禁用PID控制器和LFC的感测管道来执行。
喷头1206朝衬底1212分配处理气体。在图12所示的实施方案中,衬底1212位于喷头1206下方,并且示出为安置在基座1208上。喷头1206可以具有任何适当的形状,并可以具有任何适当数量和布置的端口,以将处理气体分配至衬底1212。
在一些实施方案中,基座1208可以升高或降低以将衬底1212暴露到衬底1212和喷头1206之间的体积。应理解的是,在一些实施方案中,基座高度可以经由合适的计算机控制器1250通过编程方式进行调节。在一些实施方案中,基座被供电并且可以施加功率以对基座产生偏置。
在另一种情况下,在等离子体被点燃的实施方案中,调节基座1208的高度可以使得等离子体密度在工艺中的等离子体活化循环期间内改变。在处理阶段结束时,基座1208可以在另一衬底传送阶段被降低以使得衬底1212能从基座1208移走。
在一些实施方案中,基座1208可透过加热器1210控制温度。在一些实施方案中,可将基座1208加热至介于约50℃与约650℃之间的温度。在一些实施方案中,可将基座设定在约50℃与约500℃之间的温度,例如在约200℃与约275℃之间的温度。在一些实施方案中,可将基座设定在约50℃与约300℃之间的温度。在一些实施方案中,可将基座设定在约200℃与约275℃之间的温度。
此外,在一些实施方案中,对于处理站1200的压力控制可以由蝶形阀1218提供。如在图12的实施方案中所示,蝶形阀1218对由下游真空泵(未示出)提供的真空进行节流。然而,在一些实施方案中,对处理站1200的压力控制还可以通过改变引入至处理站1200的一种或多种气体的流率来调节。
在一些实施方案中,喷头1206的位置可以相对于基座1208调节以改变衬底1212和喷头1206之间的体积。此外,应当理解的是,基座1208和/或喷头1206的垂直位置可以通过本公开内容的范围内的任何合适的机构来改变。在一些实施方案中,基座1208可包含用于旋转衬底1212的方位的旋转轴线。应该理解的是,在一些实施方案中,这些示例性调节中的一种或多种可以通过一个或多个适当的计算机控制器1250以编程方式执行。
在如上所述可以使用等离子体的一些实施方案中,喷头1206和基座1208电连接射频(RF)功率源1214和匹配网络1216来对等离子体提供功率。在一些实施方案中,等离子体的能量可通过控制处理站的压强、气体的浓度、RF源功率、RF源频率以及等离子体功率脉冲时序中的一个或多个来控制。例如,RF功率源1214和匹配网络1216可在任何合适的功率下进行操作,以形成具有所期望的自由基物质的组分的等离子体。合适的功率的示例包含在上文中。同样,RF功率源1214可以提供任何适当频率的RF功率。在一些实施方案中,RF功率源1214可以被配置为控制彼此独立的高频RF功率源和低频RF功率源。示例性的低频RF频率可以包含,但不限于,介于0kHz和500kHz之间的频率。示例性的高频RF频率可以包含,但不限于,介于1.8MHz和2.45GHz之间的频率,或大于约13.56MHz、或大于27MHz、或大于40MHz、或大于60MHz的频率。应当理解,任何合适的参数可被离散地或连续地调节以提供用于表面反应的等离子体能量。根据站是否正在实施沉积或蚀刻,可改变等离子体功率。例如,示例性蚀刻等离子体功率包括1000W至5000W。
在一些实施方案中,等离子体可由一个或多个等离子体监控器原位监控。在一种情形中,等离子体功率可通过一个或多个电压、电流传感器(例如,VI探针)进行监控。在另一种情况下,等离子体密度和/或处理气体的浓度可以由一个或多个光发射光谱传感器(OES)来测量。在一些实施方案中,一个或多个等离子体参数可基于来自这样的原位等离子体监控器的测量结果通过编程方式进行调节。例如,OES传感器可用于反馈回路中以提供对等离子体功率的编程式控制。应理解的是,在一些实施方案中,可使用其它监控器来监控等离子体和其它工艺特性。这样的监控器可包含,但不限于,红外(IR)监控器、声学监控器、以及压力传感器。
在一些实施方案中,可以经由输入/输出控制(IOC)测序指令来提供用于控制器1250的指令。在一个示例中,用于设置工艺阶段的条件的指令可被包含在工艺配方的相应的配方阶段中。在某些情况下,工艺配方阶段可按顺序排列,使得用于工艺阶段的所有指令与该工艺阶段同时执行。在一些实施方案中,用于设定一个或多个反应器参数的指令可以被包含在配方阶段中。例如,第一配方阶段可以包含:用于设定惰性气体和/或反应物气体(例如第一前体,例如含硅前体)的流率的指令、用于设定载气(例如氩气)的流率的指令、以及用于第一配方阶段的时间延迟指令。接下来的第二配方阶段可以包含用于调节或者停止惰性气体和/或反应物气体的流率的指令、用于调节载气或者清扫气体的流率的指令、以及用于第二配方阶段的时间延迟指令。第三配方阶段可以包含用于调节第二反应物气体(例如氧气)的流率的指令、用于调节载气或者清扫气体的流率的指令、以及用于第三配方阶段的时间延迟指令。随后的第四配方阶段可以包含用于调节或停止蚀刻剂气体的流率的指令、以及用于调节载气或者清扫气体的流率的指令、以及用于第四配方阶段的时间延迟指令。第五配方阶段可包含用于分别调节用于沉积硅氮化物或氧化物护盔的含硅气体和含氮或含氧气体的流率的指令、用于调节载气或清扫气体的流率的指令、以及用于第五配方阶段的时间延迟指令。应该理解的是,在本公开的范围内,这些配方阶段可以进一步细分和/或以任何适当的方式重复。在一些实施方案中,控制器1250可以包括下面关于图13的系统控制器1350所描述的任何特征。
如上所述,一个或一个以上的处理站可以包含在多站处理工具中。图13示出了多站式处理工具1300的一个实施方案的概要视图,所述处理工具1300具有入站装载锁1302和出站装载锁1304,两者之一或者该两者可以包含远程等离子体源。处于大气压的机械手1306被配置为将晶片从通过晶舟1308装载的盒经由大气端口1310移动至入站装载锁1302内。晶片由机械手1306放置在入站装载锁1302中的基座1312上,关闭大气端口1310,且抽空装载锁。当入站装载锁1302包含远程等离子体源时,晶片在被引入处理室1314之前,可以暴露于装载锁中的远程等离子体处理。此外,晶片另外也可以在入站装载锁1302中加热,例如以移除湿气和吸附的气体。接下来,通向处理室1314的室传输端口1316被打开,且另一个机械手(未示出)将晶片放置到在反应器中被示出的第一站的基座上的反应器中以用于处理。尽管在图13中绘出的实施方案包含装载锁,但应该理解的是,在一些实施方案中,可以使晶片直接进入处理站。
绘出的处理室1314包含4个处理站,在图13所示的实施方案中编号为1至4。每个站具有加热的基座(对于站1示出为1318)和气体管线入口。应该理解的是,在一些实施方案中,每个处理站可以具有不同或者多个用途。例如,在一些实施方案中,处理站可以是可在ALD与等离子体增强的ALD处理模式之间切换的。附加地或替代地,在一些实施方案中,处理室1314可以包含一个或多个ALD和等离子体增强的ALD处理站的匹配对。尽管绘出的处理室1314包含4个站,但要理解的是,根据本公开所述的处理室可以具有任何适当数量的站。例如,在一些实施方案中,处理室可以具有5个或5个以上的站,而在其它实施方案中,处理室可以具有3个或者更少的站。
图13绘出了用于在处理室1314内传输晶片的晶片搬运系统1390的一个实施方案。在一些实施方案中,晶片搬运系统1390可以在各种处理站之间和/或处理站与装载锁之间传输晶片。应该理解的是,可以采用任何适当的晶片搬运系统。非限制性示例包含晶片转盘和搬运晶片的机械手。图13还绘出了采用来控制处理工具1300的工艺条件和硬件状态的系统控制器1350的一个实施方案。系统控制器1350可以包含一个或多个存储器装置1356、一个或多个海量存储装置1354和一个或多个处理器1352。处理器1352可以包含计算机或者CPU、模拟和/或数字输入/输出连接、步进马达控制器板等。
在一些实施方案中,系统控制器1350控制处理工具1300的所有活动。系统控制器1350执行存储在海量存储装置1354、载入存储器装置1356、并由处理器1352执行的系统控制软件1358。可替代地,控制逻辑可以在控制器1350中硬编码。特定应用集成电路、可编程逻辑装置(例如,现场可编程栅极阵列、或者FPGA)等可以用于这些目的。在下面的讨论中,无论使用“软件”还是“代码”,可以使用功能上相当的硬编码的逻辑来取代。系统控制软件1358可以包含用于控制时序、气体的混合、气体流率、室和/或站压强、室和/或站温度、晶片温度、目标功率电平、RF功率电平、衬底基座、卡盘和/或基座位置、以及由处理工具1300执行的特定处理的其它参数的指令。系统控制软件1358可以以任何适当的方式配置。例如,各种处理工具组件子程序或者控制对象可以写入以控制用于执行各种处理工具处理的处理工具组件的操作。系统控制软件1358可以以任何适当的计算机可读编程语言来编码。
在一些实施方案中,系统控制软件1358可以包含用于控制上述各种参数的输入/输出控制(IOC)测序指令。在一些实施方案中可以采用与系统控制器1350关联的、存储在海量存储装置1354和/或存储器装置1356的其它计算机软件和/或程序。用于该目的的程序或者程序段的示例包含衬底定位程序、处理气体控制程序、压力控制程序、加热器控制程序、以及等离子体控制程序。
衬底定位程序可以包含用于处理工具组件的程序代码,该处理工具组件用于将衬底装载到基座1318,并控制衬底和处理工具1300的其它部分之间的间隔。
处理气体控制程序可包含用于控制气体组成(例如,如本文所述的含硅气体、氧化剂气体、蚀刻剂气体、载气以及清扫气体)和流率的代码和任选地用于使气体在沉积之前流到一个或多个处理站中以稳定在处理站中的压强的代码。压强控制程序可以包含用于通过调节例如在处理站的排放系统中的节流阀、流入处理站内的气流等等来控制处理站内的压强的代码。
加热器控制程序可包含用于控制流向用于加热衬底的加热单元的电流的代码。可替代地,加热器控制程序可控制传热气体(如氦气)朝向衬底的传送。
等离子体控制程序可包含用于根据本文的实施方案设置施加到一个或多个处理站内的处理电极的RF功率电平的代码。
压强控制程序可以包含用于根据本文的实施方案保持反应室内的压强的代码。
在一些实施方案中,可以存在与系统控制器1350相关联的用户界面。用户界面可以包含显示屏、设备和/或工艺条件的图形软件显示器、以及诸如定点装置、键盘、触摸屏、麦克风等用户输入装置。
在一些实施方案中,由系统控制器1350调节的参数会涉及工艺条件。非限制性实例包含处理气体组成和流率、温度、压强、等离子体条件(例如,RF偏置功率电平)等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
用于监控处理的信号可以由系统控制器1350的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具1300的模拟和/或数字输出连接件输出。可被监控的处理工具传感器的非限制性实例包含质量流量控制器、压力传感器(例如压力计)、热电偶等等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持工艺条件。
系统控制器1350可以提供用于执行上述沉积处理的程序指令。所述程序指令可以控制多种处理参数,如DC功率电平、RF偏置功率电平、压强、温度等。所述指令可以控制这些参数以根据本发明所描述的多种实施方案操作膜叠层的原位沉积。
系统控制器1350将通常包含一个或多个存储器装置和被配置成执行指令的一个或多个处理器以使该设备将执行根据所公开的实施方案所述的方法。包含用于控制根据所公开的实施方案的处理操作的指令的机器可读的介质可以耦合到系统控制器1350。
在一些实现方式中,系统控制器1350是系统的一部分,该系统可以是上述示例的一部分。这种系统可以包含半导体处理装置,该半导体处理装置包含一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,系统控制器1350可以被编程以控制本文公开的任何工艺,包含控制处理气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置及操作设置、晶片转移进出工具和其它转移工具和/或与具体系统连接或通过接口连接的装载锁。
广义而言,系统控制器1350可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包含存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传送到系统控制器1350的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的用于在制备晶片的一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,系统控制器1350可以是与系统集成、耦合或者说是通过网络连接系统、或它们的组合的计算机的一部分或者与该计算机耦合。例如,系统控制器1350可以在“云端”或者是fab主机系统的全部或一部分,从而可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监控制造操作的当前进程、检查过去的制造操作的历史、检查多个制造操作的趋势或性能标准,以改变当前处理的参数、设置处理步骤以跟随当前的处理或者开始新的工艺。在一些示例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包含本地网络或互联网。远程计算机可以包含允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后被从远程计算机传送到系统。在一些示例中,系统控制器1350接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,系统控制器1350被配置成连接或控制该工具类型。因此,如上所述,系统控制器1350可以例如通过包含一个或多个分立的控制器而为分布式,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的一个示例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
示例的系统可以包含但不限于等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,系统控制器1350可以与一个或多个其它的工具电路或模块、其它工具组件、组合工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
用于执行本文公开的方法的适当设备在2011年4月11日提交的名称为“等离子体激活的共形膜沉积(PLASMA ACTIVATED CONFORMAL FILM DEPOSITION)”的美国专利申请No.13/084,399(现在的美国专利No.8,728,956);2011年4月11日提交的名称为“氮化硅膜和方法(SILICON NITRIDE FILMS AND METHODS)”的美国专利申请No.13/084,305中进一步讨论并说明,这些专利中的每个整体并入本文。
本文所述的设备/工艺可以与光刻图案化工具或工艺结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必要地,这些工具/工艺将在共同的制造设施中一起使用或操作。膜的光刻图案化通常包含以下操作中的一些或所有,每个操作启用多个可行的工具:(1)使用旋涂或喷涂工具在工件(即,衬底)上施加光致抗蚀剂;(2)使用热板或加热炉或UV固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或紫外线或x-射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式工作台之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转印到下方的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
实验
实验1
在具有15:1特征的衬底上进行实验,该特征具有5微米的深度,具有凹陷的钨和硅氧化物残段以及在特征顶部处的特征开口附近的内凹。在这样的衬底上执行硅氧化物的传统原子层沉积,此导致空隙,在所述空隙处,在侧壁上的氧化物残段聚集在一起。在特征顶部处的内凹也导致顶部空隙。使另一个类似的衬底暴露于300个ALD循环、使用NF3的回蚀、多个ALD循环、使用PECVD持续60秒的护盔沉积、长时间回蚀及ALD沉积以填充特征。衬底没有产生空隙,且没有从特征开口处的转角移除材料。这些结果显示,某些所公开的沉积-蚀刻-沉积操作在用于在具有侧壁形貌的高深宽比特征的介电材料的无空隙间隙填充时的可行性。
实验2
在具有深度为160nm的凹陷特征的衬底上进行实验,其中凹陷特征的表面是硅氮化物,在特征顶部处的特征开口是25nm,且侧壁在深度方向上朝特征底部变窄。使用40个ALD的循环将硅氧化物沉积至特征中,该ALD使用氨基硅烷前体及N2O/O2的等离子体。在ALD之后,通过PECVD以沉积硅氧化物护盔至
Figure BDA0002487631660000371
的厚度。在护盔沉积之后,使用NF3蚀刻衬底持续32秒的持续时间。护盔在蚀刻期间完全消耗。实施后续的ALD以完成特征的填充。特征被充满,且没有或很少空隙形成。
在具有深度为160nm的凹陷特征的衬底上进行实验,其中凹陷特征的表面是硅氮化物,在特征顶部处的特征开口是25nm,且侧壁在深度方向上朝特征底部变窄。使用40个ALD的循环将硅氧化物沉积至特征中,该ALD使用氨基硅烷前体及N2O/O2的等离子体。在ALD之后,通过PECVD以沉积硅氮化物护盔至
Figure BDA0002487631660000372
的厚度,该PECVD使用氨基硅烷前体及氮等离子体。在护盔沉积之后,使用NF3蚀刻衬底持续32秒的持续时间。在蚀刻之后,超过50%的护盔仍然存在。实施后续的ALD以完成特征的填充。硅氮化物护盔对通过ALD所沉积的硅氧化物的蚀刻选择性允许较长的蚀刻持续时间,以打开特征。
在具有深度为160nm的凹陷特征的衬底上进行实验,其中凹陷特征的表面是硅氮化物,在特征顶部处的特征开口是25nm,且侧壁在深度方向上朝特征底部变窄。使用40个ALD的循环将硅氧化物沉积至特征中,该ALD使用氨基硅烷前体及N2O/O2的等离子体。在ALD之后,通过PECVD以沉积硅氮化物护盔至
Figure BDA0002487631660000373
的厚度,该PECVD使用氨基硅烷前体及氮等离子体。在护盔沉积之后,使用NF3蚀刻衬底持续32秒的持续时间。护盔在蚀刻期间完全消耗。实施后续的ALD以完成特征的填充。由于硅氮化物护盔对通过ALD所沉积的硅氧化物的蚀刻选择性,能够使用较薄的护盔,且仍然达成无空隙的特征填充。
结论
尽管上述实施方案已为了清楚理解的目的而详细地加以描述,但显然,在所附权利要求的范围中,可实行某些变更及修改。应当注意,有许多替代的方式来实施本发明的实施方案的处理、系统及设备。因此,本发明的实施方案应被视为是用于说明的而不是限制性的,且本发明的实施方案不应受限于本文中所提出的细节。

Claims (44)

1.一种填充衬底上的特征的方法,所述方法包括:
提供所述衬底至处理室,所述衬底包括所述特征,所述特征包括特征开口和侧壁形貌,所述侧壁形貌包括在所述特征的所述侧壁上的多个残段;
使用含硅前体和氧化剂以沉积第一数量的硅氧化物持续不足以填满所述特征的持续时间;
使所述第一数量的所述硅氧化物暴露于蚀刻剂,以蚀刻所述第一数量的所述硅氧化物中的至少一些;以及
在蚀刻所述第一数量的所述硅氧化物之后,在经蚀刻的所述第一数量的所述硅氧化物上沉积第二数量的所述硅氧化物。
2.根据权利要求1所述的方法,其中所述残段在垂直于所述侧壁的平面上具有介于
Figure FDA0002487631650000011
与约
Figure FDA0002487631650000012
之间的尺寸。
3.根据权利要求1所述的方法,其中不足以沉积所述第一数量的硅氧化物至所述特征中的所述持续时间在所述衬底的场表面上形成硅氧化物的覆盖层。
4.根据权利要求3所述的方法,其中使所述第一数量的所述硅氧化物暴露于所述蚀刻剂包括:相对于所述特征的内侧,蚀刻在所述特征开口处或附近的所述第一数量的所述硅氧化物中的所述至少一些。
5.根据权利要求1所述的方法,其中所述第二数量的所述硅氧化物通过等离子体增强化学气相沉积来沉积。
6.根据权利要求5所述的方法,其还包括:在沉积所述第二数量的所述硅氧化物之后,使所述第二数量的所述硅氧化物暴露于所述蚀刻剂持续比使所述第一数量的所述硅氧化物暴露于所述蚀刻剂所使用的所述持续时间长的持续时间。
7.根据权利要求1所述的方法,其中所述特征的所述侧壁包括在堆叠件中分层的二或更多种材料。
8.根据权利要求1所述的方法,其中所述沉积所述第一数量的所述硅氧化物以及所述使所述第一数量的所述硅氧化物暴露于所述蚀刻剂在没有破坏真空的情况下执行。
9.根据权利要求1所述的方法,其中所述使所述第一数量的所述硅氧化物暴露于所述蚀刻剂以及所述沉积所述第二数量的所述硅氧化物在没有破坏真空的情况下执行。
10.根据权利要求1-9中任一项所述的方法,其中所述第一数量的所述硅氧化物通过一或更多个原子层沉积循环而沉积,每一原子层沉积循环包括所述氧化剂和所述含硅前体的交替脉冲。
11.根据权利要求10所述的方法,其中在所述氧化剂的脉冲期间,点燃等离子体。
12.根据权利要求1-9中任一项所述的方法,其中所述蚀刻剂选自于由三氟化氮、氟仿(CHF3)、八氟环丁烷(C4F8)、四氟甲烷(CF4)及其组合所组成的群组。
13.根据权利要求1-9中任一项所述的方法,其中所述特征具有至少5微米的深度。
14.根据权利要求1-9中任一项所述的方法,其中所述特征具有至少15:1的深宽比。
15.一种填充衬底上的特征的方法,所述方法包括:
提供所述衬底至处理室,所述衬底包括所述特征,所述特征包括特征开口和侧壁形貌,所述侧壁形貌包括在所述特征的所述侧壁上的多个残段;
使用含硅前体和氧化剂以沉积第一数量的硅氧化物持续不足以填满所述特征的持续时间;
在沉积所述第一数量的硅氧化物之后且在使所述第一数量的硅氧化物暴露于蚀刻剂之前,沉积牺牲护盔,所述牺牲护盔形成在所述衬底的场表面上的覆盖层;
使所述衬底暴露于所述蚀刻剂,以蚀刻所述第一数量的所述硅氧化物中的至少一些;以及
在蚀刻所述第一数量的所述硅氧化物之后,沉积第二数量的所述氧化物在经蚀刻的所述第一数量的所述硅氧化物上,以至少部分填满所述特征。
16.根据权利要求15所述的方法,其中所述牺牲护盔通过等离子体增强化学气相沉积而沉积。
17.根据权利要求15和16中任一项所述的方法,其中所述牺牲护盔包含硅氮化物。
18.根据权利要求15和16中任一项所述的方法,其中所述牺牲护盔包含硅氧化物。
19.一种填充衬底上的特征的方法,所述方法包括:
提供所述衬底至处理室,所述衬底包括所述特征,所述特征包括特征开口和侧壁,所述侧壁具有一或更多个内凹表面;
沉积第一数量的材料持续不足以填满所述特征的持续时间;
使所述第一数量的所述材料暴露于蚀刻剂,以蚀刻在所述特征中的所述第一数量的所述材料中的至少一些;以及
在蚀刻所述第一数量的所述材料之后,在经蚀刻的所述第一数量的所述材料上沉积第二数量的所述材料,
其中所述材料选自于由硅碳化物、硅氮化物、硅、钨、钌、铜、钴和钼所组成的群组。
20.根据权利要求19所述的方法,其中足以沉积所述第一数量的所述材料至所述特征中的所述持续时间在所述衬底的场表面上形成所述材料的覆盖层。
21.根据权利要求20所述的方法,其中使所述第一数量的所述材料暴露于所述蚀刻剂包括:相对于所述特征的内侧,蚀刻在所述特征开口处或附近的所述第一数量的所述材料中的所述至少一些。
22.根据权利要求21所述的方法,其中所述第二数量的所述材料通过等离子体增强化学气相沉积而沉积。
23.根据权利要求22所述的方法,其还包括:在沉积所述第二数量的所述材料之后,使所述第二数量的所述材料暴露于所述蚀刻剂持续比使所述第一数量的所述材料暴露于所述蚀刻剂所使用的所述持续时间长的持续时间。
24.根据权利要求19-23中任一项所述的方法,其中所述特征的所述侧壁包括在堆叠件中分层的二或更多种材料。
25.根据权利要求19-23中任一项所述的方法,其中所述沉积所述第一数量的所述材料以及所述使所述第一数量的所述材料暴露于所述蚀刻剂在没有破坏真空的情况下执行。
26.根据权利要求19-23中任一项所述的方法,其中所述使所述第一数量的所述材料暴露于所述蚀刻剂以及所述沉积所述第二数量的所述材料在没有破坏真空的情况下执行。
27.一种填充衬底上的特征的方法,所述方法包括:
提供所述衬底至处理室,所述衬底包括所述特征,所述特征包括特征开口和侧壁形貌,所述侧壁形貌包括在所述特征的所述侧壁上的多个残段;
沉积第一数量的第一材料持续不足以填满所述特征的持续时间;
在沉积所述第一数量的第一材料之后且在使所述第一数量的所述第一材料暴露于蚀刻剂之前,沉积牺牲护盔,所述牺牲护盔形成在所述衬底的场表面上的覆盖层,所述牺牲护盔包括第二材料;
使所述衬底暴露于所述蚀刻剂,以蚀刻所述第一数量的所述第一材料中的至少一些;以及
在蚀刻所述第一数量的所述第一材料之后,在经蚀刻的所述第一数量的所述第一材料上沉积第二数量的所述材料,以至少部分填满所述特征。
28.根据权利要求27所述的方法,其中所述第一材料不同于所述第二材料。
29.根据权利要求27所述的方法,其中所述第一材料在组成上与所述第二材料相同。
30.一种用于处理半导体衬底的设备,所述设备包括:
(a)至少一个处理室,所述至少一个处理室包括用以保持所述半导体衬底的基座;
(b)至少一个出口,其用于耦合至真空;
(c)一或更多个处理气体入口,其耦合至一或更多处理气体源;以及
(d)用于控制在所述设备中的多个操作的控制器,其包括多个机器可读指令,所述机器可读指令用于:
(i)致使引入含硅前体和氧化剂,以在所述半导体衬底上沉积第一数量的硅氧化物持续不足以填满在所述半导体衬底上的特征的持续时间,所述特征具有特征开口和侧壁形貌,所述侧壁形貌具有在所述特征的所述侧壁上的多个残段;
(ii)致使引入蚀刻剂至所述至少一个处理室持续用以蚀刻所述第一数量的所述硅氧化物其中至少一些的持续时间;及
(iii)在致使引入所述蚀刻剂至所述至少一个处理室之后,致使引入所述含硅前体和所述氧化剂,以在经蚀刻的所述第一数量的所述硅氧化物上沉积第二数量的硅氧化物。
31.根据权利要求30所述的设备,其中所述控制器还包括:用于使(iii)的所述持续时间长于(i)的所述持续时间的机器可读指令。
32.根据权利要求30所述的设备,其中所述控制器还包括:用于使(i)与(ii)执行而没有破坏真空的机器可读指令。
33.根据权利要求30所述的设备,其还包括:等离子体产生器,其用于产生等离子体。
34.根据权利要求30所述的设备,其中所述控制器还包括:用于在引入所述氧化剂时致使等离子体产生的指令。
35.一种用于处理半导体衬底的设备,所述设备包括:
(a)至少一个处理室,所述至少一个处理室包括用以保持所述半导体衬底的基座;
(b)至少一个出口,其用于耦合至真空;
(c)一或更多个处理气体入口,其耦合至一或更多处理气体源;以及
(d)用于控制在所述设备中的多个操作的控制器,其包括多个机器可读指令,所述机器可读指令用于:
(i)致使引入沉积前体和反应物以用于沉积第一数量的材料持续不足以填满在所述半导体衬底上的特征的持续时间;
(ii)致使引入蚀刻剂,以蚀刻在所述特征中的所述第一数量的所述材料中的至少一些;以及
(iii)在致使引入所述蚀刻剂之后,致使引入所述沉积前体和所述反应物,以在经蚀刻的所述第一数量的所述材料上沉积第二数量的所述材料,
其中所述材料是硅碳化物、硅氮化物、硅、钨、钌、铜、钴和钼中的任一者。
36.根据权利要求35所述的设备,其中所述控制器还包括:用于使(iii)的所述持续时间长于(i)的所述持续时间的指令。
37.根据权利要求35所述的设备,其中所述控制器还包括:用于使(i)与(ii)执行而没有破坏真空的机器可读指令。
38.根据权利要求35所述的设备,其还包括:等离子体产生器,其用于产生等离子体。
39.根据权利要求35所述的设备,其中所述控制器还包括:用于在引入所述反应物时致使等离子体产生的指令。
40.一种用于处理半导体衬底的设备,所述设备包括:
(a)至少一个处理室,所述至少一个处理室包括用以保持所述半导体衬底的基座;
(b)至少一个出口,其用于耦合至真空;
(c)一或更多个处理气体入口,其耦合至一或更多处理气体源;以及
(d)用于控制在所述设备中的多个操作的控制器,其包括多个机器可读指令,所述机器可读指令用于:
(i)致使引入含硅前体和氧化剂,以在所述半导体衬底上沉积第一数量的硅氧化物持续不足以填满在所述半导体衬底上的特征的持续时间,所述特征具有特征开口和侧壁形貌,所述侧壁形貌具有在所述特征的所述侧壁上的多个残段;
(ii)致使引入用于沉积牺牲护盔的一或更多种处理气体,所述牺牲护盔形成在所述半导体衬底的场表面上的覆盖层;
(iii)致使引入蚀刻剂至所述至少一个处理室持续用以蚀刻所述第一数量的所述硅氧化物其中至少一些的持续时间;以及
(iv)在致使引入所述蚀刻剂至所述至少一个处理室之后,致使引入所述含硅前体和所述氧化剂,以在经蚀刻的所述第一数量的所述硅氧化物上沉积第二数量的硅氧化物。
41.根据权利要求40所述的设备,其中所述控制器包括:用于致使在(ii)期间传送第二含硅前体和含氮反应物以沉积所述牺牲护盔的指令,所述牺牲护盔包含硅氮化物。
42.一种用于处理半导体衬底的设备,所述设备包括:
(a)至少一个处理室,所述至少一个处理室包括用以保持所述半导体衬底的基座;
(b)至少一个出口,其用于耦合至真空;
(c)一或更多个处理气体入口,其耦合至一或更多处理气体源;以及
(d)用于控制在所述设备中的多个操作的控制器,其包括多个机器可读指令,所述机器可读指令用于:
(i)致使引入用于沉积第一材料的第一组沉积前体,以在所述半导体衬底上沉积第一数量的所述第一材料持续不足以填满在所述半导体衬底上的特征的持续时间;
(ii)致使引入用于沉积牺牲护盔的一或更多种处理气体,所述牺牲护盔形成在所述半导体衬底的场表面上的覆盖层,所述牺牲护盔包括第二材料;
(iii)致使引入蚀刻剂至所述至少一个处理室持续用以蚀刻所述第一数量的所述第一材料中的至少一些的持续时间;以及
(iv)在致使引入所述蚀刻剂至所述至少一个处理室之后,致使引入所述第一组沉积前体,以在经蚀刻的所述第一数量的所述第一材料上沉积第二数量的所述第一材料。
43.根据权利要求42所述的设备,其中所述第一材料不同于所述第二材料。
44.根据权利要求42所述的设备,其中所述第一材料在组成上与所述第二材料相同。
CN201880073124.9A 2017-09-13 2018-09-07 使用牺牲蚀刻盖层的高深宽比特征的介电间隙填充 Active CN111344857B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/703,917 2017-09-13
US15/703,917 US10269559B2 (en) 2017-09-13 2017-09-13 Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
PCT/US2018/050049 WO2019055317A1 (en) 2017-09-13 2018-09-07 DIELECTRIC FILLING OF HIGH ASPECT RATIO ELEMENTS USING A SACRIFICIAL ETCH PROTECTION LAYER

Publications (2)

Publication Number Publication Date
CN111344857A true CN111344857A (zh) 2020-06-26
CN111344857B CN111344857B (zh) 2024-04-09

Family

ID=65632390

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880073124.9A Active CN111344857B (zh) 2017-09-13 2018-09-07 使用牺牲蚀刻盖层的高深宽比特征的介电间隙填充

Country Status (7)

Country Link
US (2) US10269559B2 (zh)
JP (1) JP7232823B2 (zh)
KR (1) KR20200042542A (zh)
CN (1) CN111344857B (zh)
SG (1) SG11202002271UA (zh)
TW (1) TWI791042B (zh)
WO (1) WO2019055317A1 (zh)

Families Citing this family (295)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10249525B2 (en) * 2016-10-03 2019-04-02 Applied Materials, Inc. Dynamic leveling process heater lift
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) * 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
KR20200140391A (ko) 2018-05-03 2020-12-15 램 리써치 코포레이션 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
CN113424300A (zh) 2018-12-14 2021-09-21 朗姆研究公司 在3d nand结构上的原子层沉积
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) * 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US12002679B2 (en) 2019-04-11 2024-06-04 Lam Research Corporation High step coverage tungsten deposition
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
CN114269963A (zh) * 2019-08-12 2022-04-01 朗姆研究公司 钨沉积
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US20230023720A1 (en) * 2019-12-27 2023-01-26 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing semiconductor device
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132606A (ko) * 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 반도체 기판 상의 3차원 구조에 갭을 충진하는 방법
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202221764A (zh) * 2020-08-02 2022-06-01 美商應用材料股份有限公司 集成可流動低k間隙填充及電漿處理
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20240186149A1 (en) * 2021-12-08 2024-06-06 Tokyo Electron Limited Methods for Etching Molybdenum
US20240112903A1 (en) * 2022-09-29 2024-04-04 Applied Materials, Inc. Selective oxidation of a substrate

Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010028922A1 (en) * 1995-06-07 2001-10-11 Sandhu Gurtej S. High throughput ILD fill process for high aspect ratio gap fill
US20030034244A1 (en) * 2001-05-04 2003-02-20 Tugrul Yasar Ionized PVD with sequential deposition and etching
US20030092280A1 (en) * 2001-11-09 2003-05-15 Applied Materials, Inc. Method for etching tungsten using NF3 and Cl2
JP2005136300A (ja) * 2003-10-31 2005-05-26 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US20070298585A1 (en) * 2006-06-22 2007-12-27 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7482247B1 (en) * 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7625820B1 (en) * 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
CN102224573A (zh) * 2008-11-24 2011-10-19 应用材料股份有限公司 用于沟槽与介层洞轮廓修饰的方法与设备
US20120009802A1 (en) * 2010-04-15 2012-01-12 Adrien Lavoie Plasma activated conformal dielectric film deposition
CN103918068A (zh) * 2011-11-09 2014-07-09 高通股份有限公司 用于穿过低k布线层来图案化穿板通孔的低k介电保护分隔物
TW201430951A (zh) * 2012-11-08 2014-08-01 Novellus Systems Inc 用於溝塡之保形膜沉積
CN104272441A (zh) * 2012-03-27 2015-01-07 诺发系统公司 钨特征填充
CN104517892A (zh) * 2013-09-30 2015-04-15 朗姆研究公司 使用复合peald和pecvd方法的可变深宽比特征的间隙填充
CN104576506A (zh) * 2013-10-22 2015-04-29 中微半导体设备(上海)有限公司 一种刻蚀硅通孔的方法
CN205164805U (zh) * 2015-11-26 2016-04-20 醴陵市三塘瓷业有限公司 日用陶瓷胚料混合粉碎一体机
CN105609471A (zh) * 2014-11-14 2016-05-25 朗姆研究公司 用于垂直nand孔蚀刻的镀覆金属硬掩模
US9406693B1 (en) * 2015-04-20 2016-08-02 Sandisk Technologies Llc Selective removal of charge-trapping layer for select gate transistors and dummy memory cells in 3D stacked memory
US20160293398A1 (en) * 2015-04-03 2016-10-06 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US20160343580A1 (en) * 2014-12-04 2016-11-24 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
CN106449360A (zh) * 2015-08-07 2017-02-22 朗姆研究公司 用于增强钨沉积填充的钨的原子层蚀刻

Family Cites Families (566)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR93097E (fr) 1965-10-11 1969-02-07 Ibm Procédé de dépot de films isolants et dispositifs électriques utilisant de tels films.
JPS4843472A (zh) 1971-10-04 1973-06-23
US4158717A (en) 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4419809A (en) 1981-12-30 1983-12-13 International Business Machines Corporation Fabrication process of sub-micrometer channel length MOSFETs
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4575921A (en) 1983-11-04 1986-03-18 General Motors Corporation Silicon nitride formation and use in self-aligned semiconductor device manufacturing method
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
EP0313683A1 (en) 1987-10-30 1989-05-03 International Business Machines Corporation Method for fabricating a semiconductor integrated circuit structure having a submicrometer length device element
JPH0293071A (ja) 1988-09-29 1990-04-03 Toshiba Corp 薄膜の形成方法
JPH0311635A (ja) 1989-06-08 1991-01-18 Sekiyu Sangyo Katsuseika Center 化合物半導体装置の製造方法
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
US5094984A (en) 1990-10-12 1992-03-10 Hewlett-Packard Company Suppression of water vapor absorption in glass encapsulation
US5091332A (en) 1990-11-19 1992-02-25 Intel Corporation Semiconductor field oxidation process
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
US5202272A (en) 1991-03-25 1993-04-13 International Business Machines Corporation Field effect transistor formed with deep-submicron gate
US5230929A (en) 1992-07-20 1993-07-27 Dow Corning Corporation Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes
TW201848B (zh) 1991-11-08 1993-03-11 Advanced Micro Devices Inc
DE4136987A1 (de) 1991-11-11 1993-05-13 Leybold Ag Verfahren zur oberflaechenpassivierung von sensoren
US5223443A (en) 1992-02-19 1993-06-29 Integrated Device Technology, Inc. Method for determining wafer cleanliness
JPH06177120A (ja) 1992-10-27 1994-06-24 Sony Corp 層間絶縁膜の形成方法
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US5528719A (en) 1993-10-26 1996-06-18 Sumitomo Metal Mining Company Limited Optical fiber guide structure and method of fabricating same
JPH09102494A (ja) 1995-10-09 1997-04-15 Toshiba Corp 半導体装置の保護膜およびその形成方法
US6191026B1 (en) 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5593914A (en) 1996-03-19 1997-01-14 Radiant Technologies, Inc. Method for constructing ferroelectric capacitor-like structures on silicon dioxide surfaces
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JPH1098032A (ja) 1996-09-20 1998-04-14 Hitachi Ltd 薄膜形成方法及び薄膜形成装置
US5731235A (en) 1996-10-30 1998-03-24 Micron Technology, Inc. Methods of forming a silicon nitrite film, a capacitor dielectric layer and a capacitor
US5994209A (en) 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US5891805A (en) 1996-12-13 1999-04-06 Intel Corporation Method of forming contacts
US6039834A (en) 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6153519A (en) 1997-03-31 2000-11-28 Motorola, Inc. Method of forming a barrier layer
US6069058A (en) 1997-05-14 2000-05-30 United Semiconductor Corp. Shallow trench isolation for semiconductor devices
US6225175B1 (en) 1997-06-20 2001-05-01 Texas Instruments Incorporated Process for defining ultra-thin geometries
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5854105A (en) 1997-11-05 1998-12-29 Vanguard International Semiconductor Corporation Method for making dynamic random access memory cells having double-crown stacked capacitors with center posts
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US6100202A (en) 1997-12-08 2000-08-08 Taiwan Semiconductor Manufacturing Company Pre deposition stabilization method for forming a void free isotropically etched anisotropically patterned doped silicate glass layer
US5976990A (en) 1998-01-09 1999-11-02 Micron Technology, Inc. Method for optimization of thin film deposition
US6509601B1 (en) 1998-07-31 2003-01-21 Samsung Electronics Co., Ltd. Semiconductor memory device having capacitor protection layer and method for manufacturing the same
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6080676A (en) 1998-09-17 2000-06-27 Advanced Micro Devices, Inc. Device and method for etching spacers formed upon an integrated circuit gate conductor
US6380056B1 (en) 1998-10-23 2002-04-30 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6197701B1 (en) 1998-10-23 2001-03-06 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6228779B1 (en) 1998-11-06 2001-05-08 Novellus Systems, Inc. Ultra thin oxynitride and nitride/oxide stacked gate dielectrics fabricated by high pressure technology
US6218293B1 (en) 1998-11-13 2001-04-17 Micron Technology, Inc. Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
US6403416B1 (en) 1999-01-07 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for making a double-cylinder-capacitor structure for dynamic random access memory (DRAM)
DE10080457T1 (de) 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
JP3492634B2 (ja) 1999-03-17 2004-02-03 インフィネオン テクノロジース エスシー300 ゲゼルシャフト ミット ベシュレンクテル ハフツング ウント コンパニー コマンディートゲゼルシャフト 半導体ウェーハ上のギャップの充填方法
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6313042B1 (en) 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
KR100340716B1 (ko) 1999-10-29 2002-06-20 윤종용 실리콘 질화막 형성방법
KR100744888B1 (ko) 1999-11-02 2007-08-01 동경 엘렉트론 주식회사 소재를 초임계 처리하기 위한 장치 및 방법
KR100356473B1 (ko) 1999-12-29 2002-10-18 주식회사 하이닉스반도체 반도체 소자의 알루미늄 옥사이드 박막 형성 방법
KR100338125B1 (ko) 1999-12-31 2002-05-24 구본준, 론 위라하디락사 박막 트랜지스터 및 그 제조방법
EP1266054B1 (en) 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
JP3437832B2 (ja) 2000-03-22 2003-08-18 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2001274404A (ja) 2000-03-24 2001-10-05 Toshiba Corp 薄膜トランジスタおよびその製造方法
CN1216415C (zh) 2000-04-25 2005-08-24 东京毅力科创株式会社 沉积金属薄膜的方法和包括超临界干燥/清洁组件的金属沉积组合工具
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US20030008070A1 (en) 2001-06-12 2003-01-09 Applied Materials,Inc Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
JP2002009072A (ja) 2000-06-23 2002-01-11 Tokyo Electron Ltd シリコン窒化膜の形成方法及び形成装置
JP3687651B2 (ja) 2000-06-08 2005-08-24 ジニテック インク. 薄膜形成方法
KR100721503B1 (ko) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
KR100366621B1 (ko) 2000-06-28 2003-01-09 삼성전자 주식회사 반도체 소자의 도전성 콘택체를 형성하는 방법
US6632741B1 (en) 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US20050230047A1 (en) 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US6548368B1 (en) 2000-08-23 2003-04-15 Applied Materials, Inc. Method of forming a MIS capacitor
US6482726B1 (en) 2000-10-17 2002-11-19 Advanced Micro Devices, Inc. Control trimming of hard mask for sub-100 nanometer transistor gate
JP2002134497A (ja) 2000-10-23 2002-05-10 Sony Corp 半導体装置の製造方法
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
JP3437830B2 (ja) 2000-11-28 2003-08-18 東京エレクトロン株式会社 成膜方法
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6878402B2 (en) 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
KR101027485B1 (ko) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
JP4406178B2 (ja) 2001-03-28 2010-01-27 株式会社渡辺商行 成膜装置
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
JP3696119B2 (ja) 2001-04-26 2005-09-14 株式会社日立製作所 半導体装置、及び半導体装置の製造方法
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
US6709928B1 (en) 2001-07-31 2004-03-23 Cypress Semiconductor Corporation Semiconductor device having silicon-rich layer and method of manufacturing such a device
JP2003045864A (ja) 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
WO2003023835A1 (en) 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
US6638879B2 (en) 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
EP1485513A2 (en) 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
KR20030081144A (ko) 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
US6518167B1 (en) 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7374617B2 (en) 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
US6777308B2 (en) 2002-05-17 2004-08-17 Micron Technology, Inc. Method of improving HDP fill process
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100469126B1 (ko) 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
KR100472777B1 (ko) 2002-06-26 2005-03-10 동부전자 주식회사 박막 적층 방법
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6784049B2 (en) 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US6730164B2 (en) 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
AU2003279751A1 (en) 2002-10-03 2004-04-23 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7097886B2 (en) 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US6890656B2 (en) 2002-12-20 2005-05-10 General Electric Company High rate deposition of titanium dioxide
CN101572232B (zh) 2002-12-20 2011-12-21 应用材料有限公司 形成高质量的低温氮化硅层的方法
KR100546852B1 (ko) 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6930058B2 (en) 2003-04-21 2005-08-16 Micron Technology, Inc. Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge
CN1777697B (zh) 2003-04-23 2011-06-22 集勒思公司 瞬时增强原子层沉积
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6765303B1 (en) 2003-05-06 2004-07-20 Advanced Micro Devices, Inc. FinFET-based SRAM cell
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7264849B2 (en) 2003-07-11 2007-09-04 Optisolar, Inc. Roll-vortex plasma chemical vapor deposition method
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
DE10335099B4 (de) 2003-07-31 2006-06-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
KR100500472B1 (ko) 2003-10-13 2005-07-12 삼성전자주식회사 리세스 게이트 트랜지스터 구조 및 형성방법
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
JP2005210076A (ja) 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
KR100545697B1 (ko) 2003-12-29 2006-01-24 주식회사 하이닉스반도체 반도체소자의 트렌치 소자분리 방법
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050170104A1 (en) 2004-01-29 2005-08-04 Applied Materials, Inc. Stress-tuned, single-layer silicon nitride film
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
US7088003B2 (en) 2004-02-19 2006-08-08 International Business Machines Corporation Structures and methods for integration of ultralow-k dielectrics with improved reliability
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
KR100538096B1 (ko) 2004-03-16 2005-12-21 삼성전자주식회사 원자층 증착 방법을 이용하는 커패시터 형성 방법
JP2005310927A (ja) 2004-04-20 2005-11-04 Toshiba Corp 紫外線照射による高品質シリコン窒化膜の成膜方法
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7449345B2 (en) 2004-06-15 2008-11-11 Headway Technologies, Inc. Capping structure for enhancing dR/R of the MTJ device
US7585396B2 (en) 2004-06-25 2009-09-08 Guardian Industries Corp. Coated article with ion treated overcoat layer and corresponding method
US7550067B2 (en) 2004-06-25 2009-06-23 Guardian Industries Corp. Coated article with ion treated underlayer and corresponding method
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
US7488690B2 (en) 2004-07-06 2009-02-10 Applied Materials, Inc. Silicon nitride film with stress control
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7271464B2 (en) 2004-08-24 2007-09-18 Micron Technology, Inc. Liner for shallow trench isolation
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
JP4701691B2 (ja) 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US7429820B2 (en) 2004-12-07 2008-09-30 Motorola, Inc. Field emission display with electron trajectory field shaping
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
US20060183055A1 (en) 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
CN100554506C (zh) 2005-03-09 2009-10-28 东京毅力科创株式会社 半导体处理用的成膜方法及装置
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
JP4607637B2 (ja) 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US7365027B2 (en) 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7176084B2 (en) 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7700492B2 (en) 2005-06-22 2010-04-20 Tokyo Electron Limited Plasma etching method and apparatus, control program and computer-readable storage medium storing the control program
JP4752349B2 (ja) 2005-06-23 2011-08-17 大日本印刷株式会社 パターン形成体およびその製造方法
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
KR100734748B1 (ko) 2005-09-08 2007-07-03 주식회사 아이피에스 인시튜 질화물(in-situ nitride) 박막증착방법
US20070065576A1 (en) 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20070087581A1 (en) 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US7524743B2 (en) 2005-10-13 2009-04-28 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
JPWO2007043709A1 (ja) 2005-10-14 2009-04-23 日本電気株式会社 半導体装置の製造方法およびその製造装置
US7696101B2 (en) 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
US7465669B2 (en) 2005-11-12 2008-12-16 Applied Materials, Inc. Method of fabricating a silicon nitride stack
US7897217B2 (en) 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
KR100891779B1 (ko) 2005-11-28 2009-04-07 허니웰 인터내셔날 인코포레이티드 증착 공정용의 유기금속 전구체 및 관련된 중간체, 이들의제조 방법, 및 이들의 사용 방법
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7829159B2 (en) 2005-12-16 2010-11-09 Asm Japan K.K. Method of forming organosilicon oxide film and multilayer resist structure
KR100714305B1 (ko) 2005-12-26 2007-05-02 삼성전자주식회사 자기정렬 이중패턴의 형성방법
JP2007180362A (ja) 2005-12-28 2007-07-12 Toshiba Corp 半導体装置
US7301210B2 (en) 2006-01-12 2007-11-27 International Business Machines Corporation Method and structure to process thick and thin fins and variable fin to fin spacing
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7491630B2 (en) 2006-03-15 2009-02-17 Freescale Semiconductor, Inc. Undoped gate poly integration for improved gate patterning and cobalt silicide extendibility
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
CN101416293B (zh) 2006-03-31 2011-04-20 应用材料股份有限公司 用于介电膜层的阶梯覆盖与图案加载
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
JP2007281181A (ja) 2006-04-06 2007-10-25 Elpida Memory Inc 半導体装置の製造方法
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
JP2007287889A (ja) 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法
JP2007287890A (ja) 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法、プラズマcvd装置
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
JP5543203B2 (ja) 2006-06-16 2014-07-09 フジフィルム マニュファクチャリング ユーロプ ビー.ブイ. 大気圧グロー放電プラズマを使用した原子層堆積の方法及び装置
US20080014759A1 (en) 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8366953B2 (en) 2006-09-19 2013-02-05 Tokyo Electron Limited Plasma cleaning method and plasma CVD method
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
JP5258229B2 (ja) 2006-09-28 2013-08-07 東京エレクトロン株式会社 成膜方法および成膜装置
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
WO2008042981A2 (en) 2006-10-05 2008-04-10 Asm America, Inc. Ald of metal silicate films
US20080087890A1 (en) 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
KR100816759B1 (ko) 2006-11-09 2008-03-25 삼성전자주식회사 가변저항 스토리지를 갖는 비휘발성 기억 장치 및 동작방법
US20080119057A1 (en) 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US20080124946A1 (en) 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US20080142483A1 (en) 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7923068B2 (en) 2007-02-12 2011-04-12 Lotus Applied Technology, Llc Fabrication of composite materials using atomic layer deposition
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7488659B2 (en) 2007-03-28 2009-02-10 International Business Machines Corporation Structure and methods for stress concentrating spacer
US20080242032A1 (en) 2007-03-29 2008-10-02 Texas Instruments Incorporated Carbon-Doped Epitaxial SiGe
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (ko) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
KR100956210B1 (ko) 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
EP2011898B1 (en) 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US7910497B2 (en) 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
JP5098882B2 (ja) 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
US20090075490A1 (en) 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US8119424B2 (en) 2007-09-28 2012-02-21 Everspin Technologies, Inc. Electronic device including a magneto-resistive memory device and a process for forming the electronic device
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090057665A (ko) 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US8440569B2 (en) 2007-12-07 2013-05-14 Cadence Design Systems, Inc. Method of eliminating a lithography operation
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
KR101221598B1 (ko) 2007-12-18 2013-01-14 삼성전자주식회사 유전막 패턴 형성 방법 및 이를 이용한 비휘발성 메모리소자 제조방법.
KR20090067576A (ko) 2007-12-21 2009-06-25 삼성전자주식회사 트렌치의 매립 방법 및 이를 이용한 소자 분리막 구조물의형성 방법
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (ja) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
US8373254B2 (en) 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
ES2335638B1 (es) 2008-08-01 2011-02-09 Cosentino, S.A. Articulo en forma de tabla o losa fabricado de aglomerado petreo recubierto con laminas delgadas transparentes de tio2 o zno mediante tecnicas de deposicion en via seca con alta resistencia frente a la degradacion solar.
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US8357617B2 (en) 2008-08-22 2013-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning a metal gate of semiconductor device
JP5423205B2 (ja) 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
US20100051578A1 (en) 2008-09-04 2010-03-04 Shuo-Che Chang Method for fabricating an integrated circuit
JP2010103484A (ja) 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
US8303780B2 (en) 2008-09-30 2012-11-06 Tdk Corporation Method of forming mask for dry etching and manufacturing method of magnetic head using the same method
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
JP2010103303A (ja) 2008-10-23 2010-05-06 Toshiba Corp 磁気抵抗素子及びその製造方法
US20100102417A1 (en) 2008-10-27 2010-04-29 Applied Materials, Inc. Vapor deposition method for ternary compounds
US8580993B2 (en) 2008-11-12 2013-11-12 Air Products And Chemicals, Inc. Amino vinylsilane precursors for stressed SiN films
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
CN101736326B (zh) 2008-11-26 2011-08-10 中微半导体设备(上海)有限公司 电容耦合型等离子体处理反应器
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
GB0823565D0 (en) 2008-12-24 2009-01-28 Oxford Instr Plasma Technology Signal generating system
JP5293168B2 (ja) 2008-12-25 2013-09-18 富士通株式会社 レジスト組成物及びそれを用いた半導体装置の製造方法
JP2010183069A (ja) 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP2010177652A (ja) 2009-02-02 2010-08-12 Toshiba Corp 半導体装置の製造方法
JP5298938B2 (ja) 2009-02-24 2013-09-25 住友電気工業株式会社 半導体素子の製造方法
US8197915B2 (en) 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
US8268727B2 (en) 2009-04-20 2012-09-18 GlobalFoundries, Inc. Methods for fabricating FinFET semiconductor devices using planarized spacers
KR20100128863A (ko) 2009-05-29 2010-12-08 주식회사 케이씨텍 원자층 증착장치 및 방법
US8791034B2 (en) 2009-06-26 2014-07-29 Cornell University Chemical vapor deposition process for aluminum silicon nitride
KR20110002208A (ko) 2009-07-01 2011-01-07 삼성전자주식회사 반도체 소자의 형성방법
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
JP2011023576A (ja) 2009-07-16 2011-02-03 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2011023655A (ja) 2009-07-17 2011-02-03 Shimadzu Corp 窒化シリコン薄膜成膜方法および窒化シリコン薄膜成膜装置
US8105901B2 (en) 2009-07-27 2012-01-31 International Business Machines Corporation Method for double pattern density
US8169024B2 (en) 2009-08-18 2012-05-01 International Business Machines Corporation Method of forming extremely thin semiconductor on insulator (ETSOI) device without ion implantation
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
KR101680899B1 (ko) 2009-09-02 2016-11-29 소니 주식회사 고체 촬상 장치 및 그 제조 방법
KR101732187B1 (ko) 2009-09-03 2017-05-02 에이에스엠 저펜 가부시기가이샤 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법
US8072800B2 (en) 2009-09-15 2011-12-06 Grandis Inc. Magnetic element having perpendicular anisotropy with enhanced efficiency
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
JP2011067744A (ja) 2009-09-25 2011-04-07 Dowa Holdings Co Ltd 水素製造用触媒、水素製造方法、水素製造装置および燃料電池システム
US8076241B2 (en) 2009-09-30 2011-12-13 Tokyo Electron Limited Methods for multi-step copper plating on a continuous ruthenium film in recessed features
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
WO2011058947A1 (ja) 2009-11-11 2011-05-19 日本電気株式会社 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法
US8691675B2 (en) 2009-11-25 2014-04-08 International Business Machines Corporation Vapor phase deposition processes for doping silicon
US8354331B2 (en) 2009-12-01 2013-01-15 International Business Machines Corporation Multiplying pattern density by single sidewall imaging transfer
US8021949B2 (en) 2009-12-01 2011-09-20 International Business Machines Corporation Method and structure for forming finFETs with multiple doping regions on a same chip
US8728958B2 (en) 2009-12-09 2014-05-20 Novellus Systems, Inc. Gap fill integration
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US20110151142A1 (en) 2009-12-22 2011-06-23 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
US8662053B2 (en) 2009-12-22 2014-03-04 Cummins Inc. Pre-combustion device for an internal combustion engine
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5514129B2 (ja) 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
JP2011192776A (ja) 2010-03-15 2011-09-29 Toshiba Corp 半導体装置の製造方法
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US20110244142A1 (en) 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
CN102471885A (zh) 2010-04-01 2012-05-23 乔治洛德方法研究和开发液化空气有限公司 使用氨基金属与卤化金属前体组合的含金属氮化物的薄膜沉积
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
ES2758556T3 (es) 2010-05-21 2020-05-05 Asm Int Nv Celda solar y método de fabricación de la misma
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
KR101710658B1 (ko) 2010-06-18 2017-02-27 삼성전자 주식회사 관통 전극을 갖는 3차원 적층 구조의 반도체 장치 및 그 반도체 장치의 시그널링 방법
WO2012012381A1 (en) 2010-07-22 2012-01-26 Synos Technology, Inc. Treating surface of substrate using inert gas plasma in atomic layer deposition
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US20120064682A1 (en) 2010-09-14 2012-03-15 Jang Kyung-Tae Methods of Manufacturing Three-Dimensional Semiconductor Memory Devices
US8138097B1 (en) 2010-09-20 2012-03-20 Kabushiki Kaisha Toshiba Method for processing semiconductor structure and device based on the same
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
WO2012039833A2 (en) 2010-09-24 2012-03-29 Applied Materials, Inc. Low temperature silicon carbide deposition process
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
KR101815527B1 (ko) 2010-10-07 2018-01-05 삼성전자주식회사 반도체 소자 및 그 제조 방법
JP2012084707A (ja) 2010-10-13 2012-04-26 Mitsubishi Heavy Ind Ltd 窒化珪素膜形成装置及び方法
US20120108079A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
US8679914B2 (en) 2010-11-02 2014-03-25 Micron Technology, Inc. Method of forming a chalcogenide material and methods of forming a resistive random access memory device including a chalcogenide material
US8288083B2 (en) 2010-11-05 2012-10-16 Micron Technology, Inc. Methods of forming patterned masks
KR20200039806A (ko) 2010-11-10 2020-04-16 나노시스, 인크. 양자 도트 필름들, 조명 디바이스들, 및 조명 방법들
KR20120062385A (ko) 2010-12-06 2012-06-14 에스케이하이닉스 주식회사 반도체 메모리 소자의 형성방법
KR101225601B1 (ko) 2010-12-16 2013-01-24 한국과학기술원 대면적 나노스케일 패턴형성방법
JP5682290B2 (ja) 2010-12-20 2015-03-11 東京エレクトロン株式会社 炭素含有薄膜のスリミング方法及び酸化装置
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
KR101172272B1 (ko) 2010-12-30 2012-08-09 에스케이하이닉스 주식회사 매립비트라인을 구비한 반도체장치 제조 방법
JP2012160671A (ja) 2011-02-02 2012-08-23 Toshiba Corp 磁気ランダムアクセスメモリ及びその製造方法
JP2012169408A (ja) 2011-02-14 2012-09-06 Taiyo Nippon Sanso Corp マスク用材料、マスクの形成方法、パターン形成方法、及びエッチング保護膜
JP5661523B2 (ja) 2011-03-18 2015-01-28 東京エレクトロン株式会社 成膜方法及び成膜装置
US8883649B2 (en) 2011-03-23 2014-11-11 International Business Machines Corporation Sidewall image transfer process
US9095869B2 (en) 2011-04-07 2015-08-04 Picosun Oy Atomic layer deposition with plasma source
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US20120258261A1 (en) 2011-04-11 2012-10-11 Novellus Systems, Inc. Increasing etch selectivity of carbon films with lower absorption co-efficient and stress
US8298951B1 (en) 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
US20140120270A1 (en) 2011-04-25 2014-05-01 James M. Tour Direct growth of graphene films on non-catalyst surfaces
US8298954B1 (en) 2011-05-06 2012-10-30 International Business Machines Corporation Sidewall image transfer process employing a cap material layer for a metal nitride layer
JP5551129B2 (ja) 2011-09-07 2014-07-16 株式会社東芝 記憶装置
JP2013058521A (ja) 2011-09-07 2013-03-28 Toshiba Corp 記憶装置及びその製造方法
US8575033B2 (en) 2011-09-13 2013-11-05 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
JP6199292B2 (ja) 2011-09-23 2017-09-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
US8809169B2 (en) 2011-09-30 2014-08-19 Tokyo Electron Limited Multi-layer pattern for alternate ALD processes
WO2013065806A1 (ja) 2011-11-02 2013-05-10 宇部興産株式会社 トリス(ジアルキルアミド)アルミニウム化合物及び当該アルミニウム化合物を用いるアルミニウム含有薄膜の製造方法
US20130115778A1 (en) 2011-11-04 2013-05-09 Applied Materials, Inc. Dry Etch Processes
US9318431B2 (en) * 2011-11-04 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having a MOM capacitor and method of making same
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US20130189845A1 (en) 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5843318B2 (ja) 2012-02-14 2016-01-13 株式会社Adeka Ald法用窒化アルミニウム系薄膜形成用原料及び該薄膜の製造方法
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
JP5882776B2 (ja) 2012-02-14 2016-03-09 信越化学工業株式会社 レジスト下層膜形成用組成物、及びパターン形成方法
US8846484B2 (en) 2012-02-15 2014-09-30 Intermolecular, Inc. ReRAM stacks preparation by using single ALD or PVD chamber
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
JP5991609B2 (ja) 2012-02-29 2016-09-14 住友電工デバイス・イノベーション株式会社 半導体装置の製造方法
WO2013137115A1 (ja) 2012-03-15 2013-09-19 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6125247B2 (ja) 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US8703578B2 (en) 2012-05-29 2014-04-22 Globalfoundries Singapore Pte. Ltd. Middle in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US8936977B2 (en) 2012-05-29 2015-01-20 Globalfoundries Singapore Pte. Ltd. Late in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US9487869B2 (en) 2012-06-01 2016-11-08 Carnegie Mellon University Pattern transfer with self-assembled nanoparticle assemblies
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
CN103515197A (zh) 2012-06-26 2014-01-15 中芯国际集成电路制造(上海)有限公司 自对准多重图形化的掩膜层及其形成方法
US9023737B2 (en) 2012-07-11 2015-05-05 Asm Ip Holding B.V. Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment
US20140023794A1 (en) 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
US20140049162A1 (en) 2012-08-15 2014-02-20 George Thomas Defect reduction in plasma processing
US8795774B2 (en) 2012-09-23 2014-08-05 Rohm And Haas Electronic Materials Llc Hardmask
US8716136B1 (en) 2012-10-19 2014-05-06 Globalfoundries Inc. Method of forming a semiconductor structure including a wet etch process for removing silicon nitride
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
KR102052936B1 (ko) 2012-11-13 2019-12-06 삼성전자 주식회사 반도체 소자 제조 방법
US8784951B2 (en) 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9446965B2 (en) 2013-02-19 2016-09-20 Nanotech Industrial Solutions, Inc. Applications for inorganic fullerene-like particles
US8623770B1 (en) 2013-02-21 2014-01-07 HGST Netherlands B.V. Method for sidewall spacer line doubling using atomic layer deposition of a titanium oxide
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US20140273531A1 (en) 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
TW201441408A (zh) 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US20140273530A1 (en) 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR102151611B1 (ko) 2013-03-15 2020-09-03 어플라이드 머티어리얼스, 인코포레이티드 초-콘포말한 탄소 막 증착
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
US9209274B2 (en) 2013-07-19 2015-12-08 Globalfoundries Inc. Highly conformal extension doping in advanced multi-gate devices
JP6494940B2 (ja) 2013-07-25 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 異なるサイズのフィーチャへのボイドフリータングステン充填
CN104347421A (zh) 2013-08-07 2015-02-11 中芯国际集成电路制造(北京)有限公司 鳍式场效应管的形成方法
KR102081195B1 (ko) * 2013-08-28 2020-02-25 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9564361B2 (en) 2013-09-13 2017-02-07 Qualcomm Incorporated Reverse self aligned double patterning process for back end of line fabrication of a semiconductor device
US9368348B2 (en) 2013-10-01 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned patterning process
EP3058401A4 (en) 2013-10-17 2017-05-17 Nanosys, Inc. Light emitting diode (led) devices
US20150251917A1 (en) 2013-10-21 2015-09-10 Qualcomm Mems Technologies, Inc. Method of patterning pillars
US9159579B2 (en) 2013-10-25 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using multilayer spacer for reduced spacer footing
US9653319B2 (en) 2013-11-08 2017-05-16 Tokyo Electron Limited Method for using post-processing methods for accelerating EUV lithography
WO2015072589A1 (en) 2013-11-13 2015-05-21 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Group 5 transition metal-containing compounds for vapor deposition of group 5 transition metal-containing films
US10084016B2 (en) 2013-11-21 2018-09-25 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
TWI480415B (zh) 2013-11-27 2015-04-11 Ind Tech Res Inst 多模式薄膜沉積設備以及薄膜沉積方法
US9123776B2 (en) 2013-12-04 2015-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double spacer patterning process
US9614053B2 (en) 2013-12-05 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Spacers with rectangular profile and methods of forming the same
US20150159271A1 (en) 2013-12-09 2015-06-11 Veeco Ald Inc. Deposition of non-isostructural layers for flexible substrate
WO2015106261A1 (en) 2014-01-13 2015-07-16 Applied Materials, Inc. Self-aligned double patterning with spatial atomic layer deposition
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9806129B2 (en) 2014-02-25 2017-10-31 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US9660080B2 (en) 2014-02-28 2017-05-23 Stmicroelectronics, Inc. Multi-layer strained channel FinFET
CN103928396A (zh) 2014-04-08 2014-07-16 上海华力微电子有限公司 扩大沟槽开口的方法
US9305837B2 (en) 2014-04-10 2016-04-05 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and formation thereof
US9543375B2 (en) 2014-06-27 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. MIM/RRAM structure with improved capacitance and reduced leakage current
US9406522B2 (en) 2014-07-24 2016-08-02 Applied Materials, Inc. Single platform, multiple cycle spacer deposition and etch
US20160049307A1 (en) 2014-08-15 2016-02-18 Yijian Chen Patterning method for IC fabrication using 2-D layout decomposition and synthesis techniques
TWI735912B (zh) 2014-08-22 2021-08-11 美商蘭姆研究公司 在一狀態期間中的次脈動用之電漿系統、電漿工具、射頻產生器、控制器、及方法
US9318334B2 (en) 2014-08-27 2016-04-19 United Microelectronics Corp. Method for fabricating semiconductor device
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9355837B2 (en) 2014-09-25 2016-05-31 Micron Technology, Inc. Methods of forming and using materials containing silicon and nitrogen
US9875888B2 (en) 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US9791779B2 (en) 2014-10-16 2017-10-17 Tokyo Electron Limited EUV resist etch durability improvement and pattern collapse mitigation
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9449971B2 (en) 2014-12-01 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming FinFETs
US9620377B2 (en) * 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
CN105719954B (zh) 2014-12-04 2018-09-07 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
EP3035379B1 (en) 2014-12-15 2020-07-01 IMEC vzw Method for blocking a trench portion
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US9496169B2 (en) 2015-02-12 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect structure having an air gap and structure thereof
US9443731B1 (en) 2015-02-20 2016-09-13 Tokyo Electron Limited Material processing to achieve sub-10nm patterning
US9530646B2 (en) 2015-02-24 2016-12-27 United Microelectronics Corp. Method of forming a semiconductor structure
US9472506B2 (en) 2015-02-25 2016-10-18 International Business Machines Corporation Registration mark formation during sidewall image transfer process
US9595424B2 (en) 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US9748093B2 (en) 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US10043690B2 (en) 2015-03-31 2018-08-07 Lam Research Corporation Fault detection using showerhead voltage variation
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
US9502428B1 (en) 2015-04-29 2016-11-22 Sandisk Technologies Llc Sidewall assisted process for wide and narrow line formation
US9859088B2 (en) 2015-04-30 2018-01-02 Lam Research Corporation Inter-electrode gap variation methods for compensating deposition non-uniformity
US20160329206A1 (en) 2015-05-08 2016-11-10 Lam Research Corporation Methods of modulating residual stress in thin films
CN106298519A (zh) 2015-05-15 2017-01-04 联华电子股份有限公司 形成半导体结构的方法
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US9653571B2 (en) 2015-06-15 2017-05-16 International Business Machines Corporation Freestanding spacer having sub-lithographic lateral dimension and method of forming same
US9508560B1 (en) 2015-06-18 2016-11-29 International Business Machines Corporation SiARC removal with plasma etch and fluorinated wet chemical solution combination
US9530663B1 (en) 2015-06-23 2016-12-27 Nanya Technology Corp. Method for forming a pattern
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
CN106373880B (zh) 2015-07-22 2021-05-25 联华电子股份有限公司 半导体元件及其形成方法
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US9748110B2 (en) 2015-09-03 2017-08-29 Tokyo Electron Limited Method and system for selective spacer etch for multi-patterning schemes
CN108352304B (zh) 2015-09-24 2022-03-08 东京毅力科创株式会社 形成用于亚分辨率衬底图案化的刻蚀掩模的方法
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9768272B2 (en) 2015-09-30 2017-09-19 International Business Machines Corporation Replacement gate FinFET process using a sit process to define source/drain regions, gate spacers and a gate cavity
KR102250656B1 (ko) 2015-10-08 2021-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US10141417B2 (en) 2015-10-20 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure, semiconductor device and the method of forming semiconductor device
US10103032B2 (en) 2015-11-20 2018-10-16 Tokyo Electron Limited Methods of forming etch masks for sub-resolution substrate patterning
US9576817B1 (en) 2015-12-03 2017-02-21 International Business Machines Corporation Pattern decomposition for directed self assembly patterns templated by sidewall image transfer
US9508604B1 (en) 2016-04-29 2016-11-29 Globalfoundries Inc. Methods of forming punch through stop regions on FinFET devices on CMOS-based IC products using doped spacers
US20170323785A1 (en) 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer

Patent Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010028922A1 (en) * 1995-06-07 2001-10-11 Sandhu Gurtej S. High throughput ILD fill process for high aspect ratio gap fill
US20030034244A1 (en) * 2001-05-04 2003-02-20 Tugrul Yasar Ionized PVD with sequential deposition and etching
US20030092280A1 (en) * 2001-11-09 2003-05-15 Applied Materials, Inc. Method for etching tungsten using NF3 and Cl2
JP2005136300A (ja) * 2003-10-31 2005-05-26 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US7482247B1 (en) * 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7625820B1 (en) * 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
US20070298585A1 (en) * 2006-06-22 2007-12-27 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
CN102224573A (zh) * 2008-11-24 2011-10-19 应用材料股份有限公司 用于沟槽与介层洞轮廓修饰的方法与设备
US20120009802A1 (en) * 2010-04-15 2012-01-12 Adrien Lavoie Plasma activated conformal dielectric film deposition
CN103918068A (zh) * 2011-11-09 2014-07-09 高通股份有限公司 用于穿过低k布线层来图案化穿板通孔的低k介电保护分隔物
JP2015512568A (ja) * 2012-03-27 2015-04-27 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated タングステンによるフィーチャ充填
CN104272441A (zh) * 2012-03-27 2015-01-07 诺发系统公司 钨特征填充
TW201430951A (zh) * 2012-11-08 2014-08-01 Novellus Systems Inc 用於溝塡之保形膜沉積
CN104517892A (zh) * 2013-09-30 2015-04-15 朗姆研究公司 使用复合peald和pecvd方法的可变深宽比特征的间隙填充
CN104576506A (zh) * 2013-10-22 2015-04-29 中微半导体设备(上海)有限公司 一种刻蚀硅通孔的方法
CN105609471A (zh) * 2014-11-14 2016-05-25 朗姆研究公司 用于垂直nand孔蚀刻的镀覆金属硬掩模
US20160343580A1 (en) * 2014-12-04 2016-11-24 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US20160293398A1 (en) * 2015-04-03 2016-10-06 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9406693B1 (en) * 2015-04-20 2016-08-02 Sandisk Technologies Llc Selective removal of charge-trapping layer for select gate transistors and dummy memory cells in 3D stacked memory
CN106449360A (zh) * 2015-08-07 2017-02-22 朗姆研究公司 用于增强钨沉积填充的钨的原子层蚀刻
CN205164805U (zh) * 2015-11-26 2016-04-20 醴陵市三塘瓷业有限公司 日用陶瓷胚料混合粉碎一体机

Also Published As

Publication number Publication date
CN111344857B (zh) 2024-04-09
US20190080903A1 (en) 2019-03-14
SG11202002271UA (en) 2020-04-29
KR20200042542A (ko) 2020-04-23
US10269559B2 (en) 2019-04-23
US10658172B2 (en) 2020-05-19
JP7232823B2 (ja) 2023-03-03
TW201931520A (zh) 2019-08-01
WO2019055317A1 (en) 2019-03-21
JP2020535633A (ja) 2020-12-03
TWI791042B (zh) 2023-02-01
US20190206677A1 (en) 2019-07-04

Similar Documents

Publication Publication Date Title
CN111344857B (zh) 使用牺牲蚀刻盖层的高深宽比特征的介电间隙填充
US10679848B2 (en) Selective atomic layer deposition with post-dose treatment
KR102377013B1 (ko) 갭충진을 위한 컨포멀 막 증착
CN111247269B (zh) 介电膜的几何选择性沉积
US10037884B2 (en) Selective atomic layer deposition for gapfill using sacrificial underlayer
US10134579B2 (en) Method for high modulus ALD SiO2 spacer
CN107799390B (zh) 用于半导体图案化应用的高干法蚀刻速率材料
US10832908B2 (en) Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US9502238B2 (en) Deposition of conformal films by atomic layer deposition and atomic layer etch
KR20160035991A (ko) Ald에 의해 형성된 실리콘 나이트라이드 막의 피처-내 습식 에칭 레이트의 균일한 감소를 위한 방법들 및 장치들
US10643846B2 (en) Selective growth of metal-containing hardmask thin films
CN115428122A (zh) 接缝减轻和用于间隙填充的整合式衬垫
US20220208543A1 (en) Modulated atomic layer deposition
KR20170021208A (ko) 반도체 디바이스에서 막들을 치밀화하는 방법
CN115398032A (zh) 原子层沉积过程中的损失预防
US20230307290A1 (en) Reducing intralevel capacitance in semiconductor devices
CN118176563A (zh) 原子层沉积接缝减少

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant