TWI791042B - 使用犧牲蝕刻蓋層之高深寬比特徵部的介電間隙填充 - Google Patents
使用犧牲蝕刻蓋層之高深寬比特徵部的介電間隙填充 Download PDFInfo
- Publication number
- TWI791042B TWI791042B TW107131673A TW107131673A TWI791042B TW I791042 B TWI791042 B TW I791042B TW 107131673 A TW107131673 A TW 107131673A TW 107131673 A TW107131673 A TW 107131673A TW I791042 B TWI791042 B TW I791042B
- Authority
- TW
- Taiwan
- Prior art keywords
- feature
- amount
- substrate
- silicon
- etchant
- Prior art date
Links
- 239000000463 material Substances 0.000 claims abstract description 210
- 239000000758 substrate Substances 0.000 claims abstract description 210
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims abstract description 154
- 229910052814 silicon oxide Inorganic materials 0.000 claims abstract description 152
- 238000000151 deposition Methods 0.000 claims abstract description 131
- 238000000034 method Methods 0.000 claims abstract description 119
- 238000005530 etching Methods 0.000 claims abstract description 57
- 238000012876 topography Methods 0.000 claims abstract description 34
- 238000012545 processing Methods 0.000 claims description 148
- 239000007789 gas Substances 0.000 claims description 119
- 239000002243 precursor Substances 0.000 claims description 104
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 83
- 229910052710 silicon Inorganic materials 0.000 claims description 83
- 239000010703 silicon Substances 0.000 claims description 81
- 230000008021 deposition Effects 0.000 claims description 80
- 230000008569 process Effects 0.000 claims description 68
- 239000007800 oxidant agent Substances 0.000 claims description 58
- 239000004065 semiconductor Substances 0.000 claims description 48
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 45
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 45
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 claims description 39
- 239000000376 reactant Substances 0.000 claims description 37
- 230000001590 oxidative effect Effects 0.000 claims description 30
- 238000011049 filling Methods 0.000 claims description 26
- 239000000203 mixture Substances 0.000 claims description 15
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 8
- 239000010937 tungsten Substances 0.000 claims description 8
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 claims description 7
- 229910017052 cobalt Inorganic materials 0.000 claims description 7
- 239000010941 cobalt Substances 0.000 claims description 7
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 claims description 7
- 230000008878 coupling Effects 0.000 claims description 7
- 238000010168 coupling process Methods 0.000 claims description 7
- 238000005859 coupling reaction Methods 0.000 claims description 7
- 229910052750 molybdenum Inorganic materials 0.000 claims description 7
- 239000011733 molybdenum Substances 0.000 claims description 7
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 claims description 7
- 229910010271 silicon carbide Inorganic materials 0.000 claims description 7
- 229910052721 tungsten Inorganic materials 0.000 claims description 7
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims description 6
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 claims description 6
- 229910052802 copper Inorganic materials 0.000 claims description 6
- 239000010949 copper Substances 0.000 claims description 6
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 claims description 6
- 229910052707 ruthenium Inorganic materials 0.000 claims description 6
- 238000000231 atomic layer deposition Methods 0.000 description 91
- 210000002381 plasma Anatomy 0.000 description 87
- 238000010926 purge Methods 0.000 description 42
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 40
- 239000003989 dielectric material Substances 0.000 description 35
- 235000012431 wafers Nutrition 0.000 description 30
- 229910052786 argon Inorganic materials 0.000 description 20
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 19
- 238000010586 diagram Methods 0.000 description 18
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 description 16
- 239000007788 liquid Substances 0.000 description 16
- 238000012546 transfer Methods 0.000 description 13
- 239000012159 carrier gas Substances 0.000 description 12
- 238000005229 chemical vapour deposition Methods 0.000 description 12
- 238000002156 mixing Methods 0.000 description 12
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 11
- 229910052757 nitrogen Inorganic materials 0.000 description 11
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 11
- 229910052760 oxygen Inorganic materials 0.000 description 11
- 239000001301 oxygen Substances 0.000 description 11
- 230000008016 vaporization Effects 0.000 description 11
- 238000004519 manufacturing process Methods 0.000 description 10
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical group C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 description 10
- 238000009834 vaporization Methods 0.000 description 10
- FZHAPNGMFPVSLP-UHFFFAOYSA-N silanamine Chemical class [SiH3]N FZHAPNGMFPVSLP-UHFFFAOYSA-N 0.000 description 9
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 8
- 239000011261 inert gas Substances 0.000 description 8
- 230000001105 regulatory effect Effects 0.000 description 8
- 238000002474 experimental method Methods 0.000 description 7
- 230000015572 biosynthetic process Effects 0.000 description 6
- 238000006243 chemical reaction Methods 0.000 description 6
- 230000001276 controlling effect Effects 0.000 description 6
- 241000894007 species Species 0.000 description 6
- 238000009499 grossing Methods 0.000 description 5
- 239000001272 nitrous oxide Substances 0.000 description 5
- 239000011800 void material Substances 0.000 description 5
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 4
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 4
- YUCFVHQCAFKDQG-UHFFFAOYSA-N fluoromethane Chemical compound F[CH] YUCFVHQCAFKDQG-UHFFFAOYSA-N 0.000 description 4
- 239000001307 helium Substances 0.000 description 4
- 229910052734 helium Inorganic materials 0.000 description 4
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 4
- 239000001257 hydrogen Substances 0.000 description 4
- 229910052739 hydrogen Inorganic materials 0.000 description 4
- 238000011065 in-situ storage Methods 0.000 description 4
- 229910052751 metal Inorganic materials 0.000 description 4
- 239000002184 metal Substances 0.000 description 4
- 229920002120 photoresistant polymer Polymers 0.000 description 4
- 229910000077 silane Inorganic materials 0.000 description 4
- 238000003860 storage Methods 0.000 description 4
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 4
- BMYNFMYTOJXKLE-UHFFFAOYSA-N 3-azaniumyl-2-hydroxypropanoate Chemical compound NCC(O)C(O)=O BMYNFMYTOJXKLE-UHFFFAOYSA-N 0.000 description 3
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical group [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 3
- 239000004341 Octafluorocyclobutane Substances 0.000 description 3
- 238000001636 atomic emission spectroscopy Methods 0.000 description 3
- 230000008859 change Effects 0.000 description 3
- -1 chloroallylsilane Chemical compound 0.000 description 3
- KOPOQZFJUQMUML-UHFFFAOYSA-N chlorosilane Chemical class Cl[SiH3] KOPOQZFJUQMUML-UHFFFAOYSA-N 0.000 description 3
- 238000004891 communication Methods 0.000 description 3
- 150000001875 compounds Chemical class 0.000 description 3
- 229910052736 halogen Inorganic materials 0.000 description 3
- 150000002367 halogens Chemical group 0.000 description 3
- 150000002431 hydrogen Chemical class 0.000 description 3
- BCCOBQSFUDVTJQ-UHFFFAOYSA-N octafluorocyclobutane Chemical compound FC1(F)C(F)(F)C(F)(F)C1(F)F BCCOBQSFUDVTJQ-UHFFFAOYSA-N 0.000 description 3
- 235000019407 octafluorocyclobutane Nutrition 0.000 description 3
- 239000012686 silicon precursor Substances 0.000 description 3
- 238000011144 upstream manufacturing Methods 0.000 description 3
- 241000380131 Ammophila arenaria Species 0.000 description 2
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 2
- 239000005046 Chlorosilane Substances 0.000 description 2
- 238000007664 blowing Methods 0.000 description 2
- 239000006227 byproduct Substances 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 239000000460 chlorine Substances 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 238000005137 deposition process Methods 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- 238000009472 formulation Methods 0.000 description 2
- 150000004820 halides Chemical class 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 238000007373 indentation Methods 0.000 description 2
- 238000011068 loading method Methods 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 125000004433 nitrogen atom Chemical group N* 0.000 description 2
- MWUXSHHQAYIFBG-UHFFFAOYSA-N nitrogen oxide Inorganic materials O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 238000000678 plasma activation Methods 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- 150000004756 silanes Chemical class 0.000 description 2
- 238000001179 sorption measurement Methods 0.000 description 2
- BEEYLGLWYXWFAG-UHFFFAOYSA-N 2-aminosilyl-2-methylpropane Chemical compound CC(C)(C)[SiH2]N BEEYLGLWYXWFAG-UHFFFAOYSA-N 0.000 description 1
- MAYUMUDTQDNZBD-UHFFFAOYSA-N 2-chloroethylsilane Chemical compound [SiH3]CCCl MAYUMUDTQDNZBD-UHFFFAOYSA-N 0.000 description 1
- VUGMARFZKDASCX-UHFFFAOYSA-N 2-methyl-N-silylpropan-2-amine Chemical compound CC(C)(C)N[SiH3] VUGMARFZKDASCX-UHFFFAOYSA-N 0.000 description 1
- FPRDWJNQDBXFBD-UHFFFAOYSA-N 2-methylpentan-2-ylsilane Chemical group CCCC(C)(C)[SiH3] FPRDWJNQDBXFBD-UHFFFAOYSA-N 0.000 description 1
- MNTMWHBQGOKGDD-UHFFFAOYSA-N 3-methylbutylsilane Chemical group CC(C)CC[SiH3] MNTMWHBQGOKGDD-UHFFFAOYSA-N 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- 238000003848 UV Light-Curing Methods 0.000 description 1
- QIQXHTLMLNTEDX-UHFFFAOYSA-N [SiH4].C(C)[SiH2]CC Chemical compound [SiH4].C(C)[SiH2]CC QIQXHTLMLNTEDX-UHFFFAOYSA-N 0.000 description 1
- CNSQUAPKNAKYCD-UHFFFAOYSA-N [Si]CCCCCl Chemical group [Si]CCCCCl CNSQUAPKNAKYCD-UHFFFAOYSA-N 0.000 description 1
- 238000001994 activation Methods 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 238000003491 array Methods 0.000 description 1
- VQPFDLRNOCQMSN-UHFFFAOYSA-N bromosilane Chemical class Br[SiH3] VQPFDLRNOCQMSN-UHFFFAOYSA-N 0.000 description 1
- KLJPJQLKSTTYTK-UHFFFAOYSA-N butyl(chloro)silane Chemical group CCCC[SiH2]Cl KLJPJQLKSTTYTK-UHFFFAOYSA-N 0.000 description 1
- YXMVRBZGTJFMLH-UHFFFAOYSA-N butylsilane Chemical group CCCC[SiH3] YXMVRBZGTJFMLH-UHFFFAOYSA-N 0.000 description 1
- 229910002092 carbon dioxide Inorganic materials 0.000 description 1
- 239000001569 carbon dioxide Substances 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- CRIVIYPBVUGWSC-UHFFFAOYSA-N chloro(propan-2-yl)silane Chemical group CC(C)[SiH2]Cl CRIVIYPBVUGWSC-UHFFFAOYSA-N 0.000 description 1
- KAADXUXXXANQKW-UHFFFAOYSA-N chloro-dimethyl-(2-methylpentan-2-yl)silane Chemical group CCCC(C)(C)[Si](C)(C)Cl KAADXUXXXANQKW-UHFFFAOYSA-N 0.000 description 1
- YGHUUVGIRWMJGE-UHFFFAOYSA-N chlorodimethylsilane Chemical compound C[SiH](C)Cl YGHUUVGIRWMJGE-UHFFFAOYSA-N 0.000 description 1
- AZFVLHQDIIJLJG-UHFFFAOYSA-N chloromethylsilane Chemical compound [SiH3]CCl AZFVLHQDIIJLJG-UHFFFAOYSA-N 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 230000003750 conditioning effect Effects 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 238000001723 curing Methods 0.000 description 1
- UWGIJJRGSGDBFJ-UHFFFAOYSA-N dichloromethylsilane Chemical compound [SiH3]C(Cl)Cl UWGIJJRGSGDBFJ-UHFFFAOYSA-N 0.000 description 1
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 1
- UBHZUDXTHNMNLD-UHFFFAOYSA-N dimethylsilane Chemical compound C[SiH2]C UBHZUDXTHNMNLD-UHFFFAOYSA-N 0.000 description 1
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 1
- PRWJWJFNTJLFKK-UHFFFAOYSA-N ditert-butyl(chloro)silicon Chemical group CC(C)(C)[Si](Cl)C(C)(C)C PRWJWJFNTJLFKK-UHFFFAOYSA-N 0.000 description 1
- LFLMSLJSSVNEJH-UHFFFAOYSA-N ditert-butyl(silyl)silane Chemical group CC(C)(C)[SiH]([SiH3])C(C)(C)C LFLMSLJSSVNEJH-UHFFFAOYSA-N 0.000 description 1
- JTGAUXSVQKWNHO-UHFFFAOYSA-N ditert-butylsilicon Chemical compound CC(C)(C)[Si]C(C)(C)C JTGAUXSVQKWNHO-UHFFFAOYSA-N 0.000 description 1
- 239000003814 drug Substances 0.000 description 1
- 229940079593 drug Drugs 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- KCWYOFZQRFCIIE-UHFFFAOYSA-N ethylsilane Chemical compound CC[SiH3] KCWYOFZQRFCIIE-UHFFFAOYSA-N 0.000 description 1
- 238000011156 evaluation Methods 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 125000005843 halogen group Chemical group 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- IDIOJRGTRFRIJL-UHFFFAOYSA-N iodosilane Chemical class I[SiH3] IDIOJRGTRFRIJL-UHFFFAOYSA-N 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 239000010410 layer Substances 0.000 description 1
- 239000012705 liquid precursor Substances 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 230000001404 mediated effect Effects 0.000 description 1
- 150000001247 metal acetylides Chemical class 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- IFVRUKGTKXWWQF-UHFFFAOYSA-N methylaminosilicon Chemical compound CN[Si] IFVRUKGTKXWWQF-UHFFFAOYSA-N 0.000 description 1
- UIUXUFNYAYAMOE-UHFFFAOYSA-N methylsilane Chemical compound [SiH3]C UIUXUFNYAYAMOE-UHFFFAOYSA-N 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- VYIRVGYSUZPNLF-UHFFFAOYSA-N n-(tert-butylamino)silyl-2-methylpropan-2-amine Chemical compound CC(C)(C)N[SiH2]NC(C)(C)C VYIRVGYSUZPNLF-UHFFFAOYSA-N 0.000 description 1
- 150000001282 organosilanes Chemical class 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 229920000548 poly(silane) polymer Polymers 0.000 description 1
- 238000011112 process operation Methods 0.000 description 1
- DNAJDTIOMGISDS-UHFFFAOYSA-N prop-2-enylsilane Chemical compound [SiH3]CC=C DNAJDTIOMGISDS-UHFFFAOYSA-N 0.000 description 1
- YYVGYULIMDRZMJ-UHFFFAOYSA-N propan-2-ylsilane Chemical compound CC(C)[SiH3] YYVGYULIMDRZMJ-UHFFFAOYSA-N 0.000 description 1
- 150000003254 radicals Chemical class 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 230000000284 resting effect Effects 0.000 description 1
- 239000000523 sample Substances 0.000 description 1
- 229920006395 saturated elastomer Polymers 0.000 description 1
- 238000004904 shortening Methods 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- FDNAPBUWERUEDA-UHFFFAOYSA-N silicon tetrachloride Chemical compound Cl[Si](Cl)(Cl)Cl FDNAPBUWERUEDA-UHFFFAOYSA-N 0.000 description 1
- ABTOQLMXBSRXSM-UHFFFAOYSA-N silicon tetrafluoride Chemical compound F[Si](F)(F)F ABTOQLMXBSRXSM-UHFFFAOYSA-N 0.000 description 1
- 239000002210 silicon-based material Substances 0.000 description 1
- 239000000243 solution Substances 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 238000006557 surface reaction Methods 0.000 description 1
- IPGXXWZOPBFRIZ-UHFFFAOYSA-N tert-butyl(silyl)silane Chemical group CC(C)(C)[SiH2][SiH3] IPGXXWZOPBFRIZ-UHFFFAOYSA-N 0.000 description 1
- BCNZYOJHNLTNEZ-UHFFFAOYSA-N tert-butyldimethylsilyl chloride Chemical group CC(C)(C)[Si](C)(C)Cl BCNZYOJHNLTNEZ-UHFFFAOYSA-N 0.000 description 1
- UNWUYTNKSRTDDC-UHFFFAOYSA-N tert-butylsilane Chemical compound CC(C)(C)[SiH3] UNWUYTNKSRTDDC-UHFFFAOYSA-N 0.000 description 1
- QIMILRIEUVPAMG-UHFFFAOYSA-N tert-butylsilyl carbamate Chemical compound C(N)(O[SiH2]C(C)(C)C)=O QIMILRIEUVPAMG-UHFFFAOYSA-N 0.000 description 1
- FAQYAMRNWDIXMY-UHFFFAOYSA-N trichloroborane Chemical compound ClB(Cl)Cl FAQYAMRNWDIXMY-UHFFFAOYSA-N 0.000 description 1
- ZDHXKXAHOVTTAH-UHFFFAOYSA-N trichlorosilane Chemical compound Cl[SiH](Cl)Cl ZDHXKXAHOVTTAH-UHFFFAOYSA-N 0.000 description 1
- 239000005052 trichlorosilane Substances 0.000 description 1
- VOSJXMPCFODQAR-UHFFFAOYSA-N trisilylamine group Chemical group [SiH3]N([SiH3])[SiH3] VOSJXMPCFODQAR-UHFFFAOYSA-N 0.000 description 1
- 229910001930 tungsten oxide Inorganic materials 0.000 description 1
- 239000006200 vaporizer Substances 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
- 229910001868 water Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76837—Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02219—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/31051—Planarisation of the insulating layers
- H01L21/31053—Planarisation of the insulating layers involving a dielectric removal step
- H01L21/31055—Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76813—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76831—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67103—Apparatus for thermal treatment mainly by conduction
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67248—Temperature monitoring
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Inorganic Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
- Drying Of Semiconductors (AREA)
- Micromachines (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Weting (AREA)
Abstract
本文中描述用於將材料沉積至高深寬比特徵部、多層堆疊中之特徵部、具有正傾斜側壁之特徵部、具有,傾斜側壁之特徵部、具有內凹輪廓之特徵部、及∕或具有側壁形貌之特徵部中之方法及設備。方法涉及,將第一數量之材料(例如介電質,例如矽氧化物)沉積至特徵部中並且在基板之場表面上形成犧牲護盔,蝕刻第一數量之材料其中一些以打開特徵部開口及∕或使特徵部側壁平滑,及沉積第二數量之材料以填充特徵部。犧牲護盔與沉積至特徵部中之第一數量之材料可為相同或不同的材料。
Description
本發明係關於使用犧牲蝕刻蓋層之高深寬比特徵部之介電間隙填充。
半導體製造處理涉及圖案化操作,包括在半導體基板上沉積及蝕刻各種材料。基板包括各種類型之特徵部,包括水平及垂直特徵部、具有傾斜側壁之負特徵部、具有內凹(re-entrant)特徵之特徵部、以及在具有二或更多材料之多層堆疊之基板中做為負特徵部之特徵部,俾使在特徵部側壁之表面上之材料組成根據特徵部之深度而變化。存在用於填充此類特徵部之各種技術,但當元件微縮且特徵部變得更小時,沒有空隙或接縫之特徵部填充變得越來越具有挑戰性。
本文提出用於處理半導體基板之方法及設備。一態樣關於一種填充基板上之特徵部之方法,該方法包括:提供基板至處理腔室,基板包括特徵部,特徵部包括特徵部開口及側壁形貌(topography),側壁形貌包括複數殘段(stub)在特徵部之側壁上;使用含矽前驅物及氧化劑以沉積第一數量之矽氧化物一持續時間,該持續時間不足以填滿特徵部;使第一數量之矽氧化物暴露至蝕刻劑,以蝕刻第一數量之矽氧化物其中至少一些;及在蝕刻第一數量之矽氧化物之後,沉積第二數量之矽氧化物在已蝕刻之該第一數量之該矽氧化物之上。
在各種實施例中,該等殘段在垂直於側壁平面上具有在100 Å與約300 Å之間之尺寸。
在各種實施例中,不足以沉積第一數量之矽氧化物至特徵部中之該持續時間在基板之場表面上形成矽氧化物之過載(overburden)。在一實施例中,使第一數量之矽氧化物暴露至蝕刻劑包括:相對於特徵部之內側,蝕刻在特徵部開口處或附近之第一數量之矽氧化物其中至少一些。
在各種實施例中,第二數量之矽氧化物係藉由電漿增強化學氣相沉積而加以沉積。在一些實施例中,該方法亦可包括:在沉積第二數量之矽氧化物之後,使第二數量之矽氧化物暴露至蝕刻劑之持續時間長於使第一數量之矽氧化物暴露至蝕刻劑所使用之持續時間。
在一些實施例中,特徵部之側壁包括二或更多材料層疊在一堆疊中。
在各種實施例中,第一數量之矽氧化物之沉積及第一數量之矽氧化物之暴露至蝕刻劑之實施沒有破真空。在各種實施例中,第一數量之矽氧化物之沉積及第一數量之矽氧化物之暴露至蝕刻劑是在相同腔室中實施。
在各種實施例中,第一數量之矽氧化物之暴露至蝕刻劑及第二數量之矽氧化物之沉積之實施沒有破真空。在一些實施例中,第一數量之矽氧化物之暴露至蝕刻劑及第二數量之矽氧化物之沉積是在相同腔室中實施。
在各種實施例中,第一數量之矽氧化物之沉積、第一數量之矽氧化物之暴露至蝕刻劑、及第二數量之矽氧化物之沉積之實施沒有破真空。在各種實施例中,第一數量之矽氧化物之沉積、第一數量之矽氧化物之暴露至蝕刻劑、及第二數量之矽氧化物之沉積是在相同腔室中實施。
在各種實施例中,第一數量之矽氧化物係藉由一或更多原子層沉積循環而加以沉積,一或更多原子層沉積循環之每一循環包括氧化劑及含矽前驅物之複數交替脈衝。在各種實施例中,不足以填滿特徵部之持續時間是實施原子層沉積約40循環或更少之持續時間。在一些實施例中,在氧化劑之複數脈衝期間,點燃電漿。在各種實施例中,一或更多原子層沉積循環之每一循環更包括:在氧化劑及含矽前驅物之該等交替脈衝之間吹淨處理腔室。在一些實施例中,在沉積第一數量之矽氧化物之後且在使第一數量之矽氧化物暴露至蝕刻劑之前,吹淨處理腔室。在一些實施例中,在使第一數量之矽氧化物暴露至蝕刻劑之後且在沉積第二數量之矽氧化物之前,吹淨處理腔室。
在各種實施例中,蝕刻劑為三氟化氮(NF3
)、氟仿(CHF3
)、八氟環丁烷(C4
F8
)、四氟甲烷(CF4
)、及其組合其中任一者。
在各種實施例中,特徵部具有至少5微米之深度。在各種實施例中,特徵部具有至少15:1之深寬比。
一種填充基板上之特徵部之方法,該方法包括:提供基板至處理腔室,基板包括特徵部,特徵部包括特徵部開口及側壁形貌,側壁形貌包括複數殘段在特徵部之側壁上;使用含矽前驅物及氧化劑以沉積第一數量之矽氧化物一持續時間,持續時間不足以填滿特徵部;在沉積第一數量之矽氧化物之後且在使第一數量之矽氧化物暴露至蝕刻劑之前,沉積犧牲護盔(helmet),犧牲護盔形成過載在基板之場表面上;使基板暴露至蝕刻劑,以蝕刻第一數量之矽氧化物其中至少一些;及在蝕刻第一數量之矽氧化物之後,沉積第二數量之矽氧化物在已蝕刻之第一數量之矽氧化物之上,以至少部分填滿特徵部。
在各種實施例中,該等殘段在垂直於側壁平面上具有在100 Å與約300 Å之間之尺寸。
在各種實施例中,犧牲護盔係藉由電漿增強化學氣相沉積而加以沉積。
在各種實施例中,犧牲護盔包括矽氮化物。
在各種實施例中,犧牲護盔包括矽氧化物。
在各種實施例中,第一數量之矽氧化物之沉積及犧牲護盔之沉積之實施沒有破真空。在一些實施例中,第一數量之矽氧化物之沉積及基板之暴露至蝕刻劑之實施沒有破真空。在一些實施例中,第一數量之矽氧化物之沉積、犧牲護盔之沉積、及基板之暴露至蝕刻劑之實施沒有破真空。在一些實施例中,基板之暴露至蝕刻劑及第二數量之矽氧化物之沉積之實施沒有破真空。在一些實施例中,犧牲護盔之沉積、基板之暴露至蝕刻劑、及第二數量之矽氧化物之沉積之實施沒有破真空。在一些實施例中,第一數量之矽氧化物之沉積、犧牲護盔之沉積、基板之暴露至蝕刻劑、及第二數量之矽氧化物之沉積之實施沒有破真空。
在各種實施例中,第一數量之矽氧化物之沉積及犧牲護盔之沉積是在相同腔室中實施。在一些實施例中,第一數量之矽氧化物之沉積及基板之暴露至蝕刻劑是在相同腔室中實施。在一些實施例中,第一數量之矽氧化物之沉積、犧牲護盔之沉積、及基板之暴露至蝕刻劑是在相同腔室中實施。在一些實施例中,犧牲護盔之沉積、基板之暴露至蝕刻劑及第二數量之矽氧化物之沉積是在相同腔室中實施。在一些實施例中,第一數量之矽氧化物之沉積、犧牲護盔之沉積、基板之暴露至蝕刻劑、及第二數量之矽氧化物之沉積是在相同腔室中實施。
在各種實施例中,第一數量之矽氧化物係藉由一或更多原子層沉積循環而加以沉積,一或更多原子層沉積循環之每一循環包括氧化劑及含矽前驅物之複數交替脈衝。在各種實施例中,不足以填滿特徵部之持續時間是實施原子層沉積約40循環或更少之持續時間。在一些實施例中,在氧化劑之複數脈衝期間,點燃電漿。在各種實施例中,一或更多原子層沉積循環之每一循環更包括:在氧化劑及含矽前驅物之該等交替脈衝之間吹淨處理腔室。在一些實施例中,在沉積第一數量之矽氧化物之後且在使第一數量之矽氧化物暴露至蝕刻劑之前,吹淨處理腔室。在一些實施例中,在使第一數量之矽氧化物暴露至蝕刻劑之後且在沉積犧牲護盔之前,吹淨處理腔室。
在各種實施例中,蝕刻劑為三氟化氮(NF3
)、氟仿(CHF3
)、八氟環丁烷(C4
F8
)、四氟甲烷(CF4
)、及其組合其中任一者。
在各種實施例中,特徵部具有至少5微米之深度。在各種實施例中,特徵部具有至少15:1之深寬比。
另一態樣關於一種填充基板上之特徵部之方法,方法包括:提供基板至處理腔室,基板包括特徵部,特徵部包括特徵部開口及複數側壁,該等側壁具有一或更多內凹表面;沉積第一數量之材料一持續時間,該持續時間不足以填滿特徵部;使第一數量之材料暴露至蝕刻劑,以蝕刻在特徵部中之第一數量之材料其中至少一些;及在蝕刻第一數量之材料之後,沉積第二數量之材料在已蝕刻之第一數量之材料之上,其中材料係矽碳化物、矽氮化物、矽、鎢、釕、銅、鈷及鉬其中任一者。
在各種實施例中,足以沉積第一數量之材料至特徵部中之持續時間在基板之場表面上形成矽氧化物之過載。
在各種實施例中,使第一數量之材料暴露至蝕刻劑包括:相對於特徵部之內側,蝕刻在特徵部開口處或附近之第一數量之材料其中至少一些。
在各種實施例中,第二數量之材料係藉由電漿增強化學氣相沉積而加以沉積。
在一些實施例中,方法亦包括:在沉積第二數量之材料之後,使第二數量之材料暴露至蝕刻劑之持續時間長於使第一數量之材料暴露至蝕刻劑所使用之持續時間。
在各種實施例中,特徵部之該等側壁包括二或更多材料層疊在堆疊中。
在各種實施例中,第一數量之材料之沉積及第一數量之材料之暴露至蝕刻劑之實施沒有破真空。
在各種實施例中,第一數量之材料之暴露至蝕刻劑及第二數量之材料之沉積之實施沒有破真空。
另一態樣關於一種填充基板上之特徵部之方法,方法包括:提供基板至處理腔室,基板包括特徵部,特徵部包括特徵部開口及側壁形貌,側壁形貌包括複數殘段在特徵部之側壁上;沉積第一數量之第一材料一持續時間,該持續時間不足以填滿特徵部;在沉積第一數量之第一材料之後且在使第一數量之第一材料暴露至蝕刻劑之前,沉積犧牲護盔,犧牲護盔形成過載在基板之場表面上,犧牲護盔包括第二材料;使基板暴露至蝕刻劑,以蝕刻第一數量之第一材料其中至少一些;及在蝕刻第一數量之第一材料之後,沉積第二數量之氧化物在已蝕刻之第一數量之第一材料之上,以至少部分填滿特徵部。
在各種實施例中,該等殘段在垂直於側壁平面上具有在100 Å與約300 Å之間之尺寸。
在各種實施例中,第一材料係不同於第二材料。在各種實施例中,第一材料在組成上與第二材料相同。在各種實施例中,第二材料係藉由電漿增強化學氣相沉積而加以沉積。
在各種實施例中,第一材料係藉由原子層沉積而加以沉積。在一些實施例中,第一材料之沉積係藉由同時引入含矽前驅物及含氮反應物並點燃電漿以形成矽氮化物。在各種實施例中,含氮反應物係與氧一起引入。在一些實施例中,蝕刻劑是含鹵素蝕刻劑。例如,在一些實施例中,蝕刻劑是三氟化氮。在各種實施例中,當使用蝕刻劑時,犧牲護盔具有相對於第一材料之蝕刻選擇性。在一些實施例中,犧牲護盔對第一材料之蝕刻選擇性是在約1:2與約1:5之間,其中第一材料之蝕刻比犧牲護盔快約2至約5倍。
另一態樣關於一種用於處理半導體基板之設備,包括:(a) 至少一處理腔室,包括用以固持半導體基板之基座;(b) 至少一出口,用以耦接至真空;(c) 一或更多處理氣體入口,耦接至一或更多處理氣體源;及 (d) 控制器,用以控制在設備中之複數操作,包括複數機器可讀指令以進行:(i) 引入含矽前驅物及氧化劑,以在半導體基板上沉積第一數量之矽氧化物一持續時間,該持續時間不足以填滿在半導體基板上之特徵部,特徵部具有特徵部開口及側壁形貌,側壁形貌具有複數殘段在特徵部之側壁上;(ii) 引入蝕刻劑至該至少一處理腔室一持續時間,以蝕刻第一數量之矽氧化物其中至少一些;及 (iii) 在引入蝕刻劑至該至少一處理腔室之後,引入含矽前驅物及氧化劑,以沉積第二數量之矽氧化物在已蝕刻之第一數量之矽氧化物之上。
在各種實施例中,控制器更包括:用以設定步驟 (iii) 之持續時間長於步驟 (i) 之持續時間之指令。在各種實施例中,控制器更包括:用以使步驟 (i) 及步驟 (ii) 實施而沒有破真空之機器可讀指令。
在各種實施例中,設備亦包括:電漿產生器,用以產生電漿。在一些實施例中,控制器更包括:用以在引入氧化劑時點燃電漿之指令。
另一態樣關於一種用於處理半導體基板之設備,包括:(a) 至少一處理腔室,包括用以固持半導體基板之基座;(b) 至少一出口,用以耦接至真空;(c) 一或更多處理氣體入口,耦接至一或更多處理氣體源;及 (d) 控制器,用以控制在設備中之複數操作,包括複數機器可讀指令以進行:(i) 引入用於沉積第一數量之材料之沉積前驅物及反應物一持續時間,該持續時間不足以填滿在半導體基板上之特徵部;(ii) 引入蝕刻劑,以蝕刻在特徵部中之第一數量之材料其中至少一些;及 (iii) 在引入蝕刻劑之後,引入沉積前驅物及反應物,以沉積第二數量之材料在已蝕刻之第一數量之材料之上,其中材料係矽碳化物、矽氮化物、矽、鎢、釕、銅、鈷及鉬其中任一者。
在各種實施例中,控制器更包括:用以設定步驟 (iii) 之持續時間長於步驟 (i) 之持續時間之指令。在各種實施例中,控制器更包括:用以使步驟 (i) 及步驟 (ii) 實施而沒有破真空之機器可讀指令。
在各種實施例中,設備亦包括:電漿產生器,用以產生電漿。在一些實施例中,控制器更包括:用以在引入氧化劑時點燃電漿之指令。
另一態樣關於一種用於處理半導體基板之設備,包括:(a) 至少一處理腔室,包括用以固持半導體基板之基座;(b) 至少一出口,用以耦接至真空;(c) 一或更多處理氣體入口,耦接至一或更多處理氣體源;及 (d) 控制器,用以控制在設備中之複數操作,包括複數機器可讀指令以進行:(i) 引入含矽前驅物及氧化劑,以在半導體基板上沉積第一數量之矽氧化物一持續時間,該持續時間不足以填滿在半導體基板上之特徵部,特徵部具有特徵部開口及側壁形貌,側壁形貌具有複數殘段在特徵部之側壁上;(ii) 引入用於沉積犧牲護盔之一或更多處理氣體,犧牲護盔形成過載在半導體基板之場表面上;(iii) 引入蝕刻劑至該至少一處理腔室一持續時間,以蝕刻第一數量之矽氧化物其中至少一些;及 (iv) 在引入蝕刻劑至該至少一處理腔室之後,引入含矽前驅物及氧化劑,以沉積第二數量之矽氧化物在已蝕刻之第一數量之矽氧化物之上。
在各種實施例中,控制器包括:用以在步驟 (ii) 期間傳送第二含矽前驅物及含氮反應物以沉積犧牲護盔之指令,犧牲護盔包括矽氮化物。
另一態樣關於一種用於處理半導體基板之設備,包括:(a) 至少一處理腔室,包括用以固持半導體基板之基座;(b) 至少一出口,用以耦接至真空;(c) 一或更多處理氣體入口,耦接至一或更多處理氣體源;及 (d) 控制器,用以控制在設備中之複數操作,包括複數機器可讀指令以進行:(i) 引入用於沉積第一材料之第一組沉積前驅物,以在半導體基板上沉積第一數量之第一材料一持續時間,該持續時間不足以填滿在半導體基板上之特徵部;(ii) 引入用於沉積犧牲護盔之一或更多處理氣體,犧牲護盔形成過載在半導體基板之場表面上,犧牲護盔包括第二材料;(iii) 引入蝕刻劑至該至少一處理腔室一持續時間,以蝕刻第一數量之第一材料其中至少一些;及 (iv) 在引入蝕刻劑至該至少一處理腔室之後,引入第一組沉積前驅物,以沉積第二數量之第一材料在已蝕刻之第一數量之第一材料之上。
在各種實施例中,第一材料係不同於第二材料。在各種實施例中,第一材料在組成上與第二材料相同。
以下將參考圖式以進一步說明這些及其它態樣。
在以下的敘述中,將提出數個特定細節以提供對於本發明實施例之徹底瞭解。所揭示的實施例可在缺乏部分或全部此些特定細節之情況下實施。在其它情況下,不詳細說明習知之處理操作,以免不必要地模糊所揭示的實施例。雖然將利用特定的實施例來說明所揭示的實施例,但應當瞭解,其並非意圖限制所揭示的實施例。
半導體製造處理通常包括,使用化學氣相沉積(CVD)及∕或原子層沉積(ALD)方法之介電質間隙填充,以填充特徵部。本文中描述了利用材料(例如介電質或金屬材料,包括但不限於矽氧化物)填充特徵部之方法、以及相關的系統及設備。本文中所述之方法可用於填充垂直的負特徵部。形成在基板中之特徵部可藉由狹窄及∕或內凹的開口、特徵部內之收縮(constriction)及高深寬比其中一或多者來描述其特性。基板可為矽晶圓,例如200 mm晶圓、300 mm晶圓或450 mm晶圓,包括具有一或更多層材料(例如介電質、導電或半導電材料)沉積於其上之晶圓。
特徵部可形成在這些層其中一或更多者中。例如,特徵部可至少部分地形成在介電層中。如本文中所述之單一基板包括具有二或更多材料之多層堆疊,例如ONON(氧化物–氮化物–氧化物–氮化物)堆疊、OPOP(多晶矽上之氧化矽)堆疊、或OMOM堆疊(金屬上之氧化矽,金屬例如為鎢、鈷或鉬),特徵部可形成在這類的多層基板中,其中特徵部之側壁包括二或更多組成。多層堆疊可在雙層(例如ON)至500組合層(例如{ON}150
)之範圍中。特徵部也可具有傾斜的側壁或側壁形貌。側壁形貌包括具有一或更多殘段之鋸齒狀側壁,每一殘段在垂直於側壁平面上具有在100 Å與約300 Å之間之尺寸。在各種實施例中,側壁形貌之特徵在於二或更多殘段,其中二或更多殘段存在於特徵部深度方向之側壁上。換言之,在側壁上之一殘段之深度不同於存在側壁上之第二殘段之深度。此外,特徵部也可包括內凹輪廓。
特徵部孔在開口附近之尺寸(例如,開口直徑或線寬)亦可在約1 nm與約1微米之間,例如在約25 nm與約300 nm之間,例如約200 nm。 特徵部孔可被稱為未填充特徵部或簡稱為特徵部。在一些實行例中,特徵部孔之深寬比可為至少約2:1、至少約4:1、至少約6:1、或至少約20:1或更大。
圖1A-1E顯示出具有各種類型之特徵部之示例性基板。圖1A顯示出在具有多層堆疊之基板中之特徵部102a,多層堆疊包括在交替的水平層中之二組成104a及114a,其中特徵部102a係藉由將一孔蝕刻至襯墊106a中而加以定義。應當注意,雖然在本文所述之各種範例中描繪了襯墊106a,但是在一些實施例中,不存在襯墊。在存在襯墊之情況下,襯墊可為氮化物材料,例如但不限於矽氮化物,或者在一些實施例中,襯墊可為多晶矽材料。
圖1B顯示出在基板104b中之特徵部102b,具有由襯墊106b所定義之直側壁。圖1B之特徵部102b具有高深寬比,具有直的側壁。圖1C顯示出在基板104c中之示例性特徵部102c,具有襯墊106c,從而形成具有正傾斜側壁之特徵部102c,其中在特徵部102c頂部處之特徵部開口120c大於在底部112c處之寬度。圖1D顯示出在基板104d中之特徵部102d,其中特徵部102d係藉由在襯墊106d中蝕刻一孔而加以定義,且特徵部102d包括負傾斜側壁或內凹輪廓,其中在特徵部102d之頂部處之特徵部開口120d比特徵部102d之底部112d之寬度窄。圖1E顯示出在基板104e中之特徵部102e,其中特徵部102e係藉由在襯墊106e中蝕刻一孔而加以定義,且特徵部102e包括具有殘段之側壁形貌。在一些例子中,由於用於形成特徵部之蝕刻類型、或者形成特徵部之一或更多材料,特徵部可具有側壁形貌。例如在圖1A-1E中所示之範例之特徵部之填充係取決於特徵部類型及輪廓。雖然可使用例如CVD及ALD之現有技術來填充這些特徵部,但是習知技術導致在特徵部內形成非期望的接縫或空隙。
圖2A顯示出具有襯墊206a之示例性特徵部202a在基板中,基板包括多層堆疊,該多層堆疊具有在交替層中之材料204a及214a。介電材料208a藉由保形ALD而沉積至特徵部202a中,但是在特徵部202a之中間形成接縫210a,因為自側壁生長之介電材料208a在特徵部202a之中間相遇,從而形成接縫210a。
圖2B顯示出特徵部202b之類似現象,特徵部202b藉由ALD而填充有介電材料208b在襯墊206b上,襯墊206b定義了在基板204b中具有直的側壁之特徵部202b。類似地,在圖2C中,正斜率特徵部202c包括在基板204c中之底部212a處之較窄的寬度且具有由襯墊206c所定義之側壁,亦導致當藉由保形ALD而沉積介電材料208c時形成接縫210c。圖2D亦顯示出具有負斜率特徵部之特徵部202d,其在底部212d處具有較寬的寬度、由在基板204d中之襯墊206d所定義。在此範例中,當沉積介電材料208d時,仍然形成接縫210d。在一些例子中,由於ALD之保形填充,亦可能形成空隙在特徵部202d之底部處或其附近。圖2E顯示出特徵部202e之範例,具有由基板204e中之襯墊206e所定義之側壁形貌。側壁形貌之特徵在於殘段216e,且特徵部之底部212e寬於殘段216e處之寬度。當藉由ALD而沉積材料208e時,形成微空隙210e,且特徵部不能完全被填充。微空隙可定義為直徑小於約50 nm之空隙,或者在一些實施例中,直徑在約30 nm與約50 nm之間、或直徑小於30 nm,例如小於約15 nm寬。
已經提出了一些沉積–蝕刻–沉積(dep-etch-dep)技術以用介電材料填充各種特徵部。然而,現有的沉積–蝕刻–沉積技術亦導致對基板上之其它材料之非期望的蝕刻,因此導致材料損失。如下所述,圖3A-3D、4A-4D及5A-5C中提出了範例。
圖3A顯示出在基板304a中之特徵部302a之範例,其中特徵部輪廓由襯墊306a所定義。如圖所示,在312a處所描繪之特徵部之底部之寬度窄於在特徵部開口處或其附近之寬度之一部分,為此描繪出內凹輪廓。在習知的保形ALD中,材料308b逐層地填充特徵部302b,如圖3B所示。在圖3B中,材料308b被描繪為沉積至特徵部302b中,其特徵部輪廓定義在基板304b之襯墊306b中。然而,ALD沉積是保形的並且導致空隙310b之形成,而特徵部之底部312b被完全填充。習知的沉積–蝕刻–沉積涉及在ALD沉積之後之蝕刻,例如圖3C中所示。在圖3C中,回蝕已沉積的介電材料308c以打開基板304c中之特徵部302c之窄特徵部開口。然而,即使在將介電材料308c蝕刻至襯墊306c之表面之後,空隙310c仍然存在,而開口308c尚未打開。特徵部之底部312c保持填充。在習知的沉積–蝕刻–沉積中,實施蝕刻直到特徵部被打開以允許特徵部之進一步填充,因此如圖3D所示,回蝕導致襯墊306d之材料損失316d以打開特徵部302d,並且能夠接近由材料308d之先前ALD沉積所形成之空隙310d。在基板308d中之特徵部之底部312d保持填充。然而,這樣的材料損失316d是非期望的。
圖4A-4D亦顯示出非期望蝕刻之範例,其係由使用介電材料以填充特徵部之習知沉積–蝕刻–沉積處理所造成。圖4A包括在基板404a中之特徵部402a,具有藉由在襯墊406a中蝕刻所形成之側壁形貌,側壁形貌具有殘段,其中在殘段處之特徵部寬度窄於在特徵部之底部412a處。在這樣的實施例中,一些殘段是處於相同的深度。在圖4B中,實施ALD以將介電材料408b保形地沉積至在襯墊406b上之特徵部402b中。應當注意,在特徵部402b之側壁上之沉積材料之間之空間410b保持打開,且材料408b沉積在殘段416b上,包括底部412b。然而,為了避免在側壁形貌之間形成空隙,在圖4C中,已沉積的介電材料408b被回蝕以使已沉積的材料平滑,如材料408c之已平滑化的表面414c所示。應當注意,特徵部402c在基板404c之特徵部402c之殘段416c及底部412c附近仍然包括粗糙的沉積材料。在圖4D中,進一步回蝕基板404,以容許沿著整個側壁之平滑化,以減少微孔隙之形成,如在特徵部之頂部414d處之平滑及在特徵部之底部416d處之平滑所示。應當注意,在特徵部之殘段416d及底部412d處或附近之介電材料408d被平滑化,俾使隨後的ALD沉積不會形成微孔隙。然而,這樣的回蝕導致襯墊406d之材料損失416d,這可能因此在後續導致問題。
圖5A-5C亦顯示出具有二組成504a及514a之多層堆疊之基板之範例,其中基板包括特徵部502a,材料508a保形地沉積在襯墊506a上。在圖5B中,材料508b被回蝕以打開特徵部502b之特徵部開口,但是這樣的蝕刻造成襯墊506b之蝕刻,從而造成漸縮的(tapered)輪廓。在圖5C之材料508c之後續沉積中,材料沉積在漸縮輪廓上,結果為具有填充特徵部但具有堆疊材料損失之基板。
在進行高深寬比特徵部及具有側壁形貌之特徵部之間隙填充時,現有技術之這些及其它問題導致非期望的特徵部輪廓。
本文中提出方法及設備,用於將介電材料沉積至具有高深寬比、側壁形貌、多層堆疊組成及內凹輪廓之特徵部中。方法包括調變的沉積–蝕刻–沉積持續時間及條件,以減少及消除特徵部輪廓及基板之下層之蝕刻,包括使用電漿增強化學氣相沉積在基板之場表面上沉積犧牲護盔,從而導致在特徵部開口處之材料(可與沉積在特徵部中之材料相同或不同)之過載,並且實施更久的回蝕以打開該特徵部,同時僅僅消耗材料之犧牲過載而不蝕刻基板之下層,因此防止特徵部輪廓之蝕刻。
雖然以下描述聚焦於介電質特徵部填充,但是本揭示內容之態樣亦可用於以其它材料來填充特徵部。例如,使用本文中所述之一或更多技術之特徵部填充可用於利用其它材料來填充特徵部,其它材料包括含矽材料(例如,矽碳化物、矽氮化物、矽氧化物、矽)及含金屬材料(例如,鎢、釕、銅、鈷、鉬、及其氮化物及碳化物)。
圖6為處理流程圖,描繪根據某些所揭示的實施例所實施之方法之操作。圖6中之操作可在約50 °C與約650 °C之間之基板溫度下實施。
在操作602中,提供具有特徵部之基板,特徵部具有側壁形貌。例如,特徵部可具有如圖1E中所繪示之輪廓。儘管關於圖6所述之範例係關於具有側壁形貌之特徵部,但是應當理解,在一些實施例中,所揭示的實施例可在具有垂直側壁之高深寬比特徵部、具有內凹輪廓之特徵部、具有正傾斜側壁之特徵部、具有負傾斜側壁之特徵部、以及在側壁上具有多堆疊組成之特徵部(分別如同圖1B、1C、1D及1A所示)其中任何一或多者上施行。可提供基板至處理腔室,處理腔室可位於用於處理一或更多晶圓之單站式或多站式設備之工作站內。
在操作604中,將第一數量之介電材料沉積在特徵部中。在各種實施例中,第一數量之介電材料不足以填滿特徵部。未充分填滿的特徵部係定義為具有一些介電材料沉積在其中、且特徵部中存在一或更多空隙之特徵部。未充分填滿的特徵部包括沿著特徵部之側壁沉積材料、但特徵部開口保持打開之特徵部。在操作604期間之沉積可實施於約0.1 Torr與約15 Torr之間之腔室壓力下,例如約6 Torr。
在各種實施例中,介電材料為矽氧化物。矽氧化物可藉由ALD、電漿增強ALD(PEALD)、CVD、或電漿增強CVD(PECVD)而加以沉積。ALD為使用依序自限制反應來沉積材料之薄層之技術。ALD處理使用表面媒介的(surface-mediated)沉積反應以循環地逐層沉積膜。作為範例,ALD循環可包含以下操作:(i) 前驅物之傳送∕吸附、(ii) 從腔室吹淨前驅物、(iii) 第二反應物之傳送及可選的電漿點燃、及 (iv) 從腔室吹淨副產物。第二反應物與吸附的前驅物之間之反應在基板表面上形成膜,並且影響膜之成份及性質,例如非均勻性、應力、濕蝕刻率、乾蝕刻率、電性(例如,崩潰電壓及漏電流)等。
在ALD處理之一範例中,基板表面包含一群表面活性位置,將該基板表面曝露至提供至容納該基板之腔室之一劑量之第一前驅物(例如,含矽前驅物)之氣相分配。第一前驅物之分子被吸附至基板表面上,包括第一前驅物之化學吸附物種及∕或物理吸附分子。應當了解,如本文中所述,當化合物吸附至基板表面上時,吸附層可包括該化合物及該化合物之衍生物。例如,含矽前驅物之吸附層可包括該含矽前驅物、及該含矽前驅物之衍生物。在提供第一前驅物之後,接著將腔室抽空以去除大部分或所有以氣相殘留之第一前驅物,俾使主要是或僅留下吸附物種。在一些實行例中,可能未將腔室完全抽空。例如,可將反應器抽空,俾使氣相之第一前驅物之分壓足夠低,以使反應減緩。將第二反應物(例如,含氧氣體)導入至腔室,使得這些分子其中一些與吸附在表面上之第一前驅物反應。在一些處理中,第二反應物立刻與吸附的第一前驅物進行反應。在其它實施例中,第二前驅物僅在暫時地施加活化源之後才進行反應。接著可再度使腔室抽空,以去除未結合的第二反應物分子。如上所述,在一些實施例中,可能未將腔室完全抽空。額外的ALD循環可用於增加膜厚。
在一些實施例中,ALD方法包括電漿活化。如本文中所述, 本文中所描述之ALD方法及設備可為保形膜沉積(CFD)方法,其大致上描述於美國專利申請案第 13/084,399號(現為美國專利第 8,728,956號),其申請日為 2011年4月11日、且發明名稱為「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」,其整體內容併入於本文中作為參考。
為了沉積矽氧化物,可使用一或更多含矽前驅物。根據所揭露的實施例,適用之含矽前驅物包含了聚矽烷(H3
Si‑(SiH2
)n
‑SiH3
),其中n>
0。矽烷之範例為矽烷(SiH4
)、二矽烷(Si2
H6
)、及有機矽烷,例如甲基矽烷、乙基矽烷、異丙基矽烷、叔丁基矽烷、二甲基矽烷、二乙基矽烷、二叔丁基矽烷、烯丙基矽烷、二級丁基矽烷、叔己基矽烷、異戊基矽烷、叔丁基二矽烷、二叔丁基二矽烷等。
鹵矽烷包含至少一鹵素基團,可包含或可不包含氫及∕或碳基團。鹵矽烷之範例為碘矽烷、溴矽烷、氯矽烷、及氟矽烷。雖然鹵矽烷(尤其是氟矽烷)在電漿點燃時可能形成會蝕刻矽材料之反應性鹵化物物種,但在一些實施例中,鹵矽烷在電漿點燃時可能未引入腔室中,所以可能減少來自鹵矽烷之反應性鹵化物物種之形成。具體之氯矽烷為四氯矽烷、三氯矽烷、二氯矽烷、一氯矽烷、氯烯丙基矽烷、氯甲基矽烷、二氯甲基矽烷、氯二甲基矽烷、氯乙基矽烷、叔丁基氯矽烷、二叔丁基氯矽烷、氯異丙基矽烷、氯二級丁基矽烷、叔丁基二甲基氯矽烷、叔己基二甲基氯矽烷等。
胺基矽烷包含與矽原子鍵結之至少一氮原子,但亦可包含氫、氧、鹵素、及碳。胺基矽烷包含與矽原子鍵結之至少一氮原子,但亦可包含氫、氧、鹵素、及碳。胺基矽烷之範例為一、二、三、及四胺基矽烷(分別為H3
Si(NH2
)、H2
Si(NH2
)2
、HSi(NH2
)3
及Si(NH2
)4
),以及取代的一、二、三、及四胺基矽烷,例如叔丁基胺基矽烷、甲基胺基矽烷、叔丁基矽烷胺、雙(叔丁基胺基)矽烷(SiH2
(NHC(CH3
)3
)2
,BTBAS)、叔丁基矽基胺甲酸酯(tert-butyl silylcarbamate)、SiH(CH3
)-(N(CH3
)2
)2
、SiHCl-(N(CH3
)2
)2
、(Si(CH3
)2
NH)3
等。胺基矽烷之進一步範例為三矽基胺(N(SiH3
) )。
在操作604期間,亦使用額外的反應物。為了藉由PECVD沉積矽氧化物護盔,使氧化劑與含矽前驅物一起流動至處理腔室以進行反應,並且沉積矽氧化物在基板上。示例性氧化劑包括氧氣、水、二氧化碳、一氧化二氮及其組合。在各種實施例中,在點燃電漿時,使基板同時暴露至氧化劑及惰性氣體。例如,在一實施例中,在點燃電漿時,將氧及氬之混合物引至基板。示例性惰性氣體包括氦及氬。在一些實施例中,惰性氣體做為載氣以將處理氣體傳送至基板,並且在腔室之上游轉向。為了藉由ALD或PEALD進行沉積,含矽前驅物及反應物以脈衝的方式依序引入,其可藉由吹淨(purging)操作而分隔開。下面參考圖7進一步描述這些範例。
在操作604中使用ALD、PEALD、CVD及PECVD其中任何之組合來沉積介電材料之實施例中,在二技術期間可使用相同的反應物及前驅物。在一些實施例中,可根據技術而選擇不同的前驅物。例如,在一些實施例中,可使用鹵矽烷而實施ALD,然後使用矽烷做為含矽前驅物進行PECVD。在一些實施例中,在用於沉積介電材料之一或更多技術期間點燃電漿。
在操作607中,將犧牲護盔沉積在基板之場表面上。護盔為優先沉積在基板之場表面上(相對於基板上之特徵部內部)之材料之過載。在各種實施例中,護盔為用於緩衝後續的蝕刻處理之犧牲材料,以便保護下方的特徵部輪廓不被蝕刻或損壞。
在各種實施例中,護盔材料生長在介電材料上,該介電材料係在操作604中所沉積並保留在基板之場表面上。因此,犧牲護盔不會在特徵部開口上方閉合,且特徵部開口保持打開。在各種實施例中,犧牲護盔是藉由PECVD而沉積。在後續的蝕刻操作608期間,犧牲護盔做為保護下方的基板及特徵部輪廓之一層。犧牲護盔與沉積至特徵部中之介電材料可為相同或不同的材料。例如,在一些實施例中,犧牲護盔是藉由PECVD而沉積之矽氧化物材料,而待沉積至特徵部中之材料亦為矽氧化物。
在一些實施例中,護盔係沉積至在約10 Å與約500 Å之間之厚度。可使用ALD、CVD或兩者之組合來沉積護盔。在各種實施例中,護盔是藉由PECVD而沉積。在各種實施例中,使特徵部暴露至用以沉積護盔之沉積前驅物(例如,用於沉積矽氮化物護盔之含矽前驅物及含氮前驅物)足以形成護盔之持續時間。持續時間取決於特徵部開口之大小、特徵部開口之深度、用於沉積材料之技術、材料是否與沉積至特徵部中之材料相同或不同、以及如果材料與沉積至特徵部中之材料相同,已經沉積至特徵部中之材料量(若有的話)。
在護盔材料與沉積至特徵部中之材料相同之一些實施例中,操作604之沉積可以循環的方式繼續,以沉積用於操作607之犧牲護盔。在各種實施例中,藉由PEALD或ALD以實施操作604,而藉由PECVD以實施操作607。在護盔材料與沉積至特徵部中之材料相同之情況下,在操作604及操作607兩者中可使用相同的前驅物。例如,以上關於操作604所述之任何前驅物及反應物可用於在操作607中沉積矽氧化物護盔。
在一些實施例中,犧牲護盔與沉積至特徵部中之材料是不同的材料。例如,在一些實施例中,犧牲護盔是藉由PECVD所沉積之矽氮化物材料,而待沉積至特徵部中之材料是矽氧化物。在各種實施例中,當暴露至操作608中所使用之蝕刻劑時,犧牲護盔具有相對於待沉積材料之蝕刻選擇性,俾使操作608中之蝕刻不會損壞犧牲護盔,因此護盔可承受待沉積至特徵部中之材料之沉積及蝕刻之許多循環。在一些實施例中,護盔是矽氮化物材料,矽氮化物材料是由使用矽前驅物及氮電漿(同時或以個別的交替脈衝)之PECVD或PEALD所沉積。在各種實施例中,在使用矽氧化物以沉積第一數量之矽氧化物在特徵部中之後,實施矽氮化物護盔之形成,並且沉積矽氮化物在基板之場表面上。在各種實施例中,做為護盔之矽氮化物在蝕刻期間增加了對氧化物之蝕刻選擇性。對於藉由CVD之沉積,可使基板暴露至沉積前驅物(例如用於沉積矽氧化物之含矽前驅物及氧化劑)在約2秒與約120秒之間之持續時間。在一些實施例中,使用電漿增強處理(例如,PEALD或PECVD)以沉積護盔。
為了藉由PECVD以沉積矽氮化物護盔,使含氮氣體(例如氮)與含矽前驅物一起流入處理腔室以形成矽氮化物。在各種實施例中,用電漿點燃氮以形成矽氮化物。
在一範例中,使用矽烷做為矽前驅物,用於實施矽氮化物及∕或矽氧化物之PECVD。在藉由PECVD之沉積期間,前驅物及反應物以各種流率而流動。例如,為了沉積矽氧化物,矽烷可與氮及∕或一氧化二氮一起流動。矽烷可以在約50 sccm與約200 sccm之間之流率引入,例如約75 sccm。氮可以在約1000 sccm與約15000 sccm之間之流率引入,例如約3000 sccm。一氧化二氮可以在約5000 sccm與約25000 sccm之間之流率引入,例如約20000 sccm。
在操作608中,從特徵部開口蝕刻介電材料,以在特徵部中留下部分蝕刻的介電材料。可實施蝕刻足以加寬特徵部開口之持續時間,俾使後續的沉積能夠到達特徵部之底部。例如,在一些實施例中,實施蝕刻之持續時間在約100秒與約400秒之間,例如約115秒、或約200秒、或約300秒、或約400秒。在各種實施例中,實施蝕刻之持續時間足以打開特徵部開口,而不去除在操作604中沉積至特徵部中之介電材料層下方之材料。在各種實施例中,蝕刻之實施對於護盔材料具有蝕刻選擇性。例如,在一些實施例中,三氟化氮(NF3
)是在操作608期間所使用之蝕刻劑,且矽氧化物對犧牲矽氮化物護盔之蝕刻選擇性在約3:1與約5:1之間。
在操作604涉及過載或護盔之沉積之一些實施例中,實施蝕刻俾使特徵部開口露出,同時消耗在場表面上之一些但不是全部的犧牲介電材料護盔,從而留下部分蝕刻的介電層在特徵部中。即使護盔與待沉積的材料是不同的材料,亦可能消耗一些護盔。然而,在一些實施例中,護盔是由對於沉積至特徵部中之材料具有蝕刻選擇性之不同材料所構成,允許護盔在相同的處理條件下承受更長的蝕刻時間,而不會像由相同材料(與所沉積的材料相同)所構成之護盔那樣快速地被消耗。在一些實施例中,護盔是由對於沉積至特徵部中之材料具有蝕刻選擇性之不同材料所構成,允許沉積較薄的護盔,同時仍然減少特徵部輪廓之蝕刻。
所選擇的蝕刻劑取決於待蝕刻之材料。例如,為了蝕刻矽氧化物,可使用含氟蝕刻化學品進行蝕刻,例如藉由流動三氟化氮(NF3
)。用於蝕刻矽氧化物之示例性蝕刻劑包括三氟化氮、氟仿(CHF3
)、八氟環丁烷(C4
F8
)、四氟甲烷(CF4
)及其組合。用於蝕刻矽碳化物、矽氮化物、矽、鎢、釕、銅、鈷及鉬(這些材料係用於特徵部填充)之示例性蝕刻劑包括氫溴酸(HBr)、氟代甲烷(CH3
F)、氯(Cl2
)、四氟化矽(SiF4
)、四氟甲烷(CF4
)、三氯化硼(BCl3
)、氟仿(CHF3
)及其組合。
在另一範例中,為了蝕刻矽碳化物(其中矽碳化物待沉積至特徵部中),可使用氫溴酸或CH3
F進行蝕刻。
在另一範例中,為了蝕刻矽氮化物(其中矽氮化物待沉積至特徵部中,可使用CH3
F進行蝕刻。
在各種實施例中,蝕刻劑可與一或更多載氣(例如氧、氮及∕或氬)一起流動。
在各種實施例中,在操作608期間點燃電漿以加強蝕刻。在一些實施例中,使用射頻電漿以點燃電漿。在一些實施例中,可將自偏壓施加至在蝕刻期間固持基板之供電基座。在各種實施例中,在使三氟化氮氣體流動之同時,點燃電漿,電漿功率在約1000 W與約5000 W之間。在各種實施例中,電漿係原位地(in-situ)產生。在一些實施例中,電漿可在遠端電漿腔室中遠端地產生,然後傳送至容納基板之處理腔室。
在一些實施例中,操作604及608在不同腔室中實施。在一些實施例中,操作604及608在同一腔室中實施。在一些實施例中,在不破真空之情況下實施操作604及608。例如,在一些實施例中,操作604及608在多站式腔室中之不同工作站中實施而不破真空。因為沉積及蝕刻可在相同腔室中或在相同工具中實施,所揭示的實施例提高了效率。
在一些實施例中,可實施操作608以使側壁平滑。所揭示的實施例亦可適用於在沉積至具有側壁形貌之特徵部期間使側壁平滑,俾使在介電材料之複數沉積之間所實施之蝕刻用於使表面平坦並減少在特徵部側壁上之殘段之存在。可藉由調變持續時間及電漿功率以實施蝕刻,蝕刻之持續時間足以使沉積在特徵部側壁上之介電材料平滑,而不使基板上之下方材料外露。在實施側壁之平滑化時,蝕刻之持續時間可為約200秒或小於約200秒、或短於打開特徵部開口之持續時間。
在操作610中,在部分蝕刻的介電材料上沉積第二數量之介電材料。在各種實施例中,第二數量之介電材料係藉由ALD、PEALD、CVD、PECVD或其任何組合加以沉積。可使用任何合適的前驅物及反應物以進行沉積。例如,為了沉積第二數量之矽氧化物,以上關於操作604所述之任何含矽前驅物可用於操作610。類似地,可使用用於與前驅物進行反應之任何合適的反應物。例如,為了沉積矽氧化物,可使用氧化劑(例如,氧或一氧化二氮)與含矽前驅物進行反應。以上關於操作604所述之任何氧化劑可用於操作610中。
在一些實施例中,在操作604與操作608之間吹淨處理腔室。在一些實施例中,在操作608與610之間吹淨處理腔室。在一些實施例中,在操作610之後吹淨處理腔室。吹淨腔室可涉及使吹淨氣體(purge gas)或吹掃氣體(sweep gas)流動,吹淨氣體或吹掃氣體可為在其它操作中所使用之載氣,或可為不同的氣體。示例性吹淨氣體包括氬、氮、氫、及氦。在各種實施例中,吹淨氣體為惰性氣體。示例性惰性氣體包括氬、氮及氦。在一些實施例中,吹淨可涉及抽空腔室。在一些實施例中,吹掃可包括一或更多抽空子階段,用於抽空處理腔室。或者,應當理解,在一些實施例中可省略吹淨。吹淨可實施任何合適的持續時間,例如在約0.1秒與約2秒之間。
在一些實施例中,第二數量之介電材料係填滿特徵部。在一些實施例中,實施更多的操作直到填滿特徵部。例如,在一些實施例中,以循環的方式重複操作604、608及610。在一些實施例中,在實施操作604之後,依序地重複操作608及610。
在一些實施例中,操作604包括,藉由ALD而沉積第一數量之介電特徵部以及藉由PECVD而沉積護盔,並且在沉積護盔之後實施操作608中之蝕刻。在一些實施例中,護盔與用於填充特徵部之介電質是不同的材料。例如,在一些實施例中,矽氧化物被沉積在特徵部中,但是在蝕刻之前矽氮化物被沉積做為護盔。在一些實施例中,當待沉積至特徵部中之材料為矽氧化物時,使用矽氮化物做為護盔,可用於在蝕刻期間達成矽氮化物犧牲護盔材料相對於矽氧化物材料之高蝕刻選擇性,以防止沉積在特徵部中之材料之去除。此外,相對於使用矽氧化物做為護盔,使用矽氮化物護盔可容許對沉積較少之矽氮化物進行較長持續時間之蝕刻。例如,對於沉積厚度相同之矽氮化物護盔與矽氧化物護盔,矽氧化物護盔之蝕刻將比矽氮化物護盔更快。因此,需使用較厚的矽氧化物護盔,以達到用於打開使用較薄的矽氮化物材料之特徵部之特定蝕刻持續時間。
圖7提供了根據某些所揭示的實施例所實施之示例性方法之示例性處理流程圖。在圖7中之示例性處理包括各種操作(例如,圖6之操作604、608及610)之重複。
在操作701中,將具有側壁形貌之特徵部之基板提供至處理腔室。雖然本文中所述之範例涉及具有側壁形貌之特徵部,但應當了解,這樣的實施例可應用於具有在多層堆疊中之特徵部、具有正傾斜側壁之特徵部、具有負傾斜側壁之特徵部、及具有內凹輪廓之特徵部之基板。操作701可對應至以上關於圖6所述之操作602之實施例。
在操作702a-1、702b-1、702c-1及702d-1中,可以將第一數量之介電材料沉積至特徵部中,在一些實施例中,這樣的操作可對應至以上關於圖6所述之操作604之實施例。在此特定範例中,操作702a-1到702d-1可構成一ALD循環。在操作702a-1中,使基板暴露至含矽前驅物(例如以上關於操作604所述之任何含矽前驅物),以使前驅物吸附至特徵部之表面上。在各種實施例中,此操作為自限制的。在一些實施例中,前驅物吸附至特徵部表面上之所有活性位置其中一部分。在操作702b-1中,任選地吹淨處理腔室,以去除任何未吸附的含矽前驅物。在操作702c-1中,使基板暴露至氧化劑並點燃電漿,以在特徵部中形成第一矽氧化物層。在各種實施例中,此層為沉積在特徵部中之第一數量之介電材料,如以上關於圖6之操作604中所述。在各種實施例中,操作702c-1將吸附的含矽前驅物層轉換為矽氧化物。在操作702d-1中,任選地吹淨處理腔室,以去除由含矽前驅物與氧化劑之間之反應所產生之副產物。根據需要,可任選地重複操作702a-1至702d-1二或更多循環,以在特徵部中將矽氧化物沉積至期望厚度。
在操作708a中,從特徵部中部分地蝕刻第一數量之矽氧化物。在各種實施例中,此對應於圖6之操作608。實施操作708a之持續時間可足以打開特徵部開口。例如,在一些實施例中,操作702a-1至702d-1之循環可沉積矽氧化物至特徵部中直到夾斷(pinch-off),在其上實施操作708a以打開特徵部開口,從而允許後續的沉積。可使用以上關於操作608所述之任何蝕刻化學品。在一些實施例中,點燃電漿以促進蝕刻。應當了解,蝕刻化學品及電漿條件取決於沉積在特徵部中之材料。例如,對於矽氧化物之沉積,操作708a可涉及,使三氟化氮流動並且以在約1000 W與約5000 W之間之功率點燃電漿,以蝕刻矽氧化物。
在操作710中,使基板暴露至含矽前驅物及氧化劑,以藉由PECVD形成第二數量之矽氧化物。此可對應於上述之圖6之操作610。在一些實施例中,所使用之含矽前驅物與操作702a-1中相同。在一些實施例中,所使用之含矽前驅物不同於在702a-1中所使用之含矽前驅物。含矽前驅物之選擇取決於所用之氧化劑及所用之技術(ALD、PEALD、CVD、PECVD等)。氧化劑亦可與在操作702c-1中所使用之氧化劑相同或不同。第二數量之矽氧化物可用於沉積護盔在基板之場表面上。
在操作708b中,可從特徵部開口蝕刻矽氧化物。此可對應於如上所述之圖6之操作608之重複操作。在一些實施例中,在操作710中所沉積之護盔保護在矽氧化物下方之材料及特徵部輪廓,俾使護盔被蝕刻,同時蝕刻特徵部開口(相對於特徵部之內部)。例如,特徵部開口之蝕刻可涉及蝕刻特徵部之深度之頂部5 %或頂部10 %。在一些實施例中,由於特徵部之高深寬比,蝕刻物種可能不會到達特徵部之底部,因此,只有沉積在特徵部中之材料之頂部約50 %被蝕刻。可藉由使用在約1000 W與約5000 W之間之電漿功率來調變這樣的蝕刻,持續時間在2秒與約200秒之間。
操作702a-2至702d-2可構成一ALD循環。這樣的範例顯示出,例如,圖6之操作604之重複。操作702a-2可與操作702a-1相同或涉及相同的前驅物及條件,操作702b-2可與操作702b-1相同或涉及相同的吹淨條件,操作702c-2可與操作702c-1相同或涉及相同的氧化劑及∕或電漿條件,操作702d-2可與操作702d-1相同或涉及相同的吹淨條件。在操作702a-2期間,使基板暴露至含矽前驅物,以使前驅物吸附至特徵部表面,特徵部表面包括在先前操作中經過沉積及∕或蝕刻之矽氧化物。在一些實施例中,可任選地重複操作702a-1、702b-1、702c-1、702d-1、708a、710、708b、702a-2、702b-2、702c-2及702d-2,直到填滿特徵部為止。
圖8A-8D顯示根據某些所揭示的實施例之具有特徵部802a之基板804a之範例,特徵部802a具有由襯墊806a所定義之內凹特徵部、以及特徵部底部812a,遭受特徵部填充操作。在圖8B中,在基板上沉積第一數量之矽氧化物808b以形成護盔。雖然以矽氧化物做為範例,但在一些實施例中,護盔為矽氮化物或矽氮氧化物。由於內凹輪廓,所以形成空隙810b。此可對應於在圖6之操作604中之沉積。在圖8C中,回蝕基板,如已蝕刻的矽氧化物808c中所示。此可對應於圖6之操作608。在圖8D中,基板回蝕完成,且特徵部打開,如外露的空隙810d所示,且在回蝕期間犧牲護盔被消耗,而沒有材料損失816d。相較於圖3D,現在可利用後續的ALD操作來沉積矽氧化物以填充特徵部802d而沒有空隙。
圖9A-9D顯示根據某些所揭示的實施例之具有特徵部902a之基板904a之範例,特徵部902a具有由具有殘段916a之襯墊906a所定義之特徵部輪廓、以及特徵部底部912a,遭受特徵部填充操作。在圖9B中,將第一數量之矽氧化物908b沉積在基板上以形成護盔,如沉積在襯墊906b之場表面上之過載中所示。由於側壁形貌,在已沉積的矽氧化物之側壁之間存在空間910b。此沉積可對應至在圖6之操作604中之沉積。在圖9C中,基板被回蝕,如已蝕刻的矽氧化物908c中所示,產生平滑化的表面914c。此可對應於圖6之操作608。在圖9D中,基板回蝕完成,特徵部打開,且如圖所示在914d及916d處之側壁皆被平滑化,犧牲護盔在回蝕期間被消耗,而沒有材料損失916d。相較於圖4D,現在可利用後續的ALD操作來沉積矽氧化物以填充特徵部902d而沒有空隙。
圖9E-9H顯示根據某些所揭示的實施例之具有特徵部902e之基板904e之範例,特徵部902e具有由具有殘段916e之襯墊906e所定義之特徵部輪廓、以及特徵部底部912e,遭受特徵部填充操作。在圖9F中,將第一數量之矽氧化物908f沉積至特徵部902f中,並且將矽氮化物護盔999f沉積在基板上,如沉積在襯墊906f之場表面上之過載中所示。此沉積可對應至在圖6之操作604及607中之沉積。由於側壁形貌,在已沉積的矽氧化物之側壁之間存在空間910f。在圖9G中,基板被回蝕,如已蝕刻的矽氧化物908g所示,產生平滑化的表面914g。此可對應於圖6之操作608。應當注意,矽氮化物具有對矽氧化物之蝕刻選擇性,所以大部分的矽氧化物保留,且矽氮化物護盔可承受蝕刻操作更長的持續時間;然而,由於此處的蝕刻選擇性不一定是無限大的,所以在蝕刻處理中可能去除一些矽氮化物。在圖9H中,基板回蝕完成,特徵部打開,且如圖所示在914h及916h處之側壁皆被平滑化,犧牲護盔具有相對於矽氧化物之蝕刻選擇性,而沒有材料損失916h。應當注意,在一些實施例中,可能去除一些護盔999h,但不像矽氧化物那麼多(在相同的蝕刻條件下)。相較於圖4D,現在可利用後續的ALD操作來沉積矽氧化物以填充特徵部902h,而沒有空隙。
圖10A-10C顯示根據某些所揭示的實施例之具有多層堆疊之基板之範例,多層堆疊具有二材料1004a及1014a,具有遭受特徵部填充操作之特徵部1002a。將第一數量之矽氧化物1008a沉積在基板上以形成護盔。此可對應於在圖6之操作604中之沉積。在圖10B中,基板被回蝕,如已蝕刻的矽氧化物1008b中所示。此可對應於圖6之操作608。相較於圖5C,在圖10C中,利用後續的ALD操作來沉積矽氧化物以填充特徵部1002c,而不損壞特徵部輪廓。
圖11為根據圖6之重複操作之範例之示例性脈衝之時序圖,其使用PEALD及PECVD之組合以在沉積操作期間沉積矽氧化物。圖11顯示在示例性處理1100中之複數階段之各種處理參數,例如載氣或吹淨氣體流動、含矽前驅物流動、氧化劑流動、電漿功率及蝕刻劑流動。線條表示流動或電漿功率何時打開及關閉。其它未顯示在圖11中之處理參數亦可能與調變某些所揭示的實施例有關;這類的參數包括,但不限於,前驅物、惰性、反應物及蝕刻劑氣體之流率、基板溫度及處理腔室壓力。
處理1100包括ALD循環1102-1、蝕刻階段1108-1、ALD循環1110-1、PECVD暴露階段1110-2、長回蝕階段1108-2及ALD循環1102-2。雖然在圖11中顯示特定順序之示例性操作,但是應當了解,此僅為一範例,且ALD、PECVD及蝕刻之其它變型可使用於具有各種類型及各種材料之特徵部之基板。此外,雖然圖11顯示用於沉積矽氧化物之矽前驅物及氧化劑流動,但應當了解,實施例可適用於沉積任何期望的材料,例如本文其它處所述。
ALD循環1102-1包括含矽前驅物暴露階段1102a、吹淨階段1102b、氧化劑暴露階段1102c及吹淨階段1102d。在含矽前驅物暴露階段1102a中,使氬流動做為載氣,含矽前驅物氣體流動打開,同時氧化劑及蝕刻劑流動關閉,且電漿關閉。在吹淨階段1102b中,氬氣保持打開,而含矽氣體、氧化劑氣體及蝕刻劑氣體流動關閉,且電漿關閉。在氧化劑暴露階段1102c中,氧化劑及吹淨氣體流動打開,同時電漿打開,且含矽前驅物及蝕刻劑氣體流動關閉。在吹淨階段1102d中,氬氣打開,同時含矽氣體流動、氧化劑氣體流動及蝕刻劑氣體流動關閉,且電漿關閉。雖然描繪了一ALD循環,但應當了解,在一些實施例中可以實施複數循環。
蝕刻階段1108-1包括一蝕刻階段1108a,藉此氬氣及蝕刻劑氣體流動打開,且電漿打開,同時含矽前驅物及氧化劑氣體流動關閉。
在吹淨階段1153a中,氬做為吹淨氣體且氬氣流動打開,同時含矽前驅物氣體、氧化劑氣體及蝕刻劑氣體流動關閉,且電漿關閉。
在蝕刻階段1108-1之蝕刻之後,實施ALD循環1110-1以沉積更多矽氧化物材料。ALD循環1110-1包括來自ALD循環1102-1之重複操作,俾使ALD循環1110-1包括含矽前驅物暴露階段1102a、吹淨階段1102b、氧化劑暴露階段1102c及吹淨階段1102d。在含矽前驅物暴露階段1102a中,使氬流動做為載氣,含矽前驅物氣體流動打開,同時氧化劑及蝕刻劑流動關閉,且電漿關閉。在吹淨階段1102b中,氬氣保持打開,而含矽氣體、氧化劑氣體及蝕刻劑氣體流動關閉,且電漿關閉。在氧化劑暴露階段1102c中,氧化劑及吹淨氣體流動打開,同時電漿打開,且含矽前驅物及蝕刻劑氣體流動關閉。在吹淨階段1102d中,氬氣打開,同時含矽氣體流動、氧化劑氣體流動及蝕刻劑氣體流動關閉,且電漿關閉。雖然描繪了一ALD循環,但應當了解,在一些實施例中可以實施複數循環。
在此範例中,在蝕刻階段1108-1之蝕刻之後,實施ALD循環1110-1及PECVD暴露1110-2之組合,以沉積第二數量之矽氧化物。在PECVD暴露階段1110-2期間,僅描繪PECVD暴露1110之一操作,藉此使氬流動,使含矽前驅物氣體流動,使氧化劑氣體流動,並且點燃電漿以沉積矽氧化物。應當了解,含矽前驅物可與在ALD循環1102-1及1110-1中所使用之含矽前驅物相同或不同。PECVD暴露1110-2可對應至在基板之場表面上形成護盔。
在長回蝕階段1108-2中,描繪較長的蝕刻持續時間1108b,藉此使氬流動,使蝕刻氣體流動,並且點燃電漿以促進蝕刻,同時關閉含矽前驅物及氧化劑氣體流動。此可對應於在基板上形成犧牲護盔之後藉由蝕刻打開特徵部開口。
吹淨階段1153b涉及使氬氣流動,同時含矽氣體、氧化劑氣體及蝕刻劑氣體流動關閉,且電漿關閉。ALD循環1102-2包括來自ALD循環1102-1之重複操作,俾使ALD循環1102-2包括含矽前驅物暴露階段1102a、吹淨階段1102b、氧化劑暴露階段1102c及吹淨階段1102d。在含矽前驅物暴露階段1102a中,使氬流動做為載氣,含矽前驅物氣體流動打開,同時氧化劑及蝕刻劑流動關閉,且電漿關閉。在吹淨階段1102b中,氬氣保持打開,而含矽氣體、氧化劑氣體及蝕刻劑氣體流動關閉,且電漿關閉。在氧化劑暴露階段1102c中,氧化劑及吹淨氣體流動打開,同時電漿打開,含矽前驅物及蝕刻劑氣體流動關閉。在吹淨階段1102d中,氬氣打開,同時含矽氣體流動、氧化劑氣體流動及蝕刻劑氣體流動關閉,且電漿關閉。雖然描繪了一ALD循環,但應當了解,在一些實施例中可以實施複數循環。
設備
圖12描繪原子層沉積(ALD)處理工作站1200之實施例之概要圖,處理工作站1200具有用以維持低壓環境之處理腔室本體1202。這樣的工作站可用於實施某些所揭示的實施例,包括藉由ALD、PEALD、CVD、PECVD之沉積,還有回蝕操作。在共同的低壓處理工具環境中,可包括複數ALD處理工作站1200。例如,圖13描繪多工作站式處理工具1300之一實施例。在一些實施例中,藉由一或更多電腦控制器1250,可編程地調整ALD處理工作站1200之一或更多硬體參數,包含以下所詳細討論者。
ALD處理工作站1200與反應物傳送系統1201a流體連通,反應物傳送系統1201a用於將處理氣體傳送至分配噴淋頭1206。反應物傳送系統1201a包括混合容器1204,用於混合及∕或調節處理氣體以傳送至噴淋頭1206,處理氣體例如為含矽前驅物氣體、或氧化劑氣體(例如,氧或一氧化二氮)、惰性氣體、蝕刻劑氣體(例如,三氟化氮)。一或更多混合容器入口閥1220可控制處理氣體至混合容器1204之引入。三氟化氮及∕或氧化劑電漿亦可被傳送至噴淋頭1206、或可產生在ALD處理工作站1200中。
做為一範例,圖12之實施例包括汽化點1203,用於將待供應至混合容器1204之液體反應物汽化。在一些實施例中,汽化點1203可為加熱的汽化器。由這樣的汽化器所產生之飽和反應物蒸汽可能在下游之傳送管路中凝結。讓不相容之氣體暴露至凝結的反應物可能產生小微粒。這些小微粒可能堵塞管道、阻礙閥操作、污染基板等。解決這些問題之一些方法涉及吹淨及∕或抽空傳送管路,以去除殘留的反應物。然而,吹淨傳送管路可能增加處理工作站之循環時間,從而降低處理工作站之產能。因此,在一些實施例中,汽化點1203下游之傳送管路可為伴熱的(heat-traced)。在一些範例中,混合容器1204亦可為伴熱的。在一非限制性範例中,汽化點1203下游之管路具有漸增的溫度分佈,從大約100 °C至在混合容器1204處之大約150 °C。
在一些實施例中,液體前驅物或液體反應物可在液體注射器被汽化。例如,液體注射器可將液體反應物脈衝注入至在混合容器上游之載氣流中。在一實施例中,液體注射器可藉由使液體從較高壓力快速移動至較低壓力而使反應物汽化。在另一範例中,液體注射器可使液體霧化為分散的微滴,該分散的微滴隨後在加熱的傳送管路中被汽化。較小的液滴之汽化可能比較大之液滴更快,因而縮短在液體注入與完全汽化之間之延遲。較快的汽化可減少在汽化點1203下游之管路長度。在一情況中,液體注射器可直接安裝至混合容器1204。在另一情況中,液體注射器可直接安裝至噴淋頭1206。
在一些實施例中,可設置在汽化點1203上游之液體流量控制器(LFC),以控制用於汽化及傳送至處理工作站1200之液體之質流。例如,LFC可包括位於LFC下游之熱質流計(MFM)。接著,可調整LFC之柱塞閥,以因應於由比例-積分-微分(PID)控制器(與MFM係電性連通)所提供之反饋控制信號。然而,使用反饋控制可能花費1秒或更久來使液體流量穩定。這可能延長用於注入液體反應物之時間。因此,在一些實施例中,LFC可在反饋控制模式與直接控制模式之間進行動態地切換。在一些實施例中,此可藉由使LFC之感測管及PID控制器失效而實施。
噴淋頭1206將處理氣體朝向基板1212分配。在圖12所示之實施例中,基板1212位於噴淋頭1206下方,並且顯示為置於基座1208上。噴淋頭1206可具有任何適當的形狀,並且可具有任何適當數目及配置之埠口,用以分配處理氣體至基板1212。
在一些實施例中,基座1208可上升或下降,以使基板1212暴露至在基板1212與噴淋頭1206之間之容積。應當了解,在一些實施例中,可藉由適當的電腦控制器1250以可編程的方式調整基座高度。
在另一情況下,在點燃電漿之實施例中,在處理中之電漿活化循環期間,調整基座1208之高度可造成電漿密度之改變。在處理階段結束時,基座1208可在另一基板轉移階段期間下降,以容許基板1212從基座1208移除。
在某些實施例中,基座1208可透過加熱器1210控制溫度。在一些實施例中,可將基座1208加熱至在約50 °C與約650 °C之間之溫度。在一些實施例中,可將基座設定在約50 °C與約500 °C之間之溫度,例如在約200 °C與約275 °C之間之溫度。在一些實施例中,可將基座設定在約50 °C與約300 °C之間之溫度。在一些實施例中,可將基座設定在約200 °C與約275 °C之間之溫度。
此外,在一些實施例中,處理工作站1200之壓力控制可藉由蝶形閥1218而提供。如圖12之實施例中所示,蝶形閥1218節流由下游真空泵(未顯示)所提供之真空。然而,在一些實施例中,處理工作站1200之壓力控制亦可藉由改變一或更多氣體導入至處理工作站1200之流率而加以調整。
在一些實施例中,噴淋頭1206之位置可相對於基座1208而加以調整,以改變在基板1212與噴淋頭1206之間之容積。此外,應當了解,在本揭示內容之範疇內,基座1208及∕或噴淋頭1206之垂直位置可藉由任何適當的機構而加以改變。在一些實施例中,基座1208可包括旋轉軸,用於旋轉基板1212之位向。應當了解,在一些實施例中,這些示例性調整其中一或更多者可藉由一或更多適當的電腦控制器1250而編程地加以實施。
在可使用上述電漿之一些實施例中,噴淋頭1206及基座1208與用來對電漿施加功率之射頻(RF)電源1214及匹配網路1216電性連通。在一些實施例中,藉由控制處理工作站壓力、氣體濃度、RF來源功率、RF來源頻率、及電漿功率脈衝時序其中一或更多者,可控制電漿之能量。例如,RF電源1214及匹配網路1216可在任何合適的功率下操作,以形成具有期望的自由基物種組成之電漿。合適功率之範例係說明在上文中。類似地,RF電源1214可提供任何適當頻率之RF功率。在一些實施例中, RF電源1214可配置成彼此獨立地控制高頻及低頻RF電源。示例性低頻RF頻率可包括,但不限於,在0 kHz與500 kHz之間之頻率。示例性高頻RF頻率可包括,但不限於,在1.8 MHz與2.45 GHz之間、或大於約13.56 MHz、或大於27 MHz、或大於40 MHz、或大於60 MHz之頻率。應當了解,任何適當的參數都可分離地或連續地加以調控,以提供用於表面反應之電漿能量。根據工作站是否正在實施沉積或蝕刻,可改變電漿功率。例如,示例性蝕刻電漿功率包括1000 W至5000 W。
在一些實施例中,電漿可藉由一或更多電漿監控器而受到原位(in-situ)監控。在一情況中,電漿功率可藉由一或更多電壓、電流感測器(例如,VI探針)而加以監控。在另一情況中,電漿密度及∕或處理氣體濃度可藉由一或更多光學放射光譜感測器(OES)而加以量測。在一些實施例中,一或更多電漿參數可基於來自這樣的原位電漿監控器之測量結果而編程地加以調整。例如,OES感測器可使用在用於提供電漿功率之編程控制之反饋迴路中。應當了解,在一些實施例中,可使用其它監控器以監控電漿及其它處理特性。這樣的監控器可包括,但不限於,紅外線(IR)監控器、音訊監控器、及壓力轉換器。
在一些實施例中,用於控制器1250之指令可透過輸入∕輸出控制(IOC)序列指令而提供。在一範例中,用於設定處理階段之條件之指令可包括在處理配方之相對應的配方階段中。在一些例子中,可依序地設置處理配方階段,俾使用於處理階段之所有指令與該處理階段係同時執行。在一些實施例中,用以設定一或更多反應器參數之指令可包括在配方階段中。例如,第一配方階段可包括:用於設定惰性氣體及∕或反應物氣體(例如第一前驅物,例如含矽前驅物)之流率之指令、用於設定載氣(例如,氬)之流率之指令、以及用於第一配方階段之時間延遲指令。隨後的第二配方階段可包括:用於調控或停止惰性氣體及∕或反應物氣體之流率之指令、及用於調控載氣或吹淨氣體之流率之指令、及用於第二配方階段之時間延遲指令。第三配方階段可包括:用於調控第二反應物氣體(例如,氧)之流率之指令、用於調控載氣或吹淨氣體之流率之指令、以及用於第三配方階段之時間延遲指令。隨後的第四配方階段可包括:用於調控或停止蝕刻劑氣體之流率之指令、用於調控載氣或吹淨氣體之流率之指令、及用於第四配方階段之時間延遲指令。第五配方階段可包括:用於分別調控用於沉積矽氮化物或氧化物護盔之含矽氣體和含氮或含氧氣體之流率之指令、用於調控載氣或吹淨氣體之流率之指令、以及用於第五配方階段之時間延遲指令。應當了解,這些配方階段可在本揭示內容之範疇內以任何適當方式進一步再分割及∕或重複。在一些實施例中,控制器1250可包括下述關於圖13之系統控制器1350之任何特徵。
如上所述,一或更多處理工作站可包含在多工作站式處理工具中。 圖13顯示多工作站式處理工具1300之實施例之概要圖,具有入站裝載室1302及出站裝載室1304,入站裝載室1302及出站裝載室1304其中任一者或兩者可包括遠端電漿源。在大氣壓力下之機械臂1306係用以將晶圓自卡匣(透過盒1308而裝載)經由大氣埠1310移動至入站裝載室1302中。晶圓係藉由機械臂1306而放置在入站裝載室1302中之基座1312上,關閉大氣埠1310,且抽空裝載室。在入站裝載室1302包括遠端電漿源之情況中,可使晶圓在被導入處理腔室1314之前、在裝載室中暴露至遠端電漿處理。此外,晶圓亦可在入站裝載室1302中進行加熱,例如,以移除濕氣及吸附的氣體。接著,打開往處理腔室1314之腔室傳送埠1316,另一機械臂(未顯示)將晶圓放置在反應器中、且在顯示於反應器中之第一工作站之基座上,以進行處理。雖然圖13中所繪示之實施例包括裝載室,但應當了解,在一些實施例中,晶圓可直接進入處理工作站中。
在圖13所示之實施例中,所描繪的處理腔室1314包括四處理工作站,編號為1到4。每一工作站具有加熱的基座(顯示於工作站1之1318)及氣體管線入口。應當了解,在一些實施例中,每一處理工作站可具有不同或多個目的。例如,在一些實施例中,處理工作站可在ALD與電漿增強ALD處理模式之間進行切換。額外或替代地,在一些實施例中,處理腔室1314可包括一或更多匹配成對的ALD及電漿增強ALD處理工作站。儘管所描繪的處理腔室1314包括四工作站,但應當理解,根據本揭示內容之處理腔室可具有任何適當數目之工作站。例如,在一些實施例中,處理腔室可具有五或更多工作站,然而在其它實施例中,處理腔室可具有三或更少工作站。
圖13描繪晶圓搬運系統1390之實施例,用以在處理腔室1314中轉移晶圓。在一些實施例中,晶圓搬運系統1390可在各種處理工作站之間及∕或在處理工作站與裝載室之間轉移晶圓。應當了解,可採用任何適當的晶圓搬運系統。非限制性範例包括晶圓旋轉架及晶圓搬運機械臂。圖13亦描繪系統控制器1350之實施例,用以控制處理工具1300之處理條件及硬體狀態。系統控制器1350可包括一或更多記憶體裝置1356、一或更多大容量儲存裝置1354、及一或更多處理器1352。處理器1352可包括CPU或電腦、類比及∕或數位輸入∕輸出連接、步進馬達控制器板等。
在一些實施例中,系統控制器1350控制處理工具1300之所有活動。系統控制器1350執行系統控制軟體1358,系統控制軟體1358係儲存於大容量儲存裝置1354中、載入至記憶體裝置1356中、以及在處理器1352上執行。或者,可將控制邏輯硬編碼於控制器1350中。為了這些目的,可使用特殊應用積體電路、可編程邏輯裝置(例如,場域可編程閘陣列,或FPGA)及類似者。在以下討論中,在使用「軟體」或「編碼」之任何情況中,可適當地使用功能上可比較的硬編碼邏輯。系統控制軟體1358可包括用以控制以下者之指令:時序、氣體之混合、氣體流率、腔室及∕或工作站壓力、腔室及∕或工作站溫度、晶圓溫度、目標功率位準、RF功率位準、基板基座、夾盤及∕或托座位置、及藉由處理工具1300而執行之特定處理之其它參數。系統控制軟體1358可以任何適當的方式加以配置。例如,可撰寫各種處理工具元件子程序或控制物件,以控制用於實行各種處理工具處理之處理工具元件之操作。系統控制軟體1358可以任何適當的電腦可讀程式語言加以編碼。
在一些實施例中,系統控制軟體1358可包括輸入∕輸出控制(IOC)序列指令,用以控制上述的各種參數。在一些實施例中,可採用儲存於與系統控制器1350相聯繫之大容量儲存裝置1354及∕或記憶體裝置1356上之其它電腦軟體及∕或程式。用於此目的之程式或程式片段之範例包括基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包括用於處理工具元件之程式碼,處理工具元件係用以將基板裝載至基座1318上,並且控制在基板與處理工具1300之其它零件之間之間距。
處理氣體控制程式可包括用以控制氣體組成(例如,如本文所述之含矽氣體、氧化劑氣體、蝕刻劑氣體、載氣、及吹淨氣體)及流率之編碼,以及任選地,用以在沉積之前使氣體流動至一或更多處理工作站中以穩定處理工作站壓力之編碼。壓力控制程式可包括用以控制處理工作站內壓力之編碼,其係藉由調節,例如,在處理工作站之排氣系統中之節流閥、進入處理工作站之氣體流動、等。
加熱器控制程式可包括用以控制至加熱單元之電流之編碼,加熱單元係用以加熱基板。或者,加熱器控制程式可控制熱轉移氣體(例如,氦)至基板之傳送。
根據本文中之實施例,電漿控制程式可包括用以對施加至一或更多處理工作站中之處理電極之RF功率位準進行設定之編碼。
根據本文中之實施例,壓力控制程式可包括用以維持在反應腔室中之壓力之編碼。
在一些實施例中,可具有與系統控制器1350相聯繫之使用者介面。使用者介面可包括顯示螢幕、設備及∕或處理條件之圖形軟體顯示、以及使用者輸入裝置,例如指示裝置、鍵盤、觸控螢幕、麥克風、等。
在一些實施例中,由系統控制器1350所調整之參數可能與處理條件有關。非限制性範例包括處理氣體組成及流率、溫度、壓力、電漿條件(例如,RF偏壓功率位準)等。這些參數可以配方之形式而提供給使用者,配方可利用使用者介面而輸入。
藉由系統控制器1350之類比及∕或數位輸入連接,可自各種處理工具感測器而提供用以監控處理之訊號。用以控制處理之訊號可在處理工具1300之類比及數位輸出連接上進行輸出。可受監控之處理工具感測器之非限制性範例包括質流控制器、壓力感測器(例如,壓力計)、熱偶等。適當編程的反饋及控制演算法可與來自這些感測器之資料一起用來維持處理條件。
系統控制器1350可提供用以實施上述沉積處理之程式指令。程式指令可控制各種處理參數,例如DC功率位準、RF偏壓功率位準、壓力、溫度、等。根據本文中所述之各種實施例,指令可控制參數,以操作膜堆疊之原位沉積。
典型地,系統控制器1350將包括一或更多記憶體裝置、以及一或更多用以執行指令之處理器,俾使設備將執行根據所揭示的實施例之方法。機器可讀媒體可耦接至系統控制器1350,該機器可讀媒體包括用以根據所揭示的實施例而控制處理操作之指令。
在一些實行例中,系統控制器1350為系統之一部分,其可為上述範例之一部分。這樣的系統可包括半導體處理設備,包括一處理工具或複數處理工具、一腔室或複數腔室、用以進行處理之一平台或複數平台、及∕或特定的處理元構件(晶圓基座、氣體流動系統、等)。這些系統可與電子元件整合,電子元件係用以於半導體晶圓或基板之處理之前、期間內、及之後控制它們之操作。電子元件可稱為「控制器」,控制器可控制一系統或複數系統之各種構件或子部分。根據處理條件及∕或系統類型,系統控制器1350可被程式化,以控制本文中所揭示之任何處理,包括處理氣體之傳送、溫度設定(例如,加熱及∕或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體傳送設定、定位及操作設定、晶圓傳遞進入與離開連接至特定系統或與特定系統接合之工具及其它傳遞工具及∕或裝載室。
廣義而言,系統控制器1350可定義為具有用以接收指令、發出指令、控制操作、使清洗操作得以進行、使終點測量得以進行、及達成類似功能之各種積體電路、邏輯、記憶體、及∕或軟體之電子元件。積體電路可包括儲存程式指令之韌體形式之晶片、數位信號處理器(DSP)、定義為特殊應用積體電路(ASIC)之晶片、及∕或一或更多微處理器、或執行程式指令(例如,軟體)之微控制器。程式指令可為以各種單獨設定(或程式檔案)之形式通訊至系統控制器1350之指令,定義了用以在半導體晶圓上、或對半導體晶圓、或對系統實施特定處理之操作參數。在一些實施例中,操作參數可為由製程工程師所定義以在晶圓之一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及∕或晶粒之製造期間內完成一或更多處理步驟之配方之一部分。
在一些實行例中,系統控制器1350可為電腦之一部分或耦接至電腦,該電腦與系統整合、耦接至系統、以其它方式網路連接至系統、或其組合。例如,系統控制器1350可在「雲端」或晶圓廠主機電腦系統之全部或一部分中,使得晶圓處理之遠端控制得以進行。電腦可使得對系統之遠端控制得以進行,以監控製造操作之當前處理、檢驗過去製造操作之歷史記錄、檢驗複數製造操作之趨勢或效能評量、改變當前處理之參數、設定在當前處理之後之處理步驟、或開始新的處理。在一些範例中,遠端電腦(例如伺服器)可透過網路而將處理配方提供至系統,網路可包括區域網路或網際網路。遠端電腦可包括使用者界面,該使用者介面使得參數及∕或設定之輸入或程式化得以進行,該參數及∕或設定接著從遠端電腦被傳遞至該系統。在一些範例中,系統控制器1350接收數據形式之指令,指令為待於一或更多操作期間內執行之處理步驟其中每一者指定了複數參數。應當了解,該等參數可針對待執行之處理類型、及系統控制器1350與其接合或對其進行控制之工具類型。因此,如上所述,系統控制器1350可為分散式的,例如藉由包括以網路連接在一起並朝著共同目標(例如本文中所述之處理及控制)工作之一或更多獨立控制器。用於這樣的目標之分散式控制器之範例將是腔室中之一或更多積體電路,該一或更多積體電路與位於遠端(例如,在平台等級或做為遠端電腦之一部分)之一或更多積體電路相連通,而結合以控制腔室中之處理。
非限制性地,示例性系統可包括電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、ALD腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及關於或用於半導體晶圓之加工及∕或製造之任何其它半導體處理系統。
如上所述,取決於待由工具所執行之處理步驟,系統控制器1350可與下列之一或多者通訊:其它工具電路或模組、其它工具構件、叢集工具、其它工具介面、相鄰工具、鄰近工具、位於工廠各處之工具、主電腦、另一控制器、或在半導體製造工廠中將晶圓容器移入及移出工具位置及∕或裝載埠之材料傳送用工具。
用於實施本文中所揭示之方法之合適設備係進一步討論及描述於2011年4月11日所申請且發明名稱為「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」之美國專利申請案第13/084,399號(現為美國專利第8,728,956號)、及2011年4月11日所申請且發明名稱為「SILICON NITRIDE FILMS AND METHODS」之美國專利申請案第13/084,305號,每一者之全部內容係併入本文中。
本文中所述之設備∕處理可與,例如,用於加工或製造半導體元件、顯示器、LED、光伏面板等之微影圖案化工具或處理一起使用。一般而言,雖然並非必要,但此類工具∕處理會在一共同的製造廠房中一起使用或進行。膜之微影圖案化通常包括下述操作之一些或全部,每一操作以幾個可能的工具而提供:(1) 在工作件(亦即,基板)上光阻之塗佈,使用旋塗式或噴塗式工具;(2) 光阻之固化,使用加熱板或加熱爐或UV固化工具;(3) 以工具(例如,晶圓步進機)使光阻暴露至可見光或UV光或x射線光;(4) 使光阻顯影,以便使用工具(例如,濕式清洗台)選擇性地移除光阻及從而使其圖案化;(5) 使用乾式或電漿輔助蝕刻工具,將光阻圖案轉移至下方膜或工作件中;及 (6) 使用工具(例如,RF或微波電漿光阻剝除器)移除光阻。
實驗
實驗1
在具有15:1特徵部之基板上進行實驗,該特徵部具有5微米之深度,具有凹陷的鎢及矽氧化物殘段及內凹在特徵部頂部處之特徵部開口附近。 在這樣的基板上實施矽氧化物之習知原子層沉積,此導致氧化物殘段在側壁上聚集在一起之空隙。在特徵部頂部處之內凹亦導致頂部空隙。使另一個類似的基板暴露於300個ALD循環、使用NF3
之回蝕、多個ALD循環、使用PECVD沉積護盔60秒、長時間回蝕及ALD沉積以填充特徵部。基板沒有產生空隙,且沒有從特徵部開口處之轉角移除材料。這些結果顯示,某些所揭示的沉積–蝕刻–沉積操作在用於在具有側壁形貌之高深寬比特徵部之介電材料之無空隙間隙填充時之可行性。
實驗2
在具有深度為160 nm之凹陷特徵部之基板上進行實驗,其中凹陷特徵部之表面是矽氮化物,在特徵部頂部處之特徵部開口是25 nm,且側壁在深度方向上朝特徵部底部變窄。使用40個ALD之循環將矽氧化物沉積至特徵部中,該ALD使用胺基矽烷前驅物及N2
O∕O2
之電漿。在ALD之後,藉由PECVD以沉積矽氧化物護盔至125 Å之厚度。在護盔沉積之後,使用NF3
蝕刻基板32秒之持續時間。護盔在蝕刻期間完全消耗。實施後續的ALD以完成特徵部之填充。特徵部被充滿,且沒有或很少空隙形成。
在具有深度為160 nm之凹陷特徵部之基板上進行實驗,其中凹陷特徵部之表面是矽氮化物,在特徵部頂部處之特徵部開口是25 nm,且側壁在深度方向上朝特徵部底部變窄。使用40個ALD之循環將矽氧化物沉積至特徵部中,該ALD使用胺基矽烷前驅物及N2
O∕O2
之電漿。在ALD之後,藉由PECVD以沉積矽氮化物護盔至125 Å之厚度,該PECVD使用胺基矽烷前驅物及氮電漿。在護盔沉積之後,使用NF3
蝕刻基板32秒之持續時間。在蝕刻之後,超過50 %之護盔仍然存在。實施後續的ALD以完成特徵部之填充。矽氮化物護盔對藉由ALD所沉積之矽氧化物之蝕刻選擇性允許較長的蝕刻持續時間,以打開特徵部。
在具有深度為160 nm之凹陷特徵部之基板上進行實驗,其中凹陷特徵部之表面是矽氮化物,在特徵部頂部處之特徵部開口是25 nm,且側壁在深度方向上朝特徵部底部變窄。使用40個ALD之循環將矽氧化物沉積至特徵部中,該ALD使用胺基矽烷前驅物及N2
O∕O2
之電漿。在ALD之後,藉由PECVD以沉積矽氮化物護盔至65 Å之厚度,該PECVD使用胺基矽烷前驅物及氮電漿。在護盔沉積之後,使用NF3
蝕刻基板32秒之持續時間。護盔在蝕刻期間完全消耗。實施後續的ALD以完成特徵部之填充。由於矽氮化物護盔對藉由ALD所沉積之矽氧化物之蝕刻選擇性,能夠使用較薄的護盔,且仍然達成無空隙之特徵部填充。
結論
儘管上述實施例已為了清楚理解之目的而詳細地加以描述,但顯然地,在所附申請專利範圍之範疇中,可實行某些變更及修改。應當注意,有許多替代的方式來實施本案實施例之處理、系統及設備。因此,本案實施例應被視為是用於說明的而不是限制性的,且本案實施例不應被限制於本文中所提出之細節。
1‧‧‧處理工作站
2‧‧‧處理工作站
3‧‧‧處理工作站
4‧‧‧處理工作站
102a,102b,102c,102d,102e‧‧‧特徵部
104a‧‧‧組成
104b,104c,104d,104e‧‧‧基板
106a,106b,106c,106d,106e‧‧‧襯墊
112c,112d,112e‧‧‧底部
114a‧‧‧組成
120c,120d‧‧‧特徵部開口
202a,202b,202c,202d,202e‧‧‧特徵部
204a‧‧‧材料
204b,204c,204d,204e‧‧‧基板
206a,206b,206c,206d,206e‧‧‧襯墊
208a,208b,208c,208d,208e‧‧‧介電材料
210a,210b,210c,210d‧‧‧接縫
210e‧‧‧微空隙
212a,212d,212e‧‧‧底部
214a‧‧‧材料
216e‧‧‧殘段
302a,302b,302c,302d‧‧‧特徵部
304a,304b,304c,304d‧‧‧基板
306a,306b,306c,306d‧‧‧襯墊
308b,308c,308d‧‧‧材料
310b,310c,310d‧‧‧空隙
312a,312b,312c,312d‧‧‧底部
316d‧‧‧材料損失
402a,402b,402c,402d‧‧‧特徵部
404a,404b,404c,404d‧‧‧基板
406a,406b,406c,406d‧‧‧襯墊
408b,408c,408d‧‧‧材料
410b‧‧‧空間
412a,412b,412c,412d‧‧‧底部
414c‧‧‧已平滑化的表面
414d‧‧‧頂部
416a,416b,416c,416d‧‧‧殘段
502a,502b,520c‧‧‧特徵部
504a‧‧‧組成
506a,506b,506c‧‧‧襯墊
508a,508b,508c‧‧‧材料
514a‧‧‧組成
602‧‧‧操作
604‧‧‧操作
607‧‧‧操作
608‧‧‧操作
610‧‧‧操作
701‧‧‧操作
702a-1,702a-2‧‧‧操作
702b-1,702b-2‧‧‧操作
702c-1,702c-2‧‧‧操作
702d-1,702d-2‧‧‧操作
708a,708b‧‧‧操作
710‧‧‧操作
802a,802b,802c,802d‧‧‧特徵部
804a,804b,804c,804d‧‧‧基板
806a,806b,806c,806d‧‧‧襯墊
808b,808c,808d‧‧‧矽氧化物
810b,810c,810d‧‧‧空隙
812a,812b,812c,812d‧‧‧底部
816d‧‧‧材料損失
902a,902b,902c,902d,902e,902f,902g,902h‧‧‧特徵部
904a,904b,904c,904d,904e,904f,904h‧‧‧基板
906a,906b,906c,906d,906e,906f,906g,906h‧‧‧襯墊
908b,908c,908d,908f,908g,908h‧‧‧矽氧化物
910b,910f‧‧‧空間
912a,912b,912c,912d,912e,912f,912g,912h‧‧‧底部
914c,914g‧‧‧已平滑化的表面
914d,914h‧‧‧側壁
916a,916b,916c,916d,916e,916f,916g,916h‧‧‧殘段
916d,916h‧‧‧側壁
999f,999h‧‧‧護盔
1002a,1002b,1002c‧‧‧特徵部
1004a‧‧‧材料
1006a,1006b,1006c‧‧‧襯墊
1008a,1008b,1008c‧‧‧矽氧化物
1014a‧‧‧材料
1100‧‧‧處理
1102-1,1102-2ALD‧‧‧循環
1102a‧‧‧含矽前驅物暴露階段
1102b‧‧‧吹淨階段
1102c‧‧‧氧化劑暴露階段
1102d‧‧‧吹淨階段
1108-1‧‧‧蝕刻階段
1108-2‧‧‧長回蝕階段
1108a‧‧‧蝕刻階段
1108b‧‧‧蝕刻
1110‧‧‧PECVD暴露
1110-1‧‧‧ALD循環
1110-2‧‧‧PECVD暴露階段
1153a,1153b‧‧‧吹淨階段
1200‧‧‧處理工作站
1201a‧‧‧反應物傳送系統
1202‧‧‧處理腔室本體
1203‧‧‧汽化點
1204‧‧‧混合容器
1206‧‧‧噴淋頭
1208‧‧‧基座
1210‧‧‧加熱器
1212‧‧‧基板
1214‧‧‧射頻(RF)電源
1216‧‧‧匹配網路
1218‧‧‧蝶形閥
1220‧‧‧混合容器入口閥
1250‧‧‧電腦控制器
1300‧‧‧多工作站式處理工具
1302‧‧‧入站裝載室
1304‧‧‧出站裝載室
1306‧‧‧機械臂
1308‧‧‧盒
1310‧‧‧大氣埠
1312‧‧‧基座
1314‧‧‧處理腔室
1316‧‧‧腔室傳送埠
1318‧‧‧基座
1350‧‧‧系統控制器
1352‧‧‧處理器
1354‧‧‧大容量儲存裝置
1356‧‧‧記憶體裝置
1358‧‧‧系統控制軟體
1390‧‧‧晶圓搬運系統
圖1A-1E為具有各種類型之特徵部之示例性基板之示意圖。
圖2A-2E為具有填充著材料之各種類型之特徵部之示例性基板之示意圖。
圖3A-3D為在具有內凹特徵部之基板中之示例性特徵部之示意圖,示例性特徵部遭受特徵部填充。
圖4A-4D為在具有側壁波形起伏之基板中之示例特徵部之示意圖,示例性特徵部遭受特徵部填充。
圖5A-5C為在具有多層堆疊之基板中之示例性特徵部之示意圖,示例性特徵部遭受特徵部填充。
圖6和7為處理流程圖,描繪在根據某些所揭示的實施例之方法中所實施之操作。
圖8A-8D為根據某些所揭示的實施例之具有內凹特徵部之基板中之示例性特徵部之示意圖,示例性特徵部遭受特徵部填充。
圖9A-9D為根據某些所揭示的實施例之具有側壁形貌之基板中之示例性特徵部之示意圖,示例性特徵部遭受特徵部填充。
圖9E-9H為根據某些所揭示的實施例之具有側壁形貌之基板中之示例性特徵部之示意圖,示例性特徵部遭受特徵部填充。
圖10A-10C為根據某些所揭示的實施例之具有多層堆疊之基板中之示例性特徵部之示意圖,示例性特徵部遭受特徵部填充。
圖11為時序示意圖,描繪根據某些所揭示的實施例所實施之操作之範例。
圖12為用於實施某些所揭示的實施例之示例性處理腔室之示意圖。
圖13為用於實施某些所揭示的實施例之示例性處理工具之示意圖。
902f‧‧‧特徵部
904f‧‧‧基板
906f‧‧‧襯墊
908f‧‧‧矽氧化物
910f‧‧‧空間
912f‧‧‧底部
916f‧‧‧殘段
999f‧‧‧護盔
Claims (21)
- 一種填充基板上之特徵部之方法,該方法包括:提供該基板至一處理腔室,該基板包括該特徵部,該特徵部包括一特徵部開口及複數側壁,該等側壁具有側壁形貌,該側壁形貌包括該側壁之一表面上的複數殘段及該等殘段之間的複數區域;使用一前驅物及一第二反應物在該側壁形貌上保形地沉積一第一數量之一材料至該特徵部中一持續時間,以形成具有該側壁形貌的該第一數量之該材料,該持續時間不足以填滿該特徵部;使該第一數量之該材料暴露至一蝕刻劑,以蝕刻該第一數量之該材料其中至少一些,而使該第一數量之該材料平滑並形成已蝕刻之第一數量之該材料;及在蝕刻該第一數量之該材料之後,沉積一第二數量之該材料在已蝕刻之該第一數量之該材料之上,其中該材料係選自於由矽碳化物、矽氮化物、矽、矽氧化物、鎢、釕、銅、鈷及鉬所構成之群組。
- 如申請專利範圍第1項之填充基板上之特徵部之方法,其中不足以沉積該第一數量之該材料至該特徵部中之該持續時間在該基板之一場表面上形成該材料之過載。
- 如申請專利範圍第2項之填充基板上之特徵部之方法,其中使該第一數量之該材料暴露至該蝕刻劑包括:相對於該特徵部之內側,優先蝕刻在該特徵部開口處的該等殘段之間之複數區域處或附近之該第一數量之該材料其中該至少一些。
- 如申請專利範圍第3項之填充基板上之特徵部之方法,其中該第二數量之該材料係藉由電漿增強化學氣相沉積而加以沉積。
- 如申請專利範圍第4項之填充基板上之特徵部之方法,更包括:在沉積該第二數量之該材料之後,使該第二數量之該材料暴露至該蝕刻劑之一持續時間長於使該第一數量之該材料暴露至該蝕刻劑所使用之該持續時間。
- 如申請專利範圍第1-5項其中任一項之填充基板上之特徵部之方法,其中該特徵部之該等側壁包括二或更多材料層疊在一堆疊中。
- 如申請專利範圍第1-5項其中任一項之填充基板上之特徵部之方法,其中該第一數量之該材料之該沉積及該第一數量之該材料之該暴露至該蝕刻劑之實施沒有破真空。
- 如申請專利範圍第1-5項其中任一項之填充基板上之特徵部之方法,其中該第一數量之該材料之該暴露至該蝕刻劑及該第二數量之該材料之該沉積之實施沒有破真空。
- 一種填充基板上之特徵部之方法,該方法包括:提供該基板至一處理腔室,該基板包括該特徵部,該特徵部包括一特徵部開口及側壁形貌,該側壁形貌包括複數殘段在該特徵部之該側壁上;沉積一第一數量之第一材料一持續時間,該持續時間不足以填滿該特徵部;在沉積該第一數量之第一材料之後且在使該第一數量之該第一材料暴露至一蝕刻劑之前,沉積一犧牲護盔,該犧牲護盔形成一過載在該基板之一場表面上,該犧牲護盔包括一第二材料; 使該基板暴露至該蝕刻劑,以蝕刻該第一數量之該第一材料其中至少一些;及在蝕刻該第一數量之該第一材料之後,沉積一第二數量之該材料在已蝕刻之該第一數量之該第一材料之上,以至少部分填滿該特徵部。
- 如申請專利範圍第9項之填充基板上之特徵部之方法,其中該第一材料係不同於該第二材料。
- 如申請專利範圍第9項之填充基板上之特徵部之方法,其中該第一材料在組成上與該第二材料相同。
- 一種用於處理半導體基板之設備,該設備包括:(a)至少一處理腔室,該至少一處理腔室包括用以固持該半導體基板之一基座;(b)至少一出口,用以耦接至一真空;(c)一或更多處理氣體入口,耦接至一或更多處理氣體源;及(d)一控制器,用以控制在該設備中之複數操作,包括複數機器可讀指令以進行:(i)引入用於沉積一第一數量之材料之一沉積前驅物及反應物一持續時間,該持續時間不足以填滿在該半導體基板上之一特徵部,該特徵部具有一特徵部開口及側壁形貌,該側壁形貌具有複數殘段在該特徵部之側壁上;(ii)引入用於沉積一犧牲護盔的一或更多處理氣體,該犧牲護盔形成一過載在該半導體基板之一場表面上; (iii)引入一蝕刻劑至該至少一處理腔室一持續時間,以蝕刻該第一數量之該材料其中至少一些;及(iv)在引入該蝕刻劑至該至少一處理腔室之後,引入該沉積前驅物及該反應物,以沉積一第二數量之該材料在已蝕刻之該第一數量之該材料之上,其中該材料係矽碳化物、矽氮化物、矽、鎢、釕、銅、鈷及鉬其中任一者。
- 如申請專利範圍第12項之用於處理半導體基板之設備,其中該控制器更包括:用以使步驟(iv)之該持續時間長於步驟(i)之該持續時間之指令。
- 如申請專利範圍第12項之用於處理半導體基板之設備,其中該控制器更包括:用以使步驟(i)及步驟(ii)實施而沒有破真空之機器可讀指令。
- 如申請專利範圍第12項之用於處理半導體基板之設備,更包括:一電漿產生器,用以產生一電漿。
- 如申請專利範圍第12項之用於處理半導體基板之設備,其中該控制器更包括:用以在引入該反應物時使一電漿產生之指令。
- 一種用於處理半導體基板之設備,該設備包括:(a)至少一處理腔室,該至少一處理腔室包括用以固持該半導體基板之一基座;(b)至少一出口,用以耦接至一真空;(c)一或更多處理氣體入口,耦接至一或更多處理氣體源;及 (d)一控制器,用以控制在該設備中之複數操作,包括複數機器可讀指令以進行:(i)引入一含矽前驅物及一氧化劑,以在該半導體基板上沉積一第一數量之矽氧化物一持續時間,該持續時間不足以填滿在該半導體基板上之一特徵部,該特徵部具有一特徵部開口及側壁形貌,該側壁形貌具有複數殘段在該特徵部之該側壁上;(ii)引入用於沉積一犧牲護盔之一或更多處理氣體,該犧牲護盔形成一過載在該半導體基板之一場表面上;(iii)引入一蝕刻劑至該至少一處理腔室一持續時間,以蝕刻該第一數量之該矽氧化物其中至少一些;及(iv)在引入該蝕刻劑至該至少一處理腔室之後,引入該含矽前驅物及該氧化劑,以沉積一第二數量之矽氧化物在已蝕刻之該第一數量之該矽氧化物之上。
- 如申請專利範圍第17項之用於處理半導體基板之設備,其中該控制器包括:用以在步驟(ii)期間傳送一第二含矽前驅物及一含氮反應物以沉積該犧牲護盔之指令,該犧牲護盔包括矽氮化物。
- 一種用於處理半導體基板之設備,該設備包括:(a)至少一處理腔室,該至少一處理腔室包括用以固持該半導體基板之一基座;(b)至少一出口,用以耦接至一真空;(c)一或更多處理氣體入口,耦接至一或更多處理氣體源;及 (d)一控制器,用以控制在該設備中之複數操作,包括複數機器可讀指令以進行:(i)引入用於沉積一第一材料之一第一組沉積前驅物,以在該半導體基板上沉積一第一數量之該第一材料一持續時間,該持續時間不足以填滿在該半導體基板上之一特徵部;(ii)引入用於沉積一犧牲護盔之一或更多處理氣體,該犧牲護盔形成一過載在該半導體基板之一場表面上,該犧牲護盔包括一第二材料;(iii)引入一蝕刻劑至該至少一處理腔室一持續時間,以蝕刻該第一數量之該第一材料其中至少一些;及(iv)在引入該蝕刻劑至該至少一處理腔室之後,引入該第一組沉積前驅物,以沉積一第二數量之該第一材料在已蝕刻之該第一數量之該第一材料之上。
- 如申請專利範圍第19項之用於處理半導體基板之設備,其中該第一材料係不同於該第二材料。
- 如申請專利範圍第19項之用於處理半導體基板之設備,其中該第一材料在組成上與該第二材料相同。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/703,917 US10269559B2 (en) | 2017-09-13 | 2017-09-13 | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
US15/703,917 | 2017-09-13 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201931520A TW201931520A (zh) | 2019-08-01 |
TWI791042B true TWI791042B (zh) | 2023-02-01 |
Family
ID=65632390
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW107131673A TWI791042B (zh) | 2017-09-13 | 2018-09-10 | 使用犧牲蝕刻蓋層之高深寬比特徵部的介電間隙填充 |
Country Status (7)
Country | Link |
---|---|
US (2) | US10269559B2 (zh) |
JP (1) | JP7232823B2 (zh) |
KR (1) | KR20200042542A (zh) |
CN (2) | CN118522694A (zh) |
SG (1) | SG11202002271UA (zh) |
TW (1) | TWI791042B (zh) |
WO (1) | WO2019055317A1 (zh) |
Families Citing this family (312)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
JP6538300B2 (ja) | 2012-11-08 | 2019-07-03 | ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated | 感受性基材上にフィルムを蒸着するための方法 |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9564309B2 (en) | 2013-03-14 | 2017-02-07 | Asm Ip Holding B.V. | Si precursors for deposition of SiN at low temperatures |
US11549181B2 (en) | 2013-11-22 | 2023-01-10 | Applied Materials, Inc. | Methods for atomic layer deposition of SiCO(N) using halogenated silylamides |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9576792B2 (en) | 2014-09-17 | 2017-02-21 | Asm Ip Holding B.V. | Deposition of SiN |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10566187B2 (en) | 2015-03-20 | 2020-02-18 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10410857B2 (en) | 2015-08-24 | 2019-09-10 | Asm Ip Holding B.V. | Formation of SiN thin films |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US9773643B1 (en) | 2016-06-30 | 2017-09-26 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US10062563B2 (en) | 2016-07-01 | 2018-08-28 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10629435B2 (en) | 2016-07-29 | 2020-04-21 | Lam Research Corporation | Doped ALD films for semiconductor patterning applications |
US10249525B2 (en) * | 2016-10-03 | 2019-04-02 | Applied Materials, Inc. | Dynamic leveling process heater lift |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10832908B2 (en) | 2016-11-11 | 2020-11-10 | Lam Research Corporation | Self-aligned multi-patterning process flow with ALD gapfill spacer mask |
US10454029B2 (en) | 2016-11-11 | 2019-10-22 | Lam Research Corporation | Method for reducing the wet etch rate of a sin film without damaging the underlying substrate |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
USD876504S1 (en) | 2017-04-03 | 2020-02-25 | Asm Ip Holding B.V. | Exhaust flow control ring for semiconductor deposition apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
KR20200118504A (ko) | 2018-03-02 | 2020-10-15 | 램 리써치 코포레이션 | 가수분해를 사용한 선택적인 증착 |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10580645B2 (en) * | 2018-04-30 | 2020-03-03 | Asm Ip Holding B.V. | Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors |
KR20200140391A (ko) | 2018-05-03 | 2020-12-15 | 램 리써치 코포레이션 | 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법 |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
TWI815915B (zh) | 2018-06-27 | 2023-09-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) * | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) * | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
KR20200038184A (ko) | 2018-10-01 | 2020-04-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 유지 장치, 장치를 포함하는 시스템, 및 이를 이용하는 방법 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
CN113424300A (zh) | 2018-12-14 | 2021-09-21 | 朗姆研究公司 | 在3d nand结构上的原子层沉积 |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) * | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20210141762A (ko) | 2019-04-11 | 2021-11-23 | 램 리써치 코포레이션 | 고 단차 커버리지 (step coverage) 텅스텐 증착 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
CN114127890A (zh) | 2019-05-01 | 2022-03-01 | 朗姆研究公司 | 调整的原子层沉积 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
CN113906539A (zh) | 2019-05-23 | 2022-01-07 | 应用材料公司 | 原位原子层沉积工艺 |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
KR20220047333A (ko) | 2019-08-12 | 2022-04-15 | 램 리써치 코포레이션 | 텅스텐 증착 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
CN114868255A (zh) * | 2019-12-27 | 2022-08-05 | 株式会社半导体能源研究所 | 半导体装置、半导体装置的制造方法 |
TW202142733A (zh) | 2020-01-06 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 反應器系統、抬升銷、及處理方法 |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132606A (ko) * | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 기판 상의 3차원 구조에 갭을 충진하는 방법 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
TW202221764A (zh) * | 2020-08-02 | 2022-06-01 | 美商應用材料股份有限公司 | 集成可流動低k間隙填充及電漿處理 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
US11447865B2 (en) | 2020-11-17 | 2022-09-20 | Applied Materials, Inc. | Deposition of low-κ films |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
KR20220081905A (ko) | 2020-12-09 | 2022-06-16 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 증착용 실리콘 전구체 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
WO2023107492A1 (en) * | 2021-12-08 | 2023-06-15 | Tokyo Electron Limited | Methods for etching molybdenum |
US12087595B2 (en) | 2022-03-08 | 2024-09-10 | Applied Materials, Inc. | Metal deposition and etch in high aspect-ratio features |
US20240112903A1 (en) * | 2022-09-29 | 2024-04-04 | Applied Materials, Inc. | Selective oxidation of a substrate |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20120009802A1 (en) * | 2010-04-15 | 2012-01-12 | Adrien Lavoie | Plasma activated conformal dielectric film deposition |
US20160190008A1 (en) * | 2012-03-27 | 2016-06-30 | Novellus Systems, Inc. | Tungsten feature fill |
Family Cites Families (584)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
FR93097E (fr) | 1965-10-11 | 1969-02-07 | Ibm | Procédé de dépot de films isolants et dispositifs électriques utilisant de tels films. |
JPS4843472A (zh) | 1971-10-04 | 1973-06-23 | ||
US4158717A (en) | 1977-02-14 | 1979-06-19 | Varian Associates, Inc. | Silicon nitride film and method of deposition |
US4419809A (en) | 1981-12-30 | 1983-12-13 | International Business Machines Corporation | Fabrication process of sub-micrometer channel length MOSFETs |
US4500563A (en) | 1982-12-15 | 1985-02-19 | Pacific Western Systems, Inc. | Independently variably controlled pulsed R.F. plasma chemical vapor processing |
US4575921A (en) | 1983-11-04 | 1986-03-18 | General Motors Corporation | Silicon nitride formation and use in self-aligned semiconductor device manufacturing method |
CA1327338C (en) | 1987-02-02 | 1994-03-01 | Chorng-Ping Chang | Process for producing devices containing silicon nitride films |
EP0313683A1 (en) | 1987-10-30 | 1989-05-03 | International Business Machines Corporation | Method for fabricating a semiconductor integrated circuit structure having a submicrometer length device element |
JPH0293071A (ja) | 1988-09-29 | 1990-04-03 | Toshiba Corp | 薄膜の形成方法 |
JPH0311635A (ja) | 1989-06-08 | 1991-01-18 | Sekiyu Sangyo Katsuseika Center | 化合物半導体装置の製造方法 |
US5420067A (en) | 1990-09-28 | 1995-05-30 | The United States Of America As Represented By The Secretary Of The Navy | Method of fabricatring sub-half-micron trenches and holes |
US5094984A (en) | 1990-10-12 | 1992-03-10 | Hewlett-Packard Company | Suppression of water vapor absorption in glass encapsulation |
US5091332A (en) | 1990-11-19 | 1992-02-25 | Intel Corporation | Semiconductor field oxidation process |
WO1992012535A1 (en) | 1991-01-08 | 1992-07-23 | Fujitsu Limited | Process for forming silicon oxide film |
US5202272A (en) | 1991-03-25 | 1993-04-13 | International Business Machines Corporation | Field effect transistor formed with deep-submicron gate |
US5230929A (en) | 1992-07-20 | 1993-07-27 | Dow Corning Corporation | Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes |
TW201848B (zh) | 1991-11-08 | 1993-03-11 | Advanced Micro Devices Inc | |
DE4136987A1 (de) | 1991-11-11 | 1993-05-13 | Leybold Ag | Verfahren zur oberflaechenpassivierung von sensoren |
US5223443A (en) | 1992-02-19 | 1993-06-29 | Integrated Device Technology, Inc. | Method for determining wafer cleanliness |
JPH06177120A (ja) | 1992-10-27 | 1994-06-24 | Sony Corp | 層間絶縁膜の形成方法 |
US5932286A (en) | 1993-03-16 | 1999-08-03 | Applied Materials, Inc. | Deposition of silicon nitride thin films |
US5496608A (en) | 1993-09-22 | 1996-03-05 | Brother Kogyo Kabushiki Kaisha | Optical recording medium |
US5528719A (en) | 1993-10-26 | 1996-06-18 | Sumitomo Metal Mining Company Limited | Optical fiber guide structure and method of fabricating same |
US20010028922A1 (en) * | 1995-06-07 | 2001-10-11 | Sandhu Gurtej S. | High throughput ILD fill process for high aspect ratio gap fill |
JPH09102494A (ja) | 1995-10-09 | 1997-04-15 | Toshiba Corp | 半導体装置の保護膜およびその形成方法 |
US6191026B1 (en) | 1996-01-09 | 2001-02-20 | Applied Materials, Inc. | Method for submicron gap filling on a semiconductor substrate |
US5593914A (en) | 1996-03-19 | 1997-01-14 | Radiant Technologies, Inc. | Method for constructing ferroelectric capacitor-like structures on silicon dioxide surfaces |
US6342277B1 (en) | 1996-08-16 | 2002-01-29 | Licensee For Microelectronics: Asm America, Inc. | Sequential chemical vapor deposition |
US6156149A (en) | 1997-05-07 | 2000-12-05 | Applied Materials, Inc. | In situ deposition of a dielectric oxide layer and anti-reflective coating |
US5670432A (en) | 1996-08-01 | 1997-09-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Thermal treatment to form a void free aluminum metal layer for a semiconductor device |
US5916365A (en) | 1996-08-16 | 1999-06-29 | Sherman; Arthur | Sequential chemical vapor deposition |
JPH1098032A (ja) | 1996-09-20 | 1998-04-14 | Hitachi Ltd | 薄膜形成方法及び薄膜形成装置 |
US5731235A (en) | 1996-10-30 | 1998-03-24 | Micron Technology, Inc. | Methods of forming a silicon nitrite film, a capacitor dielectric layer and a capacitor |
US5994209A (en) | 1996-11-13 | 1999-11-30 | Applied Materials, Inc. | Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films |
US6809421B1 (en) | 1996-12-02 | 2004-10-26 | Kabushiki Kaisha Toshiba | Multichip semiconductor device, chip therefor and method of formation thereof |
US5891805A (en) | 1996-12-13 | 1999-04-06 | Intel Corporation | Method of forming contacts |
US6039834A (en) | 1997-03-05 | 2000-03-21 | Applied Materials, Inc. | Apparatus and methods for upgraded substrate processing system with microwave plasma source |
US6153519A (en) | 1997-03-31 | 2000-11-28 | Motorola, Inc. | Method of forming a barrier layer |
US6069058A (en) | 1997-05-14 | 2000-05-30 | United Semiconductor Corp. | Shallow trench isolation for semiconductor devices |
US6225175B1 (en) | 1997-06-20 | 2001-05-01 | Texas Instruments Incorporated | Process for defining ultra-thin geometries |
US7393561B2 (en) | 1997-08-11 | 2008-07-01 | Applied Materials, Inc. | Method and apparatus for layer by layer deposition of thin films |
US5874368A (en) | 1997-10-02 | 1999-02-23 | Air Products And Chemicals, Inc. | Silicon nitride from bis(tertiarybutylamino)silane |
US6861356B2 (en) | 1997-11-05 | 2005-03-01 | Tokyo Electron Limited | Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film |
US5854105A (en) | 1997-11-05 | 1998-12-29 | Vanguard International Semiconductor Corporation | Method for making dynamic random access memory cells having double-crown stacked capacitors with center posts |
US5856003A (en) | 1997-11-17 | 1999-01-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device |
US6346741B1 (en) | 1997-11-20 | 2002-02-12 | Advanced Technology Materials, Inc. | Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same |
US6100202A (en) | 1997-12-08 | 2000-08-08 | Taiwan Semiconductor Manufacturing Company | Pre deposition stabilization method for forming a void free isotropically etched anisotropically patterned doped silicate glass layer |
US5976990A (en) | 1998-01-09 | 1999-11-02 | Micron Technology, Inc. | Method for optimization of thin film deposition |
US6509601B1 (en) | 1998-07-31 | 2003-01-21 | Samsung Electronics Co., Ltd. | Semiconductor memory device having capacitor protection layer and method for manufacturing the same |
KR100275738B1 (ko) | 1998-08-07 | 2000-12-15 | 윤종용 | 원자층 증착법을 이용한 박막 제조방법 |
US6080676A (en) | 1998-09-17 | 2000-06-27 | Advanced Micro Devices, Inc. | Device and method for etching spacers formed upon an integrated circuit gate conductor |
US6197701B1 (en) | 1998-10-23 | 2001-03-06 | Taiwan Semiconductor Manufacturing Company | Lightly nitridation surface for preparing thin-gate oxides |
US6380056B1 (en) | 1998-10-23 | 2002-04-30 | Taiwan Semiconductor Manufacturing Company | Lightly nitridation surface for preparing thin-gate oxides |
US6228779B1 (en) | 1998-11-06 | 2001-05-08 | Novellus Systems, Inc. | Ultra thin oxynitride and nitride/oxide stacked gate dielectrics fabricated by high pressure technology |
US6218293B1 (en) | 1998-11-13 | 2001-04-17 | Micron Technology, Inc. | Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride |
US6403416B1 (en) | 1999-01-07 | 2002-06-11 | Taiwan Semiconductor Manufacturing Company | Method for making a double-cylinder-capacitor structure for dynamic random access memory (DRAM) |
KR20010042649A (ko) | 1999-02-12 | 2001-05-25 | 베리 아이클스 | 텅스텐 질화물의 화학기상증착 |
US6200893B1 (en) | 1999-03-11 | 2001-03-13 | Genus, Inc | Radical-assisted sequential CVD |
JP3492634B2 (ja) | 1999-03-17 | 2004-02-03 | インフィネオン テクノロジース エスシー300 ゲゼルシャフト ミット ベシュレンクテル ハフツング ウント コンパニー コマンディートゲゼルシャフト | 半導体ウェーハ上のギャップの充填方法 |
KR100273473B1 (ko) | 1999-04-06 | 2000-11-15 | 이경수 | 박막 형성 방법 |
US6313042B1 (en) | 1999-09-03 | 2001-11-06 | Applied Materials, Inc. | Cleaning contact with successive fluorine and hydrogen plasmas |
US6576053B1 (en) | 1999-10-06 | 2003-06-10 | Samsung Electronics Co., Ltd. | Method of forming thin film using atomic layer deposition method |
KR100340716B1 (ko) | 1999-10-29 | 2002-06-20 | 윤종용 | 실리콘 질화막 형성방법 |
CA2387341A1 (en) | 1999-11-02 | 2001-05-10 | Tokyo Electron Limited | Method and apparatus for supercritical processing of multiple workpieces |
KR100356473B1 (ko) | 1999-12-29 | 2002-10-18 | 주식회사 하이닉스반도체 | 반도체 소자의 알루미늄 옥사이드 박막 형성 방법 |
KR100338125B1 (ko) | 1999-12-31 | 2002-05-24 | 구본준, 론 위라하디락사 | 박막 트랜지스터 및 그 제조방법 |
DE60125338T2 (de) | 2000-03-07 | 2007-07-05 | Asm International N.V. | Gradierte dünne schichten |
JP3437832B2 (ja) | 2000-03-22 | 2003-08-18 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
JP2001274404A (ja) | 2000-03-24 | 2001-10-05 | Toshiba Corp | 薄膜トランジスタおよびその製造方法 |
CN1216415C (zh) | 2000-04-25 | 2005-08-24 | 东京毅力科创株式会社 | 沉积金属薄膜的方法和包括超临界干燥/清洁组件的金属沉积组合工具 |
US6759325B2 (en) | 2000-05-15 | 2004-07-06 | Asm Microchemistry Oy | Sealing porous structures |
US20030008070A1 (en) | 2001-06-12 | 2003-01-09 | Applied Materials,Inc | Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor |
JP2002009072A (ja) | 2000-06-23 | 2002-01-11 | Tokyo Electron Ltd | シリコン窒化膜の形成方法及び形成装置 |
KR100721503B1 (ko) | 2000-06-08 | 2007-05-23 | 에이에스엠지니텍코리아 주식회사 | 박막 형성 방법 |
US7141278B2 (en) | 2000-06-08 | 2006-11-28 | Asm Genitech Korea Ltd. | Thin film forming method |
KR100366621B1 (ko) | 2000-06-28 | 2003-01-09 | 삼성전자 주식회사 | 반도체 소자의 도전성 콘택체를 형성하는 방법 |
US6632741B1 (en) | 2000-07-19 | 2003-10-14 | International Business Machines Corporation | Self-trimming method on looped patterns |
US20050230047A1 (en) | 2000-08-11 | 2005-10-20 | Applied Materials, Inc. | Plasma immersion ion implantation apparatus |
US6548368B1 (en) | 2000-08-23 | 2003-04-15 | Applied Materials, Inc. | Method of forming a MIS capacitor |
US6482726B1 (en) | 2000-10-17 | 2002-11-19 | Advanced Micro Devices, Inc. | Control trimming of hard mask for sub-100 nanometer transistor gate |
JP2002134497A (ja) | 2000-10-23 | 2002-05-10 | Sony Corp | 半導体装置の製造方法 |
US6689220B1 (en) | 2000-11-22 | 2004-02-10 | Simplus Systems Corporation | Plasma enhanced pulsed layer deposition |
JP3437830B2 (ja) | 2000-11-28 | 2003-08-18 | 東京エレクトロン株式会社 | 成膜方法 |
US6428859B1 (en) | 2000-12-06 | 2002-08-06 | Angstron Systems, Inc. | Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
KR100385947B1 (ko) | 2000-12-06 | 2003-06-02 | 삼성전자주식회사 | 원자층 증착 방법에 의한 박막 형성 방법 |
US6416822B1 (en) | 2000-12-06 | 2002-07-09 | Angstrom Systems, Inc. | Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US6878402B2 (en) | 2000-12-06 | 2005-04-12 | Novellus Systems, Inc. | Method and apparatus for improved temperature control in atomic layer deposition |
US20020076507A1 (en) | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Process sequence for atomic layer deposition |
KR100408733B1 (ko) | 2001-02-02 | 2003-12-11 | 주성엔지니어링(주) | 박막 증착 방법 |
US6951804B2 (en) | 2001-02-02 | 2005-10-04 | Applied Materials, Inc. | Formation of a tantalum-nitride layer |
AU2002306436A1 (en) | 2001-02-12 | 2002-10-15 | Asm America, Inc. | Improved process for deposition of semiconductor films |
US6632478B2 (en) | 2001-02-22 | 2003-10-14 | Applied Materials, Inc. | Process for forming a low dielectric constant carbon-containing film |
JP4406178B2 (ja) | 2001-03-28 | 2010-01-27 | 株式会社渡辺商行 | 成膜装置 |
US7005392B2 (en) | 2001-03-30 | 2006-02-28 | Advanced Technology Materials, Inc. | Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same |
JP3696119B2 (ja) | 2001-04-26 | 2005-09-14 | 株式会社日立製作所 | 半導体装置、及び半導体装置の製造方法 |
US6528430B2 (en) | 2001-05-01 | 2003-03-04 | Samsung Electronics Co., Ltd. | Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3 |
KR100878103B1 (ko) * | 2001-05-04 | 2009-01-14 | 도쿄엘렉트론가부시키가이샤 | 순차적 증착 및 에칭에 의한 이온화된 pvd |
US6828218B2 (en) | 2001-05-31 | 2004-12-07 | Samsung Electronics Co., Ltd. | Method of forming a thin film using atomic layer deposition |
US6391803B1 (en) | 2001-06-20 | 2002-05-21 | Samsung Electronics Co., Ltd. | Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane |
US7098131B2 (en) | 2001-07-19 | 2006-08-29 | Samsung Electronics Co., Ltd. | Methods for forming atomic layers and thin films including tantalum nitride and devices including the same |
US6709928B1 (en) | 2001-07-31 | 2004-03-23 | Cypress Semiconductor Corporation | Semiconductor device having silicon-rich layer and method of manufacturing such a device |
JP2003045864A (ja) | 2001-08-02 | 2003-02-14 | Hitachi Kokusai Electric Inc | 基板処理装置 |
EP1421606A4 (en) | 2001-08-06 | 2008-03-05 | Genitech Co Ltd | PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS |
US6756318B2 (en) | 2001-09-10 | 2004-06-29 | Tegal Corporation | Nanolayer thick film processing system and method |
US20030092280A1 (en) * | 2001-11-09 | 2003-05-15 | Applied Materials, Inc. | Method for etching tungsten using NF3 and Cl2 |
US6551893B1 (en) | 2001-11-27 | 2003-04-22 | Micron Technology, Inc. | Atomic layer deposition of capacitor dielectric |
JP4116283B2 (ja) | 2001-11-30 | 2008-07-09 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法 |
US6638879B2 (en) | 2001-12-06 | 2003-10-28 | Macronix International Co., Ltd. | Method for forming nitride spacer by using atomic layer deposition |
US7081271B2 (en) | 2001-12-07 | 2006-07-25 | Applied Materials, Inc. | Cyclical deposition of refractory metal silicon nitride |
US6911391B2 (en) | 2002-01-26 | 2005-06-28 | Applied Materials, Inc. | Integration of titanium and titanium nitride layers |
DE10208450B4 (de) | 2002-02-27 | 2004-09-16 | Infineon Technologies Ag | Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen |
US6962876B2 (en) | 2002-03-05 | 2005-11-08 | Samsung Electronics Co., Ltd. | Method for forming a low-k dielectric layer for a semiconductor device |
AU2003220088A1 (en) | 2002-03-08 | 2003-09-22 | Sundew Technologies, Llc | Ald method and apparatus |
KR20030081144A (ko) | 2002-04-11 | 2003-10-17 | 가부시키가이샤 히다치 고쿠사이 덴키 | 종형 반도체 제조 장치 |
US6518167B1 (en) | 2002-04-16 | 2003-02-11 | Advanced Micro Devices, Inc. | Method of forming a metal or metal nitride interface layer between silicon nitride and copper |
US6987240B2 (en) | 2002-04-18 | 2006-01-17 | Applied Materials, Inc. | Thermal flux processing by scanning |
KR100468729B1 (ko) | 2002-04-25 | 2005-01-29 | 삼성전자주식회사 | Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법 |
US7374617B2 (en) | 2002-04-25 | 2008-05-20 | Micron Technology, Inc. | Atomic layer deposition methods and chemical vapor deposition methods |
US6777308B2 (en) | 2002-05-17 | 2004-08-17 | Micron Technology, Inc. | Method of improving HDP fill process |
US20040129212A1 (en) | 2002-05-20 | 2004-07-08 | Gadgil Pradad N. | Apparatus and method for delivery of reactive chemical precursors to the surface to be treated |
US7041335B2 (en) | 2002-06-04 | 2006-05-09 | Applied Materials, Inc. | Titanium tantalum nitride silicide layer |
KR100469126B1 (ko) | 2002-06-05 | 2005-01-29 | 삼성전자주식회사 | 수소 함유량이 적은 박막 형성방법 |
KR100472777B1 (ko) | 2002-06-26 | 2005-03-10 | 동부전자 주식회사 | 박막 적층 방법 |
US7294582B2 (en) | 2002-07-19 | 2007-11-13 | Asm International, N.V. | Low temperature silicon compound deposition |
WO2004009861A2 (en) | 2002-07-19 | 2004-01-29 | Asm America, Inc. | Method to form ultra high quality silicon-containing compound layers |
KR100542736B1 (ko) | 2002-08-17 | 2006-01-11 | 삼성전자주식회사 | 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법 |
US6967159B2 (en) | 2002-08-28 | 2005-11-22 | Micron Technology, Inc. | Systems and methods for forming refractory metal nitride layers using organic amines |
US6784049B2 (en) | 2002-08-28 | 2004-08-31 | Micron Technology, Inc. | Method for forming refractory metal oxide layers with tetramethyldisiloxane |
US6794284B2 (en) | 2002-08-28 | 2004-09-21 | Micron Technology, Inc. | Systems and methods for forming refractory metal nitride layers using disilazanes |
US6730164B2 (en) | 2002-08-28 | 2004-05-04 | Micron Technology, Inc. | Systems and methods for forming strontium- and/or barium-containing layers |
US6774040B2 (en) | 2002-09-12 | 2004-08-10 | Applied Materials, Inc. | Apparatus and method for surface finishing a silicon film |
JP4358492B2 (ja) | 2002-09-25 | 2009-11-04 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法 |
WO2004032196A2 (en) | 2002-10-03 | 2004-04-15 | Pan Jit Americas, Inc. | Method of fabricating semiconductor by nitrogen doping of silicon film |
US7531679B2 (en) | 2002-11-14 | 2009-05-12 | Advanced Technology Materials, Inc. | Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride |
KR100496265B1 (ko) | 2002-11-29 | 2005-06-17 | 한국전자통신연구원 | 반도체 소자의 박막 형성방법 |
US7097886B2 (en) | 2002-12-13 | 2006-08-29 | Applied Materials, Inc. | Deposition process for high aspect ratio trenches |
CN100567564C (zh) | 2002-12-20 | 2009-12-09 | 应用材料有限公司 | 形成高质量的低温氮化硅层的方法和设备 |
US7172792B2 (en) | 2002-12-20 | 2007-02-06 | Applied Materials, Inc. | Method for forming a high quality low temperature silicon nitride film |
US6890656B2 (en) | 2002-12-20 | 2005-05-10 | General Electric Company | High rate deposition of titanium dioxide |
KR100546852B1 (ko) | 2002-12-28 | 2006-01-25 | 동부아남반도체 주식회사 | 반도체 소자의 제조 방법 |
US7122222B2 (en) | 2003-01-23 | 2006-10-17 | Air Products And Chemicals, Inc. | Precursors for depositing silicon containing films and processes thereof |
US7713592B2 (en) | 2003-02-04 | 2010-05-11 | Tegal Corporation | Nanolayer deposition process |
US6930058B2 (en) | 2003-04-21 | 2005-08-16 | Micron Technology, Inc. | Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge |
CN102191483B (zh) | 2003-04-23 | 2012-10-03 | 艾克斯特朗公司 | 瞬时增强原子层沉积 |
US7115528B2 (en) | 2003-04-29 | 2006-10-03 | Micron Technology, Inc. | Systems and method for forming silicon oxide layers |
US6765303B1 (en) | 2003-05-06 | 2004-07-20 | Advanced Micro Devices, Inc. | FinFET-based SRAM cell |
JP4329403B2 (ja) | 2003-05-19 | 2009-09-09 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US6930060B2 (en) | 2003-06-18 | 2005-08-16 | International Business Machines Corporation | Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric |
US7125815B2 (en) | 2003-07-07 | 2006-10-24 | Micron Technology, Inc. | Methods of forming a phosphorous doped silicon dioxide comprising layer |
US7264849B2 (en) | 2003-07-11 | 2007-09-04 | Optisolar, Inc. | Roll-vortex plasma chemical vapor deposition method |
US7399388B2 (en) | 2003-07-25 | 2008-07-15 | Applied Materials, Inc. | Sequential gas flow oxide deposition technique |
US7125582B2 (en) | 2003-07-30 | 2006-10-24 | Intel Corporation | Low-temperature silicon nitride deposition |
DE10335099B4 (de) | 2003-07-31 | 2006-06-08 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben |
US6943097B2 (en) | 2003-08-19 | 2005-09-13 | International Business Machines Corporation | Atomic layer deposition of metallic contacts, gates and diffusion barriers |
KR100568859B1 (ko) | 2003-08-21 | 2006-04-10 | 삼성전자주식회사 | 디램 반도체 장치의 트랜지스터 제조방법 |
KR100500472B1 (ko) | 2003-10-13 | 2005-07-12 | 삼성전자주식회사 | 리세스 게이트 트랜지스터 구조 및 형성방법 |
US20050227017A1 (en) | 2003-10-31 | 2005-10-13 | Yoshihide Senzaki | Low temperature deposition of silicon nitride |
JP3999189B2 (ja) * | 2003-10-31 | 2007-10-31 | 松下電器産業株式会社 | 半導体装置及びその製造方法 |
US7261919B2 (en) | 2003-11-18 | 2007-08-28 | Flx Micro, Inc. | Silicon carbide and other films and method of deposition |
US20050109276A1 (en) | 2003-11-25 | 2005-05-26 | Applied Materials, Inc. | Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber |
US7291271B2 (en) | 2003-12-09 | 2007-11-06 | Separation Design Group, Llc | Meso-frequency traveling wave electro-kinetic continuous adsorption system |
JP2005210076A (ja) | 2003-12-25 | 2005-08-04 | Semiconductor Leading Edge Technologies Inc | 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法 |
KR100545697B1 (ko) | 2003-12-29 | 2006-01-24 | 주식회사 하이닉스반도체 | 반도체소자의 트렌치 소자분리 방법 |
KR100560654B1 (ko) | 2004-01-08 | 2006-03-16 | 삼성전자주식회사 | 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법 |
US20050170104A1 (en) | 2004-01-29 | 2005-08-04 | Applied Materials, Inc. | Stress-tuned, single-layer silicon nitride film |
US20050181535A1 (en) | 2004-02-17 | 2005-08-18 | Yun Sun J. | Method of fabricating passivation layer for organic devices |
US7088003B2 (en) | 2004-02-19 | 2006-08-08 | International Business Machines Corporation | Structures and methods for integration of ultralow-k dielectrics with improved reliability |
JP4279176B2 (ja) | 2004-03-02 | 2009-06-17 | 株式会社アルバック | シリコン窒化膜の形成方法 |
KR100538096B1 (ko) | 2004-03-16 | 2005-12-21 | 삼성전자주식회사 | 원자층 증착 방법을 이용하는 커패시터 형성 방법 |
JP2005310927A (ja) | 2004-04-20 | 2005-11-04 | Toshiba Corp | 紫外線照射による高品質シリコン窒化膜の成膜方法 |
US7259050B2 (en) | 2004-04-29 | 2007-08-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of making the same |
US7001844B2 (en) | 2004-04-30 | 2006-02-21 | International Business Machines Corporation | Material for contact etch layer to enhance device performance |
US7651729B2 (en) | 2004-05-14 | 2010-01-26 | Samsung Electronics Co., Ltd. | Method of fabricating metal silicate layer using atomic layer deposition technique |
US8119210B2 (en) | 2004-05-21 | 2012-02-21 | Applied Materials, Inc. | Formation of a silicon oxynitride layer on a high-k dielectric material |
KR100591157B1 (ko) | 2004-06-07 | 2006-06-19 | 동부일렉트로닉스 주식회사 | 반도체 소자의 제조방법 |
US7449345B2 (en) | 2004-06-15 | 2008-11-11 | Headway Technologies, Inc. | Capping structure for enhancing dR/R of the MTJ device |
US7585396B2 (en) | 2004-06-25 | 2009-09-08 | Guardian Industries Corp. | Coated article with ion treated overcoat layer and corresponding method |
US7550067B2 (en) | 2004-06-25 | 2009-06-23 | Guardian Industries Corp. | Coated article with ion treated underlayer and corresponding method |
JP4396547B2 (ja) | 2004-06-28 | 2010-01-13 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US20050287747A1 (en) | 2004-06-29 | 2005-12-29 | International Business Machines Corporation | Doped nitride film, doped oxide film and other doped films |
US7488690B2 (en) | 2004-07-06 | 2009-02-10 | Applied Materials, Inc. | Silicon nitride film with stress control |
JP4595702B2 (ja) | 2004-07-15 | 2010-12-08 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US7241686B2 (en) | 2004-07-20 | 2007-07-10 | Applied Materials, Inc. | Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA |
JP4179311B2 (ja) | 2004-07-28 | 2008-11-12 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
JP4470023B2 (ja) | 2004-08-20 | 2010-06-02 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | シリコン窒化物膜の製造方法 |
US7271464B2 (en) | 2004-08-24 | 2007-09-18 | Micron Technology, Inc. | Liner for shallow trench isolation |
US7629270B2 (en) | 2004-08-27 | 2009-12-08 | Asm America, Inc. | Remote plasma activated nitridation |
US7910288B2 (en) | 2004-09-01 | 2011-03-22 | Micron Technology, Inc. | Mask material conversion |
US20060084283A1 (en) | 2004-10-20 | 2006-04-20 | Paranjpe Ajit P | Low temperature sin deposition methods |
US7148155B1 (en) | 2004-10-26 | 2006-12-12 | Novellus Systems, Inc. | Sequential deposition/anneal film densification method |
US7790633B1 (en) | 2004-10-26 | 2010-09-07 | Novellus Systems, Inc. | Sequential deposition/anneal film densification method |
KR100648252B1 (ko) | 2004-11-22 | 2006-11-24 | 삼성전자주식회사 | 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법 |
JP4701691B2 (ja) | 2004-11-29 | 2011-06-15 | 東京エレクトロン株式会社 | エッチング方法 |
US7429820B2 (en) | 2004-12-07 | 2008-09-30 | Motorola, Inc. | Field emission display with electron trajectory field shaping |
US8193096B2 (en) | 2004-12-13 | 2012-06-05 | Novellus Systems, Inc. | High dose implantation strip (HDIS) in H2 base chemistry |
US7482247B1 (en) * | 2004-12-30 | 2009-01-27 | Novellus Systems, Inc. | Conformal nanolaminate dielectric deposition and etch bag gap fill process |
US7205187B2 (en) | 2005-01-18 | 2007-04-17 | Tokyo Electron Limited | Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor |
US20060162661A1 (en) | 2005-01-22 | 2006-07-27 | Applied Materials, Inc. | Mixing energized and non-energized gases for silicon nitride deposition |
US7838072B2 (en) | 2005-01-26 | 2010-11-23 | Tokyo Electron Limited | Method and apparatus for monolayer deposition (MLD) |
US20060183055A1 (en) | 2005-02-15 | 2006-08-17 | O'neill Mark L | Method for defining a feature on a substrate |
KR100622609B1 (ko) | 2005-02-16 | 2006-09-19 | 주식회사 하이닉스반도체 | 박막 형성 방법 |
US7629267B2 (en) | 2005-03-07 | 2009-12-08 | Asm International N.V. | High stress nitride film and method for formation thereof |
JP4258518B2 (ja) | 2005-03-09 | 2009-04-30 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US7109129B1 (en) | 2005-03-09 | 2006-09-19 | Novellus Systems, Inc. | Optimal operation of conformal silica deposition reactors |
CN100554506C (zh) | 2005-03-09 | 2009-10-28 | 东京毅力科创株式会社 | 半导体处理用的成膜方法及装置 |
KR100640638B1 (ko) | 2005-03-10 | 2006-10-31 | 삼성전자주식회사 | 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법 |
JP4506677B2 (ja) | 2005-03-11 | 2010-07-21 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US7608549B2 (en) | 2005-03-15 | 2009-10-27 | Asm America, Inc. | Method of forming non-conformal layers |
JP2006261434A (ja) | 2005-03-17 | 2006-09-28 | L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude | シリコン酸化膜の形成方法 |
US7314835B2 (en) | 2005-03-21 | 2008-01-01 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
US7435454B2 (en) | 2005-03-21 | 2008-10-14 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
US7341959B2 (en) | 2005-03-21 | 2008-03-11 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
US7422636B2 (en) | 2005-03-25 | 2008-09-09 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system having reduced contamination |
JP4607637B2 (ja) | 2005-03-28 | 2011-01-05 | 東京エレクトロン株式会社 | シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム |
US7687409B2 (en) | 2005-03-29 | 2010-03-30 | Micron Technology, Inc. | Atomic layer deposited titanium silicon oxide films |
US7365027B2 (en) | 2005-03-29 | 2008-04-29 | Micron Technology, Inc. | ALD of amorphous lanthanide doped TiOx films |
US7361538B2 (en) | 2005-04-14 | 2008-04-22 | Infineon Technologies Ag | Transistors and methods of manufacture thereof |
US7875556B2 (en) | 2005-05-16 | 2011-01-25 | Air Products And Chemicals, Inc. | Precursors for CVD silicon carbo-nitride and silicon nitride films |
US7560390B2 (en) | 2005-06-02 | 2009-07-14 | Micron Technology, Inc. | Multiple spacer steps for pitch multiplication |
US7176084B2 (en) | 2005-06-09 | 2007-02-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory |
US7473655B2 (en) | 2005-06-17 | 2009-01-06 | Applied Materials, Inc. | Method for silicon based dielectric chemical vapor deposition |
US7651955B2 (en) | 2005-06-21 | 2010-01-26 | Applied Materials, Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US20060286774A1 (en) | 2005-06-21 | 2006-12-21 | Applied Materials. Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US7700492B2 (en) | 2005-06-22 | 2010-04-20 | Tokyo Electron Limited | Plasma etching method and apparatus, control program and computer-readable storage medium storing the control program |
JP4752349B2 (ja) | 2005-06-23 | 2011-08-17 | 大日本印刷株式会社 | パターン形成体およびその製造方法 |
JP2007019145A (ja) | 2005-07-06 | 2007-01-25 | Tokyo Electron Ltd | シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム |
JP2007043147A (ja) | 2005-07-29 | 2007-02-15 | Samsung Electronics Co Ltd | 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法 |
JP4305427B2 (ja) | 2005-08-02 | 2009-07-29 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US7132353B1 (en) | 2005-08-02 | 2006-11-07 | Applied Materials, Inc. | Boron diffusion barrier by nitrogen incorporation in spacer dielectrics |
KR100652427B1 (ko) | 2005-08-22 | 2006-12-01 | 삼성전자주식회사 | Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법 |
KR100734748B1 (ko) | 2005-09-08 | 2007-07-03 | 주식회사 아이피에스 | 인시튜 질화물(in-situ nitride) 박막증착방법 |
US20070087581A1 (en) | 2005-09-09 | 2007-04-19 | Varian Semiconductor Equipment Associates, Inc. | Technique for atomic layer deposition |
US20070065576A1 (en) | 2005-09-09 | 2007-03-22 | Vikram Singh | Technique for atomic layer deposition |
US7524743B2 (en) | 2005-10-13 | 2009-04-28 | Varian Semiconductor Equipment Associates, Inc. | Conformal doping apparatus and method |
US8034727B2 (en) | 2005-10-14 | 2011-10-11 | Nec Corporation | Method and apparatus for manufacturing semiconductor devices |
US7696101B2 (en) | 2005-11-01 | 2010-04-13 | Micron Technology, Inc. | Process for increasing feature density during the manufacture of a semiconductor device |
US7465669B2 (en) | 2005-11-12 | 2008-12-16 | Applied Materials, Inc. | Method of fabricating a silicon nitride stack |
US7897217B2 (en) | 2005-11-18 | 2011-03-01 | Tokyo Electron Limited | Method and system for performing plasma enhanced atomic layer deposition |
KR100891779B1 (ko) | 2005-11-28 | 2009-04-07 | 허니웰 인터내셔날 인코포레이티드 | 증착 공정용의 유기금속 전구체 및 관련된 중간체, 이들의제조 방법, 및 이들의 사용 방법 |
US7615438B2 (en) | 2005-12-08 | 2009-11-10 | Micron Technology, Inc. | Lanthanide yttrium aluminum oxide dielectric films |
US7592251B2 (en) | 2005-12-08 | 2009-09-22 | Micron Technology, Inc. | Hafnium tantalum titanium oxide films |
US7829159B2 (en) | 2005-12-16 | 2010-11-09 | Asm Japan K.K. | Method of forming organosilicon oxide film and multilayer resist structure |
KR100714305B1 (ko) | 2005-12-26 | 2007-05-02 | 삼성전자주식회사 | 자기정렬 이중패턴의 형성방법 |
JP2007180362A (ja) | 2005-12-28 | 2007-07-12 | Toshiba Corp | 半導体装置 |
US7301210B2 (en) | 2006-01-12 | 2007-11-27 | International Business Machines Corporation | Method and structure to process thick and thin fins and variable fin to fin spacing |
JP4434149B2 (ja) | 2006-01-16 | 2010-03-17 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US20070218701A1 (en) | 2006-03-15 | 2007-09-20 | Asm Japan K.K. | Semiconductor-processing apparatus with rotating susceptor |
US20070215036A1 (en) | 2006-03-15 | 2007-09-20 | Hyung-Sang Park | Method and apparatus of time and space co-divided atomic layer deposition |
US7491630B2 (en) | 2006-03-15 | 2009-02-17 | Freescale Semiconductor, Inc. | Undoped gate poly integration for improved gate patterning and cobalt silicide extendibility |
US7959985B2 (en) | 2006-03-20 | 2011-06-14 | Tokyo Electron Limited | Method of integrating PEALD Ta-containing films into Cu metallization |
TW201415551A (zh) | 2006-03-31 | 2014-04-16 | Applied Materials Inc | 用以改良介電薄膜之階梯覆蓋與圖案負載的方法 |
US7645484B2 (en) | 2006-03-31 | 2010-01-12 | Tokyo Electron Limited | Method of forming a metal carbide or metal carbonitride film having improved adhesion |
US7601651B2 (en) | 2006-03-31 | 2009-10-13 | Applied Materials, Inc. | Method to improve the step coverage and pattern loading for dielectric films |
JP4929811B2 (ja) | 2006-04-05 | 2012-05-09 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP2007281181A (ja) | 2006-04-06 | 2007-10-25 | Elpida Memory Inc | 半導体装置の製造方法 |
US7902074B2 (en) | 2006-04-07 | 2011-03-08 | Micron Technology, Inc. | Simplified pitch doubling process flow |
JP2007287890A (ja) | 2006-04-14 | 2007-11-01 | Kochi Univ Of Technology | 絶縁膜の成膜方法、半導体装置の製法、プラズマcvd装置 |
JP2007287889A (ja) | 2006-04-14 | 2007-11-01 | Kochi Univ Of Technology | 絶縁膜の成膜方法、半導体装置の製法 |
US7524750B2 (en) | 2006-04-17 | 2009-04-28 | Applied Materials, Inc. | Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD |
FR2900276B1 (fr) | 2006-04-25 | 2008-09-12 | St Microelectronics Sa | Depot peald d'un materiau a base de silicium |
KR100756809B1 (ko) | 2006-04-28 | 2007-09-07 | 주식회사 하이닉스반도체 | 반도체 소자 및 그 제조 방법 |
US7798096B2 (en) | 2006-05-05 | 2010-09-21 | Applied Materials, Inc. | Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool |
US7498273B2 (en) | 2006-05-30 | 2009-03-03 | Applied Materials, Inc. | Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes |
US20070281106A1 (en) | 2006-05-30 | 2007-12-06 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
JP5543203B2 (ja) | 2006-06-16 | 2014-07-09 | フジフィルム マニュファクチャリング ユーロプ ビー.ブイ. | 大気圧グロー放電プラズマを使用した原子層堆積の方法及び装置 |
US7625820B1 (en) * | 2006-06-21 | 2009-12-01 | Novellus Systems, Inc. | Method of selective coverage of high aspect ratio structures with a conformal film |
US8232176B2 (en) * | 2006-06-22 | 2012-07-31 | Applied Materials, Inc. | Dielectric deposition and etch back processes for bottom up gapfill |
US20080014759A1 (en) | 2006-07-12 | 2008-01-17 | Applied Materials, Inc. | Method for fabricating a gate dielectric layer utilized in a gate structure |
KR100791334B1 (ko) | 2006-07-26 | 2008-01-07 | 삼성전자주식회사 | 원자층 증착법을 이용한 금속 산화막 형성 방법 |
US7435684B1 (en) | 2006-07-26 | 2008-10-14 | Novellus Systems, Inc. | Resolving of fluorine loading effect in the vacuum chamber |
US7601648B2 (en) | 2006-07-31 | 2009-10-13 | Applied Materials, Inc. | Method for fabricating an integrated gate dielectric layer for field effect transistors |
US7592231B2 (en) | 2006-08-01 | 2009-09-22 | United Microelectronics Corp. | MOS transistor and fabrication thereof |
US7749879B2 (en) | 2006-08-03 | 2010-07-06 | Micron Technology, Inc. | ALD of silicon films on germanium |
US7611980B2 (en) | 2006-08-30 | 2009-11-03 | Micron Technology, Inc. | Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures |
JP4929932B2 (ja) | 2006-09-01 | 2012-05-09 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
KR101057877B1 (ko) | 2006-09-19 | 2011-08-19 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 세정 방법 및 플라즈마 cvd 방법 |
TWI462179B (zh) | 2006-09-28 | 2014-11-21 | Tokyo Electron Ltd | 用以形成氧化矽膜之成膜方法與裝置 |
JP5258229B2 (ja) | 2006-09-28 | 2013-08-07 | 東京エレクトロン株式会社 | 成膜方法および成膜装置 |
US7939455B2 (en) | 2006-09-29 | 2011-05-10 | Tokyo Electron Limited | Method for forming strained silicon nitride films and a device containing such films |
JP2010506408A (ja) | 2006-10-05 | 2010-02-25 | エーエスエム アメリカ インコーポレイテッド | 金属シリケート膜のald |
US20080087890A1 (en) | 2006-10-16 | 2008-04-17 | Micron Technology, Inc. | Methods to form dielectric structures in semiconductor devices and resulting devices |
US20080139003A1 (en) | 2006-10-26 | 2008-06-12 | Shahid Pirzada | Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process |
KR100816759B1 (ko) | 2006-11-09 | 2008-03-25 | 삼성전자주식회사 | 가변저항 스토리지를 갖는 비휘발성 기억 장치 및 동작방법 |
US20080119057A1 (en) | 2006-11-20 | 2008-05-22 | Applied Materials,Inc. | Method of clustering sequential processing for a gate stack structure |
US20080124946A1 (en) | 2006-11-28 | 2008-05-29 | Air Products And Chemicals, Inc. | Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films |
US20080142483A1 (en) | 2006-12-07 | 2008-06-19 | Applied Materials, Inc. | Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills |
CN101657564A (zh) | 2007-02-12 | 2010-02-24 | 莲花应用技术有限责任公司 | 用原子层沉积制备复合材料 |
US20080213479A1 (en) | 2007-02-16 | 2008-09-04 | Tokyo Electron Limited | SiCN film formation method and apparatus |
US20080207007A1 (en) | 2007-02-27 | 2008-08-28 | Air Products And Chemicals, Inc. | Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films |
US7488659B2 (en) | 2007-03-28 | 2009-02-10 | International Business Machines Corporation | Structure and methods for stress concentrating spacer |
US20080242032A1 (en) | 2007-03-29 | 2008-10-02 | Texas Instruments Incorporated | Carbon-Doped Epitaxial SiGe |
US7651961B2 (en) | 2007-03-30 | 2010-01-26 | Tokyo Electron Limited | Method for forming strained silicon nitride films and a device containing such films |
US7776733B2 (en) | 2007-05-02 | 2010-08-17 | Tokyo Electron Limited | Method for depositing titanium nitride films for semiconductor manufacturing |
KR101457656B1 (ko) | 2007-05-17 | 2014-11-04 | 가부시키가이샤 한도오따이 에네루기 켄큐쇼 | 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기 |
US7807578B2 (en) | 2007-06-01 | 2010-10-05 | Applied Materials, Inc. | Frequency doubling using spacer mask |
JP5151260B2 (ja) | 2007-06-11 | 2013-02-27 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
KR100956210B1 (ko) | 2007-06-19 | 2010-05-04 | 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 | 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법 |
US7638170B2 (en) | 2007-06-21 | 2009-12-29 | Asm International N.V. | Low resistivity metal carbonitride thin film deposition by atomic layer deposition |
US8017182B2 (en) | 2007-06-21 | 2011-09-13 | Asm International N.V. | Method for depositing thin films by mixed pulsed CVD and ALD |
EP2011898B1 (en) | 2007-07-03 | 2021-04-07 | Beneq Oy | Method in depositing metal oxide materials |
US7572052B2 (en) | 2007-07-10 | 2009-08-11 | Applied Materials, Inc. | Method for monitoring and calibrating temperature in semiconductor processing chambers |
US7910497B2 (en) | 2007-07-30 | 2011-03-22 | Applied Materials, Inc. | Method of forming dielectric layers on a substrate and apparatus therefor |
US20090041952A1 (en) | 2007-08-10 | 2009-02-12 | Asm Genitech Korea Ltd. | Method of depositing silicon oxide films |
US7633125B2 (en) | 2007-08-31 | 2009-12-15 | Intel Corporation | Integration of silicon boron nitride in high voltage and small pitch semiconductors |
JP5098882B2 (ja) | 2007-08-31 | 2012-12-12 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US20090065896A1 (en) | 2007-09-07 | 2009-03-12 | Seoul National University Industry Foundation | CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME |
WO2009039251A1 (en) | 2007-09-18 | 2009-03-26 | L'air Liquide - Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Method of forming silicon-containing films |
US8119424B2 (en) | 2007-09-28 | 2012-02-21 | Everspin Technologies, Inc. | Electronic device including a magneto-resistive memory device and a process for forming the electronic device |
US7867923B2 (en) | 2007-10-22 | 2011-01-11 | Applied Materials, Inc. | High quality silicon oxide films by remote plasma CVD from disilane precursors |
US7651959B2 (en) | 2007-12-03 | 2010-01-26 | Asm Japan K.K. | Method for forming silazane-based dielectric film |
KR20090057665A (ko) | 2007-12-03 | 2009-06-08 | 주식회사 아이피에스 | 금속을 함유하는 박막 형성방법 |
US8440569B2 (en) | 2007-12-07 | 2013-05-14 | Cadence Design Systems, Inc. | Method of eliminating a lithography operation |
US20090155606A1 (en) | 2007-12-13 | 2009-06-18 | Asm Genitech Korea Ltd. | Methods of depositing a silicon nitride film |
KR101221598B1 (ko) | 2007-12-18 | 2013-01-14 | 삼성전자주식회사 | 유전막 패턴 형성 방법 및 이를 이용한 비휘발성 메모리소자 제조방법. |
US7964515B2 (en) | 2007-12-21 | 2011-06-21 | Tokyo Electron Limited | Method of forming high-dielectric constant films for semiconductor devices |
US7678715B2 (en) | 2007-12-21 | 2010-03-16 | Applied Materials, Inc. | Low wet etch rate silicon nitride film |
KR20090067576A (ko) | 2007-12-21 | 2009-06-25 | 삼성전자주식회사 | 트렌치의 매립 방법 및 이를 이용한 소자 분리막 구조물의형성 방법 |
JP4935684B2 (ja) | 2008-01-12 | 2012-05-23 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
JP4935687B2 (ja) | 2008-01-19 | 2012-05-23 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
JP5297048B2 (ja) | 2008-01-28 | 2013-09-25 | 三菱重工業株式会社 | プラズマ処理方法及びプラズマ処理装置 |
JP4959733B2 (ja) | 2008-02-01 | 2012-06-27 | 東京エレクトロン株式会社 | 薄膜形成方法、薄膜形成装置及びプログラム |
US20090203197A1 (en) | 2008-02-08 | 2009-08-13 | Hiroji Hanawa | Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition |
US8153348B2 (en) | 2008-02-20 | 2012-04-10 | Applied Materials, Inc. | Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch |
JP5405031B2 (ja) | 2008-03-06 | 2014-02-05 | AzエレクトロニックマテリアルズIp株式会社 | シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法 |
JP2009260151A (ja) | 2008-04-18 | 2009-11-05 | Tokyo Electron Ltd | 金属ドープ層の形成方法、成膜装置及び記憶媒体 |
US8383525B2 (en) | 2008-04-25 | 2013-02-26 | Asm America, Inc. | Plasma-enhanced deposition process for forming a metal oxide thin film and related structures |
KR101436564B1 (ko) | 2008-05-07 | 2014-09-02 | 한국에이에스엠지니텍 주식회사 | 비정질 실리콘 박막 형성 방법 |
US8133797B2 (en) | 2008-05-16 | 2012-03-13 | Novellus Systems, Inc. | Protective layer to enable damage free gap fill |
US7622369B1 (en) | 2008-05-30 | 2009-11-24 | Asm Japan K.K. | Device isolation technology on semiconductor substrate |
US8298628B2 (en) | 2008-06-02 | 2012-10-30 | Air Products And Chemicals, Inc. | Low temperature deposition of silicon-containing films |
JP5190307B2 (ja) | 2008-06-29 | 2013-04-24 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US8076208B2 (en) | 2008-07-03 | 2011-12-13 | Micron Technology, Inc. | Method for forming transistor with high breakdown voltage using pitch multiplication technique |
US8373254B2 (en) | 2008-07-29 | 2013-02-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure for reducing integrated circuit corner peeling |
ES2335638B1 (es) | 2008-08-01 | 2011-02-09 | Cosentino, S.A. | Articulo en forma de tabla o losa fabricado de aglomerado petreo recubierto con laminas delgadas transparentes de tio2 o zno mediante tecnicas de deposicion en via seca con alta resistencia frente a la degradacion solar. |
US8129555B2 (en) | 2008-08-12 | 2012-03-06 | Air Products And Chemicals, Inc. | Precursors for depositing silicon-containing films and methods for making and using same |
US8357617B2 (en) | 2008-08-22 | 2013-01-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of patterning a metal gate of semiconductor device |
JP5423205B2 (ja) | 2008-08-29 | 2014-02-19 | 東京エレクトロン株式会社 | 成膜装置 |
US20100051578A1 (en) | 2008-09-04 | 2010-03-04 | Shuo-Che Chang | Method for fabricating an integrated circuit |
JP2010103484A (ja) | 2008-09-29 | 2010-05-06 | Adeka Corp | 半導体デバイス、その製造装置及び製造方法 |
US8303780B2 (en) | 2008-09-30 | 2012-11-06 | Tdk Corporation | Method of forming mask for dry etching and manufacturing method of magnetic head using the same method |
JP5233562B2 (ja) | 2008-10-04 | 2013-07-10 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US8591661B2 (en) | 2009-12-11 | 2013-11-26 | Novellus Systems, Inc. | Low damage photoresist strip method for low-K dielectrics |
US7910491B2 (en) | 2008-10-16 | 2011-03-22 | Applied Materials, Inc. | Gapfill improvement with low etch rate dielectric liners |
US7745346B2 (en) | 2008-10-17 | 2010-06-29 | Novellus Systems, Inc. | Method for improving process control and film conformality of PECVD film |
US8252653B2 (en) | 2008-10-21 | 2012-08-28 | Applied Materials, Inc. | Method of forming a non-volatile memory having a silicon nitride charge trap layer |
JP2010103303A (ja) | 2008-10-23 | 2010-05-06 | Toshiba Corp | 磁気抵抗素子及びその製造方法 |
WO2010062582A2 (en) | 2008-10-27 | 2010-06-03 | Applied Materials, Inc. | Vapor deposition method for ternary compounds |
US8580993B2 (en) | 2008-11-12 | 2013-11-12 | Air Products And Chemicals, Inc. | Amino vinylsilane precursors for stressed SiN films |
US8647722B2 (en) | 2008-11-14 | 2014-02-11 | Asm Japan K.K. | Method of forming insulation film using plasma treatment cycles |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US7994002B2 (en) * | 2008-11-24 | 2011-08-09 | Applied Materials, Inc. | Method and apparatus for trench and via profile modification |
CN101736326B (zh) | 2008-11-26 | 2011-08-10 | 中微半导体设备(上海)有限公司 | 电容耦合型等离子体处理反应器 |
US20100136313A1 (en) | 2008-12-01 | 2010-06-03 | Asm Japan K.K. | Process for forming high resistivity thin metallic film |
US7833906B2 (en) | 2008-12-11 | 2010-11-16 | Asm International N.V. | Titanium silicon nitride deposition |
GB0823565D0 (en) | 2008-12-24 | 2009-01-28 | Oxford Instr Plasma Technology | Signal generating system |
JP5293168B2 (ja) | 2008-12-25 | 2013-09-18 | 富士通株式会社 | レジスト組成物及びそれを用いた半導体装置の製造方法 |
US9640396B2 (en) | 2009-01-07 | 2017-05-02 | Brewer Science Inc. | Spin-on spacer materials for double- and triple-patterning lithography |
JP2010183069A (ja) | 2009-01-07 | 2010-08-19 | Hitachi Kokusai Electric Inc | 半導体装置の製造方法及び基板処理装置 |
US7972980B2 (en) | 2009-01-21 | 2011-07-05 | Asm Japan K.K. | Method of forming conformal dielectric film having Si-N bonds by PECVD |
US7919416B2 (en) | 2009-01-21 | 2011-04-05 | Asm Japan K.K. | Method of forming conformal dielectric film having Si-N bonds by PECVD |
JP2010177652A (ja) | 2009-02-02 | 2010-08-12 | Toshiba Corp | 半導体装置の製造方法 |
JP5298938B2 (ja) | 2009-02-24 | 2013-09-25 | 住友電気工業株式会社 | 半導体素子の製造方法 |
US8197915B2 (en) | 2009-04-01 | 2012-06-12 | Asm Japan K.K. | Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature |
US8268727B2 (en) | 2009-04-20 | 2012-09-18 | GlobalFoundries, Inc. | Methods for fabricating FinFET semiconductor devices using planarized spacers |
KR20100128863A (ko) | 2009-05-29 | 2010-12-08 | 주식회사 케이씨텍 | 원자층 증착장치 및 방법 |
WO2010151856A2 (en) | 2009-06-26 | 2010-12-29 | Cornell University | Chemical vapor deposition process for aluminum silicon nitride |
KR20110002208A (ko) | 2009-07-01 | 2011-01-07 | 삼성전자주식회사 | 반도체 소자의 형성방법 |
JP5408483B2 (ja) | 2009-07-03 | 2014-02-05 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
JP2011023718A (ja) | 2009-07-15 | 2011-02-03 | Asm Japan Kk | PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法 |
JP2011023576A (ja) | 2009-07-16 | 2011-02-03 | Hitachi Kokusai Electric Inc | 半導体装置の製造方法及び基板処理装置 |
JP2011023655A (ja) | 2009-07-17 | 2011-02-03 | Shimadzu Corp | 窒化シリコン薄膜成膜方法および窒化シリコン薄膜成膜装置 |
US8105901B2 (en) | 2009-07-27 | 2012-01-31 | International Business Machines Corporation | Method for double pattern density |
US7989365B2 (en) | 2009-08-18 | 2011-08-02 | Applied Materials, Inc. | Remote plasma source seasoning |
US8169024B2 (en) | 2009-08-18 | 2012-05-01 | International Business Machines Corporation | Method of forming extremely thin semiconductor on insulator (ETSOI) device without ion implantation |
KR101680899B1 (ko) | 2009-09-02 | 2016-11-29 | 소니 주식회사 | 고체 촬상 장치 및 그 제조 방법 |
KR101732187B1 (ko) | 2009-09-03 | 2017-05-02 | 에이에스엠 저펜 가부시기가이샤 | 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법 |
US8072800B2 (en) | 2009-09-15 | 2011-12-06 | Grandis Inc. | Magnetic element having perpendicular anisotropy with enhanced efficiency |
US8278224B1 (en) | 2009-09-24 | 2012-10-02 | Novellus Systems, Inc. | Flowable oxide deposition using rapid delivery of process gases |
JP2011067744A (ja) | 2009-09-25 | 2011-04-07 | Dowa Holdings Co Ltd | 水素製造用触媒、水素製造方法、水素製造装置および燃料電池システム |
US8076241B2 (en) | 2009-09-30 | 2011-12-13 | Tokyo Electron Limited | Methods for multi-step copper plating on a continuous ruthenium film in recessed features |
US8173554B2 (en) | 2009-10-14 | 2012-05-08 | Asm Japan K.K. | Method of depositing dielectric film having Si-N bonds by modified peald method |
WO2011058947A1 (ja) | 2009-11-11 | 2011-05-19 | 日本電気株式会社 | 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法 |
US8691675B2 (en) | 2009-11-25 | 2014-04-08 | International Business Machines Corporation | Vapor phase deposition processes for doping silicon |
US8021949B2 (en) | 2009-12-01 | 2011-09-20 | International Business Machines Corporation | Method and structure for forming finFETs with multiple doping regions on a same chip |
US8354331B2 (en) | 2009-12-01 | 2013-01-15 | International Business Machines Corporation | Multiplying pattern density by single sidewall imaging transfer |
WO2011072143A2 (en) | 2009-12-09 | 2011-06-16 | Novellus Systems, Inc. | Novel gap fill integration |
US20110143548A1 (en) | 2009-12-11 | 2011-06-16 | David Cheung | Ultra low silicon loss high dose implant strip |
US20110151142A1 (en) | 2009-12-22 | 2011-06-23 | Applied Materials, Inc. | Pecvd multi-step processing with continuous plasma |
US8662053B2 (en) | 2009-12-22 | 2014-03-04 | Cummins Inc. | Pre-combustion device for an internal combustion engine |
US8501629B2 (en) | 2009-12-23 | 2013-08-06 | Applied Materials, Inc. | Smooth SiConi etch for silicon-containing films |
US20110159202A1 (en) | 2009-12-29 | 2011-06-30 | Asm Japan K.K. | Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD |
US8703625B2 (en) | 2010-02-04 | 2014-04-22 | Air Products And Chemicals, Inc. | Methods to prepare silicon-containing films |
JP5514129B2 (ja) | 2010-02-15 | 2014-06-04 | 東京エレクトロン株式会社 | 成膜方法、成膜装置、および成膜装置の使用方法 |
JP2011192776A (ja) | 2010-03-15 | 2011-09-29 | Toshiba Corp | 半導体装置の製造方法 |
JP5742185B2 (ja) | 2010-03-19 | 2015-07-01 | 東京エレクトロン株式会社 | 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体 |
US20110244142A1 (en) | 2010-03-30 | 2011-10-06 | Applied Materials, Inc. | Nitrogen doped amorphous carbon hardmask |
EP2730676A1 (en) | 2010-04-01 | 2014-05-14 | L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Method for deposition of silicon nitride layers |
US20110256734A1 (en) | 2010-04-15 | 2011-10-20 | Hausmann Dennis M | Silicon nitride films and methods |
US9076646B2 (en) | 2010-04-15 | 2015-07-07 | Lam Research Corporation | Plasma enhanced atomic layer deposition with pulsed plasma exposure |
US8956983B2 (en) | 2010-04-15 | 2015-02-17 | Novellus Systems, Inc. | Conformal doping via plasma activated atomic layer deposition and conformal film deposition |
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US9892917B2 (en) | 2010-04-15 | 2018-02-13 | Lam Research Corporation | Plasma assisted atomic layer deposition of multi-layer films for patterning applications |
US9390909B2 (en) | 2013-11-07 | 2016-07-12 | Novellus Systems, Inc. | Soft landing nanolaminates for advanced patterning |
US9373500B2 (en) | 2014-02-21 | 2016-06-21 | Lam Research Corporation | Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications |
US8993460B2 (en) | 2013-01-10 | 2015-03-31 | Novellus Systems, Inc. | Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants |
ES2758556T3 (es) | 2010-05-21 | 2020-05-05 | Asm Int Nv | Celda solar y método de fabricación de la misma |
US8343881B2 (en) | 2010-06-04 | 2013-01-01 | Applied Materials, Inc. | Silicon dioxide layer deposited with BDEAS |
KR101710658B1 (ko) | 2010-06-18 | 2017-02-27 | 삼성전자 주식회사 | 관통 전극을 갖는 3차원 적층 구조의 반도체 장치 및 그 반도체 장치의 시그널링 방법 |
KR20160068986A (ko) | 2010-07-22 | 2016-06-15 | 비코 에이엘디 인코포레이티드 | 원자층 증착에서 불활성 기체 플라즈마를 이용한 기판 표면의 처리 |
US8669185B2 (en) | 2010-07-30 | 2014-03-11 | Asm Japan K.K. | Method of tailoring conformality of Si-containing film |
KR101147728B1 (ko) | 2010-08-02 | 2012-05-25 | 주식회사 유진테크 | 사이클릭 박막 증착 방법 |
US8394466B2 (en) | 2010-09-03 | 2013-03-12 | Asm Japan K.K. | Method of forming conformal film having si-N bonds on high-aspect ratio pattern |
US20120064682A1 (en) | 2010-09-14 | 2012-03-15 | Jang Kyung-Tae | Methods of Manufacturing Three-Dimensional Semiconductor Memory Devices |
US8138097B1 (en) | 2010-09-20 | 2012-03-20 | Kabushiki Kaisha Toshiba | Method for processing semiconductor structure and device based on the same |
US8524612B2 (en) | 2010-09-23 | 2013-09-03 | Novellus Systems, Inc. | Plasma-activated deposition of conformal films |
US9685320B2 (en) | 2010-09-23 | 2017-06-20 | Lam Research Corporation | Methods for depositing silicon oxide |
US8101531B1 (en) | 2010-09-23 | 2012-01-24 | Novellus Systems, Inc. | Plasma-activated deposition of conformal films |
US9040127B2 (en) | 2010-09-24 | 2015-05-26 | Applied Materials, Inc. | Low temperature silicon carbide deposition process |
US20120213940A1 (en) | 2010-10-04 | 2012-08-23 | Applied Materials, Inc. | Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma |
TW201224190A (en) | 2010-10-06 | 2012-06-16 | Applied Materials Inc | Atomic layer deposition of photoresist materials and hard mask precursors |
KR101815527B1 (ko) | 2010-10-07 | 2018-01-05 | 삼성전자주식회사 | 반도체 소자 및 그 제조 방법 |
JP2012084707A (ja) | 2010-10-13 | 2012-04-26 | Mitsubishi Heavy Ind Ltd | 窒化珪素膜形成装置及び方法 |
WO2012057889A1 (en) | 2010-10-29 | 2012-05-03 | Applied Materials, Inc. | Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making |
US8679914B2 (en) | 2010-11-02 | 2014-03-25 | Micron Technology, Inc. | Method of forming a chalcogenide material and methods of forming a resistive random access memory device including a chalcogenide material |
US8288083B2 (en) | 2010-11-05 | 2012-10-16 | Micron Technology, Inc. | Methods of forming patterned masks |
CN103228983A (zh) | 2010-11-10 | 2013-07-31 | 纳米系统公司 | 量子点薄膜、照明器件及照明方法 |
KR20120062385A (ko) | 2010-12-06 | 2012-06-14 | 에스케이하이닉스 주식회사 | 반도체 메모리 소자의 형성방법 |
KR101225601B1 (ko) | 2010-12-16 | 2013-01-24 | 한국과학기술원 | 대면적 나노스케일 패턴형성방법 |
JP5682290B2 (ja) | 2010-12-20 | 2015-03-11 | 東京エレクトロン株式会社 | 炭素含有薄膜のスリミング方法及び酸化装置 |
US9719169B2 (en) | 2010-12-20 | 2017-08-01 | Novellus Systems, Inc. | System and apparatus for flowable deposition in semiconductor fabrication |
JP5689398B2 (ja) | 2010-12-21 | 2015-03-25 | 東京エレクトロン株式会社 | 窒化シリコン膜の成膜方法及び成膜装置 |
US20120164834A1 (en) | 2010-12-22 | 2012-06-28 | Kevin Jennings | Variable-Density Plasma Processing of Semiconductor Substrates |
US8901016B2 (en) | 2010-12-28 | 2014-12-02 | Asm Japan K.K. | Method of forming metal oxide hardmask |
KR101172272B1 (ko) | 2010-12-30 | 2012-08-09 | 에스케이하이닉스 주식회사 | 매립비트라인을 구비한 반도체장치 제조 방법 |
JP2012160671A (ja) | 2011-02-02 | 2012-08-23 | Toshiba Corp | 磁気ランダムアクセスメモリ及びその製造方法 |
JP2012169408A (ja) | 2011-02-14 | 2012-09-06 | Taiyo Nippon Sanso Corp | マスク用材料、マスクの形成方法、パターン形成方法、及びエッチング保護膜 |
JP5661523B2 (ja) | 2011-03-18 | 2015-01-28 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US8883649B2 (en) | 2011-03-23 | 2014-11-11 | International Business Machines Corporation | Sidewall image transfer process |
KR102111702B1 (ko) | 2011-04-07 | 2020-05-15 | 피코순 오와이 | 플라즈마 소오스를 갖는 원자층 퇴적 |
US20120258261A1 (en) | 2011-04-11 | 2012-10-11 | Novellus Systems, Inc. | Increasing etch selectivity of carbon films with lower absorption co-efficient and stress |
US8647993B2 (en) | 2011-04-11 | 2014-02-11 | Novellus Systems, Inc. | Methods for UV-assisted conformal film deposition |
US8298951B1 (en) | 2011-04-13 | 2012-10-30 | Asm Japan K.K. | Footing reduction using etch-selective layer |
WO2012148439A1 (en) | 2011-04-25 | 2012-11-01 | William Marsh Rice University | Direct growth of graphene films on non-catalyst surfaces |
US8298954B1 (en) | 2011-05-06 | 2012-10-30 | International Business Machines Corporation | Sidewall image transfer process employing a cap material layer for a metal nitride layer |
JP2013058521A (ja) | 2011-09-07 | 2013-03-28 | Toshiba Corp | 記憶装置及びその製造方法 |
JP5551129B2 (ja) | 2011-09-07 | 2014-07-16 | 株式会社東芝 | 記憶装置 |
US8575033B2 (en) | 2011-09-13 | 2013-11-05 | Applied Materials, Inc. | Carbosilane precursors for low temperature film deposition |
TW201319299A (zh) | 2011-09-13 | 2013-05-16 | Applied Materials Inc | 用於低溫電漿輔助沉積的活化矽前驅物 |
WO2013043330A1 (en) | 2011-09-23 | 2013-03-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US8809169B2 (en) | 2011-09-30 | 2014-08-19 | Tokyo Electron Limited | Multi-layer pattern for alternate ALD processes |
JP6065840B2 (ja) | 2011-11-02 | 2017-01-25 | 宇部興産株式会社 | トリス(ジアルキルアミド)アルミニウム化合物及び当該アルミニウム化合物を用いるアルミニウム含有薄膜の製造方法 |
US20130115778A1 (en) | 2011-11-04 | 2013-05-09 | Applied Materials, Inc. | Dry Etch Processes |
US9318431B2 (en) * | 2011-11-04 | 2016-04-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuit having a MOM capacitor and method of making same |
US9059263B2 (en) * | 2011-11-09 | 2015-06-16 | QUALCOMM Incorpated | Low-K dielectric protection spacer for patterning through substrate vias through a low-K wiring layer |
KR20130056608A (ko) | 2011-11-22 | 2013-05-30 | 에스케이하이닉스 주식회사 | 상변화 메모리 장치 및 그의 제조방법 |
US20130189845A1 (en) | 2012-01-19 | 2013-07-25 | Applied Materials, Inc. | Conformal amorphous carbon for spacer and spacer protection applications |
US8592328B2 (en) | 2012-01-20 | 2013-11-26 | Novellus Systems, Inc. | Method for depositing a chlorine-free conformal sin film |
JP5843318B2 (ja) | 2012-02-14 | 2016-01-13 | 株式会社Adeka | Ald法用窒化アルミニウム系薄膜形成用原料及び該薄膜の製造方法 |
JP5882776B2 (ja) | 2012-02-14 | 2016-03-09 | 信越化学工業株式会社 | レジスト下層膜形成用組成物、及びパターン形成方法 |
US8728955B2 (en) | 2012-02-14 | 2014-05-20 | Novellus Systems, Inc. | Method of plasma activated deposition of a conformal film on a substrate surface |
US8846484B2 (en) | 2012-02-15 | 2014-09-30 | Intermolecular, Inc. | ReRAM stacks preparation by using single ALD or PVD chamber |
US9390893B2 (en) | 2012-02-22 | 2016-07-12 | Lam Research Corporation | Sub-pulsing during a state |
JP5991609B2 (ja) | 2012-02-29 | 2016-09-14 | 住友電工デバイス・イノベーション株式会社 | 半導体装置の製造方法 |
JPWO2013137115A1 (ja) | 2012-03-15 | 2015-08-03 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
JP6125247B2 (ja) | 2012-03-21 | 2017-05-10 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム |
SG195494A1 (en) | 2012-05-18 | 2013-12-30 | Novellus Systems Inc | Carbon deposition-etch-ash gap fill process |
US8956704B2 (en) | 2012-05-21 | 2015-02-17 | Novellus Systems, Inc. | Methods for modulating step coverage during conformal film deposition |
US8703578B2 (en) | 2012-05-29 | 2014-04-22 | Globalfoundries Singapore Pte. Ltd. | Middle in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations |
US8936977B2 (en) | 2012-05-29 | 2015-01-20 | Globalfoundries Singapore Pte. Ltd. | Late in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations |
US9487869B2 (en) | 2012-06-01 | 2016-11-08 | Carnegie Mellon University | Pattern transfer with self-assembled nanoparticle assemblies |
US8962078B2 (en) | 2012-06-22 | 2015-02-24 | Tokyo Electron Limited | Method for depositing dielectric films |
CN103515197A (zh) | 2012-06-26 | 2014-01-15 | 中芯国际集成电路制造(上海)有限公司 | 自对准多重图形化的掩膜层及其形成方法 |
US9023737B2 (en) | 2012-07-11 | 2015-05-05 | Asm Ip Holding B.V. | Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment |
US20140023794A1 (en) | 2012-07-23 | 2014-01-23 | Maitreyee Mahajani | Method And Apparatus For Low Temperature ALD Deposition |
US20140030444A1 (en) | 2012-07-30 | 2014-01-30 | Novellus Systems, Inc. | High pressure, high power plasma activated conformal film deposition |
US20140049162A1 (en) | 2012-08-15 | 2014-02-20 | George Thomas | Defect reduction in plasma processing |
US8795774B2 (en) | 2012-09-23 | 2014-08-05 | Rohm And Haas Electronic Materials Llc | Hardmask |
US8716136B1 (en) | 2012-10-19 | 2014-05-06 | Globalfoundries Inc. | Method of forming a semiconductor structure including a wet etch process for removing silicon nitride |
TWI595112B (zh) | 2012-10-23 | 2017-08-11 | 蘭姆研究公司 | 次飽和之原子層沉積及保形膜沉積 |
SG2013083241A (en) | 2012-11-08 | 2014-06-27 | Novellus Systems Inc | Conformal film deposition for gapfill |
JP6538300B2 (ja) | 2012-11-08 | 2019-07-03 | ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated | 感受性基材上にフィルムを蒸着するための方法 |
KR102052936B1 (ko) | 2012-11-13 | 2019-12-06 | 삼성전자 주식회사 | 반도체 소자 제조 방법 |
US8784951B2 (en) | 2012-11-16 | 2014-07-22 | Asm Ip Holding B.V. | Method for forming insulation film using non-halide precursor having four or more silicons |
US9362133B2 (en) | 2012-12-14 | 2016-06-07 | Lam Research Corporation | Method for forming a mask by etching conformal film on patterned ashable hardmask |
US9446965B2 (en) | 2013-02-19 | 2016-09-20 | Nanotech Industrial Solutions, Inc. | Applications for inorganic fullerene-like particles |
US8623770B1 (en) | 2013-02-21 | 2014-01-07 | HGST Netherlands B.V. | Method for sidewall spacer line doubling using atomic layer deposition of a titanium oxide |
US9304396B2 (en) | 2013-02-25 | 2016-04-05 | Lam Research Corporation | PECVD films for EUV lithography |
US9564309B2 (en) | 2013-03-14 | 2017-02-07 | Asm Ip Holding B.V. | Si precursors for deposition of SiN at low temperatures |
US8846550B1 (en) | 2013-03-14 | 2014-09-30 | Asm Ip Holding B.V. | Silane or borane treatment of metal thin films |
US9824881B2 (en) | 2013-03-14 | 2017-11-21 | Asm Ip Holding B.V. | Si precursors for deposition of SiN at low temperatures |
US20140273531A1 (en) | 2013-03-14 | 2014-09-18 | Asm Ip Holding B.V. | Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US20140273530A1 (en) | 2013-03-15 | 2014-09-18 | Victor Nguyen | Post-Deposition Treatment Methods For Silicon Nitride |
KR102151611B1 (ko) | 2013-03-15 | 2020-09-03 | 어플라이드 머티어리얼스, 인코포레이티드 | 초-콘포말한 탄소 막 증착 |
TW201441408A (zh) | 2013-03-15 | 2014-11-01 | Applied Materials Inc | 包含氮化矽之膜的電漿輔助原子層沉積 |
US9012336B2 (en) | 2013-04-08 | 2015-04-21 | Applied Materials, Inc. | Method for conformal treatment of dielectric films using inductively coupled plasma |
US9209274B2 (en) | 2013-07-19 | 2015-12-08 | Globalfoundries Inc. | Highly conformal extension doping in advanced multi-gate devices |
JP6494940B2 (ja) * | 2013-07-25 | 2019-04-03 | ラム リサーチ コーポレーションLam Research Corporation | 異なるサイズのフィーチャへのボイドフリータングステン充填 |
CN104347421A (zh) | 2013-08-07 | 2015-02-11 | 中芯国际集成电路制造(北京)有限公司 | 鳍式场效应管的形成方法 |
KR102081195B1 (ko) * | 2013-08-28 | 2020-02-25 | 삼성전자주식회사 | 반도체 장치 및 이의 제조 방법 |
US9564361B2 (en) | 2013-09-13 | 2017-02-07 | Qualcomm Incorporated | Reverse self aligned double patterning process for back end of line fabrication of a semiconductor device |
TWI649803B (zh) * | 2013-09-30 | 2019-02-01 | 蘭姆研究公司 | 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充 |
US9368348B2 (en) | 2013-10-01 | 2016-06-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned patterning process |
CN105765428A (zh) | 2013-10-17 | 2016-07-13 | 纳米系统公司 | 发光二极管(led)器件 |
US20150251917A1 (en) | 2013-10-21 | 2015-09-10 | Qualcomm Mems Technologies, Inc. | Method of patterning pillars |
CN104576506A (zh) * | 2013-10-22 | 2015-04-29 | 中微半导体设备(上海)有限公司 | 一种刻蚀硅通孔的方法 |
US9159579B2 (en) | 2013-10-25 | 2015-10-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Lithography using multilayer spacer for reduced spacer footing |
US9653319B2 (en) | 2013-11-08 | 2017-05-16 | Tokyo Electron Limited | Method for using post-processing methods for accelerating EUV lithography |
KR102219147B1 (ko) | 2013-11-13 | 2021-02-22 | 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 | 5 족 전이 금속-함유 필름의 증착을 위한 5 족 전이 금속-함유 화합물 |
US10084016B2 (en) | 2013-11-21 | 2018-09-25 | Micron Technology, Inc. | Cross-point memory and methods for fabrication of same |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
TWI480415B (zh) | 2013-11-27 | 2015-04-11 | Ind Tech Res Inst | 多模式薄膜沉積設備以及薄膜沉積方法 |
US9123776B2 (en) | 2013-12-04 | 2015-09-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned double spacer patterning process |
US9614053B2 (en) | 2013-12-05 | 2017-04-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Spacers with rectangular profile and methods of forming the same |
US20150159271A1 (en) | 2013-12-09 | 2015-06-11 | Veeco Ald Inc. | Deposition of non-isostructural layers for flexible substrate |
US11164753B2 (en) | 2014-01-13 | 2021-11-02 | Applied Materials, Inc. | Self-aligned double patterning with spatial atomic layer deposition |
US9214334B2 (en) | 2014-02-18 | 2015-12-15 | Lam Research Corporation | High growth rate process for conformal aluminum nitride |
US9806129B2 (en) | 2014-02-25 | 2017-10-31 | Micron Technology, Inc. | Cross-point memory and methods for fabrication of same |
US9660080B2 (en) | 2014-02-28 | 2017-05-23 | Stmicroelectronics, Inc. | Multi-layer strained channel FinFET |
CN103928396A (zh) | 2014-04-08 | 2014-07-16 | 上海华力微电子有限公司 | 扩大沟槽开口的方法 |
US9305837B2 (en) | 2014-04-10 | 2016-04-05 | Taiwan Semiconductor Manufacturing Company Limited | Semiconductor arrangement and formation thereof |
US9543375B2 (en) | 2014-06-27 | 2017-01-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | MIM/RRAM structure with improved capacitance and reduced leakage current |
US9406522B2 (en) | 2014-07-24 | 2016-08-02 | Applied Materials, Inc. | Single platform, multiple cycle spacer deposition and etch |
US20160049307A1 (en) | 2014-08-15 | 2016-02-18 | Yijian Chen | Patterning method for IC fabrication using 2-D layout decomposition and synthesis techniques |
KR102421846B1 (ko) | 2014-08-22 | 2022-07-15 | 램 리써치 코포레이션 | 일 상태 동안 서브-펄싱 |
US9318334B2 (en) | 2014-08-27 | 2016-04-19 | United Microelectronics Corp. | Method for fabricating semiconductor device |
US9576792B2 (en) | 2014-09-17 | 2017-02-21 | Asm Ip Holding B.V. | Deposition of SiN |
US9214333B1 (en) | 2014-09-24 | 2015-12-15 | Lam Research Corporation | Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD |
US9355837B2 (en) | 2014-09-25 | 2016-05-31 | Micron Technology, Inc. | Methods of forming and using materials containing silicon and nitrogen |
US9875888B2 (en) | 2014-10-03 | 2018-01-23 | Applied Materials, Inc. | High temperature silicon oxide atomic layer deposition technology |
US9791779B2 (en) | 2014-10-16 | 2017-10-17 | Tokyo Electron Limited | EUV resist etch durability improvement and pattern collapse mitigation |
US9184060B1 (en) * | 2014-11-14 | 2015-11-10 | Lam Research Corporation | Plated metal hard mask for vertical NAND hole etch |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US9589790B2 (en) | 2014-11-24 | 2017-03-07 | Lam Research Corporation | Method of depositing ammonia free and chlorine free conformal silicon nitride film |
US9449971B2 (en) | 2014-12-01 | 2016-09-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of forming FinFETs |
CN105719954B (zh) | 2014-12-04 | 2018-09-07 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构的形成方法 |
US9997373B2 (en) * | 2014-12-04 | 2018-06-12 | Lam Research Corporation | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
US9620377B2 (en) * | 2014-12-04 | 2017-04-11 | Lab Research Corporation | Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch |
EP3035379B1 (en) | 2014-12-15 | 2020-07-01 | IMEC vzw | Method for blocking a trench portion |
US9673059B2 (en) | 2015-02-02 | 2017-06-06 | Tokyo Electron Limited | Method for increasing pattern density in self-aligned patterning integration schemes |
US9496169B2 (en) | 2015-02-12 | 2016-11-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming an interconnect structure having an air gap and structure thereof |
US9443731B1 (en) | 2015-02-20 | 2016-09-13 | Tokyo Electron Limited | Material processing to achieve sub-10nm patterning |
US9530646B2 (en) | 2015-02-24 | 2016-12-27 | United Microelectronics Corp. | Method of forming a semiconductor structure |
US9472506B2 (en) | 2015-02-25 | 2016-10-18 | International Business Machines Corporation | Registration mark formation during sidewall image transfer process |
US9595424B2 (en) | 2015-03-02 | 2017-03-14 | Lam Research Corporation | Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes |
US9748093B2 (en) | 2015-03-18 | 2017-08-29 | Applied Materials, Inc. | Pulsed nitride encapsulation |
US10043690B2 (en) | 2015-03-31 | 2018-08-07 | Lam Research Corporation | Fault detection using showerhead voltage variation |
US9502238B2 (en) | 2015-04-03 | 2016-11-22 | Lam Research Corporation | Deposition of conformal films by atomic layer deposition and atomic layer etch |
US9786503B2 (en) | 2015-04-08 | 2017-10-10 | Tokyo Electron Limited | Method for increasing pattern density in self-aligned patterning schemes without using hard masks |
US9406693B1 (en) * | 2015-04-20 | 2016-08-02 | Sandisk Technologies Llc | Selective removal of charge-trapping layer for select gate transistors and dummy memory cells in 3D stacked memory |
US9502428B1 (en) | 2015-04-29 | 2016-11-22 | Sandisk Technologies Llc | Sidewall assisted process for wide and narrow line formation |
US9859088B2 (en) | 2015-04-30 | 2018-01-02 | Lam Research Corporation | Inter-electrode gap variation methods for compensating deposition non-uniformity |
US20160329206A1 (en) | 2015-05-08 | 2016-11-10 | Lam Research Corporation | Methods of modulating residual stress in thin films |
CN106298519A (zh) | 2015-05-15 | 2017-01-04 | 联华电子股份有限公司 | 形成半导体结构的方法 |
US10378107B2 (en) | 2015-05-22 | 2019-08-13 | Lam Research Corporation | Low volume showerhead with faceplate holes for improved flow uniformity |
US9653571B2 (en) | 2015-06-15 | 2017-05-16 | International Business Machines Corporation | Freestanding spacer having sub-lithographic lateral dimension and method of forming same |
US9508560B1 (en) | 2015-06-18 | 2016-11-29 | International Business Machines Corporation | SiARC removal with plasma etch and fluorinated wet chemical solution combination |
US9530663B1 (en) | 2015-06-23 | 2016-12-27 | Nanya Technology Corp. | Method for forming a pattern |
US10526701B2 (en) | 2015-07-09 | 2020-01-07 | Lam Research Corporation | Multi-cycle ALD process for film uniformity and thickness profile modulation |
CN106373880B (zh) | 2015-07-22 | 2021-05-25 | 联华电子股份有限公司 | 半导体元件及其形成方法 |
US9972504B2 (en) * | 2015-08-07 | 2018-05-15 | Lam Research Corporation | Atomic layer etching of tungsten for enhanced tungsten deposition fill |
US9523148B1 (en) | 2015-08-25 | 2016-12-20 | Asm Ip Holdings B.V. | Process for deposition of titanium oxynitride for use in integrated circuit fabrication |
US9748110B2 (en) | 2015-09-03 | 2017-08-29 | Tokyo Electron Limited | Method and system for selective spacer etch for multi-patterning schemes |
WO2017053316A1 (en) | 2015-09-24 | 2017-03-30 | Tokyo Electron Limited | Methods of forming etch masks for sub-resolution substrate patterning |
US9601693B1 (en) | 2015-09-24 | 2017-03-21 | Lam Research Corporation | Method for encapsulating a chalcogenide material |
US9768272B2 (en) | 2015-09-30 | 2017-09-19 | International Business Machines Corporation | Replacement gate FinFET process using a sit process to define source/drain regions, gate spacers and a gate cavity |
KR102250656B1 (ko) | 2015-10-08 | 2021-05-11 | 삼성전자주식회사 | 반도체 소자의 패턴 형성 방법 |
US10141417B2 (en) | 2015-10-20 | 2018-11-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate structure, semiconductor device and the method of forming semiconductor device |
US10103032B2 (en) | 2015-11-20 | 2018-10-16 | Tokyo Electron Limited | Methods of forming etch masks for sub-resolution substrate patterning |
CN205164805U (zh) * | 2015-11-26 | 2016-04-20 | 醴陵市三塘瓷业有限公司 | 日用陶瓷胚料混合粉碎一体机 |
US9576817B1 (en) | 2015-12-03 | 2017-02-21 | International Business Machines Corporation | Pattern decomposition for directed self assembly patterns templated by sidewall image transfer |
US9508604B1 (en) | 2016-04-29 | 2016-11-29 | Globalfoundries Inc. | Methods of forming punch through stop regions on FinFET devices on CMOS-based IC products using doped spacers |
US10157736B2 (en) | 2016-05-06 | 2018-12-18 | Lam Research Corporation | Methods of encapsulation |
US9773643B1 (en) | 2016-06-30 | 2017-09-26 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US10062563B2 (en) | 2016-07-01 | 2018-08-28 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
US10629435B2 (en) | 2016-07-29 | 2020-04-21 | Lam Research Corporation | Doped ALD films for semiconductor patterning applications |
US10037884B2 (en) | 2016-08-31 | 2018-07-31 | Lam Research Corporation | Selective atomic layer deposition for gapfill using sacrificial underlayer |
US10074543B2 (en) | 2016-08-31 | 2018-09-11 | Lam Research Corporation | High dry etch rate materials for semiconductor patterning applications |
US10454029B2 (en) | 2016-11-11 | 2019-10-22 | Lam Research Corporation | Method for reducing the wet etch rate of a sin film without damaging the underlying substrate |
US10832908B2 (en) | 2016-11-11 | 2020-11-10 | Lam Research Corporation | Self-aligned multi-patterning process flow with ALD gapfill spacer mask |
US10134579B2 (en) | 2016-11-14 | 2018-11-20 | Lam Research Corporation | Method for high modulus ALD SiO2 spacer |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
-
2017
- 2017-09-13 US US15/703,917 patent/US10269559B2/en active Active
-
2018
- 2018-09-07 WO PCT/US2018/050049 patent/WO2019055317A1/en active Application Filing
- 2018-09-07 CN CN202410312933.8A patent/CN118522694A/zh active Pending
- 2018-09-07 JP JP2020514992A patent/JP7232823B2/ja active Active
- 2018-09-07 KR KR1020207010492A patent/KR20200042542A/ko not_active Application Discontinuation
- 2018-09-07 CN CN201880073124.9A patent/CN111344857B/zh active Active
- 2018-09-07 SG SG11202002271UA patent/SG11202002271UA/en unknown
- 2018-09-10 TW TW107131673A patent/TWI791042B/zh active
-
2019
- 2019-03-06 US US16/294,783 patent/US10658172B2/en active Active
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20120009802A1 (en) * | 2010-04-15 | 2012-01-12 | Adrien Lavoie | Plasma activated conformal dielectric film deposition |
US20160190008A1 (en) * | 2012-03-27 | 2016-06-30 | Novellus Systems, Inc. | Tungsten feature fill |
Also Published As
Publication number | Publication date |
---|---|
SG11202002271UA (en) | 2020-04-29 |
CN118522694A (zh) | 2024-08-20 |
US10269559B2 (en) | 2019-04-23 |
WO2019055317A1 (en) | 2019-03-21 |
KR20200042542A (ko) | 2020-04-23 |
US10658172B2 (en) | 2020-05-19 |
US20190206677A1 (en) | 2019-07-04 |
CN111344857B (zh) | 2024-04-09 |
TW201931520A (zh) | 2019-08-01 |
JP2020535633A (ja) | 2020-12-03 |
CN111344857A (zh) | 2020-06-26 |
US20190080903A1 (en) | 2019-03-14 |
JP7232823B2 (ja) | 2023-03-03 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI791042B (zh) | 使用犧牲蝕刻蓋層之高深寬比特徵部的介電間隙填充 | |
KR102377013B1 (ko) | 갭충진을 위한 컨포멀 막 증착 | |
US10679848B2 (en) | Selective atomic layer deposition with post-dose treatment | |
US10134579B2 (en) | Method for high modulus ALD SiO2 spacer | |
KR102273916B1 (ko) | 반도체 패터닝 애플리케이션들을 위한 도핑된 ald 막들 | |
US10804099B2 (en) | Selective inhibition in atomic layer deposition of silicon-containing films | |
US10037884B2 (en) | Selective atomic layer deposition for gapfill using sacrificial underlayer | |
US10832908B2 (en) | Self-aligned multi-patterning process flow with ALD gapfill spacer mask | |
US10074543B2 (en) | High dry etch rate materials for semiconductor patterning applications | |
US11107683B2 (en) | Selective growth of metal-containing hardmask thin films | |
US20230175117A1 (en) | Seam mitigation and integrated liner for gap fill | |
US20230154754A1 (en) | Loss prevention during atomic layer deposition | |
US20230307290A1 (en) | Reducing intralevel capacitance in semiconductor devices |